CN111128933A - 半导体封装件及其形成方法 - Google Patents

半导体封装件及其形成方法 Download PDF

Info

Publication number
CN111128933A
CN111128933A CN201911048041.7A CN201911048041A CN111128933A CN 111128933 A CN111128933 A CN 111128933A CN 201911048041 A CN201911048041 A CN 201911048041A CN 111128933 A CN111128933 A CN 111128933A
Authority
CN
China
Prior art keywords
layer
metal
seal ring
vias
redistribution
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201911048041.7A
Other languages
English (en)
Other versions
CN111128933B (zh
Inventor
曾志翔
陈玉芬
林政仁
吕文雄
郑明达
许国经
许鸿生
查名鸿
王肇仪
李明机
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN111128933A publication Critical patent/CN111128933A/zh
Application granted granted Critical
Publication of CN111128933B publication Critical patent/CN111128933B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/585Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries comprising conductive layers or plates or strips or rods or rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02013Grinding, lapping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/565Moulds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • H01L2224/02331Multilayer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0239Material of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03464Electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1131Manufacturing methods by local deposition of the material of the bump connector in liquid form
    • H01L2224/1132Screen printing, i.e. using a stencil
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1146Plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13022Disposition the bump connector being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13075Plural core members
    • H01L2224/1308Plural core members being stacked
    • H01L2224/13082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16237Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bonding area disposed in a recess of the surface of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81191Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed only on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

半导体封装件包括:第一管芯,具有第一衬底;互连结构,位于第一衬底上面并且具有多个金属层以及连接多个金属层的通孔;密封环结构,位于第一衬底上面并且沿着第一衬底的外围,密封环结构具有多个金属层以及连接多个金属层的通孔,该密封环结构具有最顶部金属层,最顶部金属层是最远离第一衬底的密封环结构的金属层,密封环结构的最顶部金属层具有内金属结构和外金属结构;以及聚合物层,位于密封环结构上方,该聚合物层具有最外边缘,该最外边缘位于密封环结构的外金属结构的顶面上方并且与密封环结构的外金属结构的顶面对准。本发明的实施例还涉及半导体封装件的形成方法。

Description

半导体封装件及其形成方法
技术领域
本发明的实施例涉及半导体封装件及其形成方法。
背景技术
半导体器件用于各种电子应用中,诸如个人计算机、手机、数码相机和其他电子设备。通常通过在半导体衬底上方顺序沉积绝缘或介电层、导电层和半导体材料层,并使用光刻图案化各种材料层以在材料层上形成电路组件和元件来制造半导体器件。
半导体工业通过不断减小最小部件尺寸来不断提高各种电子组件(例如,晶体管、二极管、电阻器、电容器等)的集成密度,这允许将更多的组件集成到给定区域中。在一些应用中,这些较小的电子组件也需要利用比常规封装件更小的面积或更小的高度的较小封装件。
因此,新的封装技术已经开始开发。用于半导体器件的这些相对新型的封装技术面临制造挑战。
发明内容
本发明的实施例提供了一种半导体封装件,包括:第一集成电路管芯,所述第一集成电路管芯包括:第一衬底,包括有源器件;互连结构,位于所述第一衬底上面并且包括多个金属层以及连接所述多个金属层的通孔,所述互连结构电耦合至所述有源器件;密封环结构,位于所述第一衬底上面并且沿着所述第一衬底的外围,所述密封环结构包括多个金属层以及连接多个金属层的通孔,所述密封环结构具有最顶部金属层,所述最顶部金属层是最远离所述第一衬底的所述密封环结构的金属层,所述密封环结构的所述最顶部金属层具有内金属结构和外金属结构,所述内金属结构与所述外金属结构间隔开;以及聚合物层,位于所述密封环结构上方,所述聚合物层具有最外边缘,所述最外边缘位于所述密封环结构的所述外金属结构的顶面上方,所述聚合物层的所述最外边缘横向位于所述密封环结构的所述外金属结构的侧壁之间。
本发明的另一实施例提供了一种半导体封装件,包括:第一管芯,所述第一管芯包括:第一半导体衬底,所述第一半导体衬底的第一表面中具有有源器件;多个介电层,位于所述第一半导体衬底的所述第一表面上方;多个金属层和通孔,位于所述多个介电层中,所述多个金属层和通孔包括:所述多个金属层和通孔的第一部分,电耦合至所述有源器件;和所述多个金属层和通孔的第二部分,沿着所述第一半导体衬底的外围,所述多个金属层和通孔的所述第二部分围绕所述多个金属层和通孔的所述第一部分;第一钝化层,位于所述多个介电层和所述多个金属层和通孔上方;第一再分布层,位于所述第一钝化层上方,并且延伸穿过所述第一钝化层以物理接触所述多个金属层和通孔的所述第一部分;第二再分布层,位于所述第一钝化层上方,并且延伸穿过所述第一钝化层,以物理接触所述多个金属层和通孔的所述第二部分;以及聚合物层,位于所述第一再分布层和所述第二再分布层上方,所述聚合物层具有最外边缘,所述最外边缘位于所述第二再分布层的顶面上方并且横向位于所述第二再分布层的所述顶面的边界内。
本发明的又一实施例提供了一种形成半导体封装件的方法,包括:在晶圆中形成多个有源器件,所述晶圆包括多个管芯区域,每个所述管芯区域具有至少一个有源器件;在所述晶圆上方形成互连结构,所述互连该结构包括位于多个介电层中的多个金属层和通孔,所述多个管芯区域的每个中的所述多个金属层和通孔包括:所述多个金属层和通孔的第一部分,电耦合至所述多个有源器件中的一个;和所述多个金属层和通孔的第二部分,沿着相应的管芯区域的外围;在所述互连结构上方形成第一钝化层;在所述第一钝化层上方形成再分布层,所述多个管芯区域中的每个包括第一再分布层和第二再分布层,所述第一再分布层延伸穿过所述第一钝化层以物理接触所述多个金属层和通孔的相应第一部分,所述第二再分布层延伸穿过所述第一钝化层,以物理接触所述多个金属层和通孔的相应第二部分;以及在所述再分布层上方形成聚合物层,所述聚合物层具有最外边缘,所述最外边缘位于所述第二再分布层的顶面上方并且横向位于所述第二再分布层的所述顶面的边界内。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各个方面。应该强调,根据工业中的标准实践,各个部件未按比例绘制并且仅用于说明的目的。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1示出了根据一些实施例的晶圆的平面图。
图2、图3、图4、图5、图6、图7、图8、图9、图10、图11A、图11B、图12、图13、图14和图15示出了根据一些实施例的器件的制造中的中间阶段的截面图。
图16示出了根据一些实施例的封装件的截面图。
图17和图18示出了根据一些实施例的在形成封装件和接合结构中的中间阶段的截面图。
图19示出了根据一些实施例的器件的制造的中间阶段的截面图。
图20示出了根据一些实施例的器件的制造的中间阶段的截面图。
图21A和图21B示出了根据一些实施例的器件的制造的中间阶段的截面图。
图22示出了根据一些实施例的器件的制造的中间阶段的截面图。
图23示出了根据一些实施例的器件的制造的中间阶段的截面图。
图24示出了根据一些实施例的器件的制造的中间阶段的截面图。
具体实施方式
以下公开内容提供了许多用于实现本发明的不同特征不同的实施例或实例。下面描述了组件和布置的具体实施例或实例以简化本发明。当然这些仅是实例而不旨在限制。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可以在各个实例中重复参考数字和/或字母。该重复是为了简单和清楚的目的,其本身不指示讨论的各个实施例和/或配置之间的关系。
此外,为了便于描述,本文中可以使用诸如“在…下方”、“在…下面”、“下部”、“在…上面”、“上部”等的空间关系术语,以描述如图中所示的一个元件或部件与另一元件或部件的关系。除了图中所示的方位外,空间关系术语旨在包括器件在使用或操作工艺中的不同方位。装置可以以其它方式定位(旋转90度或在其它方位),并且在本文中使用的空间关系描述符可以同样地作相应地解释。
本发明的实施例大体上包括密封环结构,该密封环结构改善了上面的晶种层的台阶覆盖并且改善了上面的绝缘层的着陆工艺能力。该密封环结构可以形成在半导体封装件的互连结构或再分布结构中。所公开的密封环结构通过减小密封环结构之间的开口的纵横比(高度/间距)来改善上面的晶种层的台阶覆盖和均匀性。此外,再分布层(RDL)具有较大的宽度,以改善上面的绝缘层的着陆工艺控制。晶种层的均匀性对于确定形成在晶种层上的凸块的共面性很重要。此外,可以通过溅射工艺来沉积晶种层,并且光滑的密封环和上面的绝缘层形貌使得晶种层具有良好的均匀性。
图1示出了根据一些实施例的晶圆100的平面图。图1示出了晶圆100的顶视图。在图1中,晶圆100包括由划线104(也称为切割线或切割道)分隔开的单元区域102。如下面更详细地描述的,将沿着划线104切割晶圆100以形成单独的管芯结构(诸如图16所示的管芯结构202)。图1进一步示出了管芯边缘144外侧的密封环126和128。管芯边缘144是最外边缘,以在管芯区域上形成互连和/或有源器件。密封环126和128将在下面更详细地讨论。
尽管图1示出了单元区域102中的单个管芯区域106,但是在一些实施例中,每个单元区域102是包括多个管芯区域的多管芯结构。每个管芯区域可以包括集成电路器件,诸如逻辑管芯(例如,中央处理单元、微控制器等)、存储器管芯(例如,动态随机存取存储器(DRAM)管芯、静态随机存取存储器(SRAM))管芯等)、电源管理管芯(例如电源管理集成电路(PMIC)管芯)、射频(RF)管芯、传感器管芯、微机电系统(MEMS)管芯、信号处理管芯(例如数字信号处理(DSP)管芯)、前端管芯(例如,模拟前端(AFE)管芯)等或它们的组合。
图2至图15示出了在制造的各个中间阶段中沿图1所示的线B-B截取的晶圆100的截面图。
在图2中,晶圆100包括衬底112、位于衬底112上的一个或多个有源和/或无源器件114。在一些实施例中,衬底112可以由硅形成,但是它也可以由其他III族、IV族和/或V族元素形成,诸如锗;化合物半导体,包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟;合金半导体,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP;或它们的组合。衬底112也可以是绝缘体上硅(SOI)的形式。SOI衬底可以包括形成在绝缘体层(例如,掩埋氧化物等)上方的半导体材料层(例如,硅、锗等),该绝缘体层形成在硅衬底上。另外,可以使用的其他衬底包括多层衬底、梯度衬底、混合取向衬底、它们的任何组合等。
在一些实施例中,一个或多个有源和/或无源器件114可以包括各种n型金属氧化物半导体(NMOS)和/或p型金属氧化物半导体(PMOS)器件,诸如晶体管、电容器、电阻器、二极管、光电二极管、熔丝等。
在图3中,在衬底112和一个或多个有源和/或无源器件114上方形成介电层115。介电层115可以包括层间电介质(ILD)/金属间介电层(IMD)。ILD/IMD可以通过本领域已知的任何合适方法(诸如旋涂法、化学气相沉积(CVD)、等离子增强CVD(PECVD)等或它们的组合)由例如低K介电材料形成,诸如磷硅酸盐玻璃(PSG)、硼磷硅酸盐玻璃(BPSG)、FSG、SiOxCy、旋涂玻璃、旋涂聚合物、硅碳材料、其化合物、其复合物、它们的组合等。介电层115可以包括导电互连结构118。在一些实施例中,互连结构118可以包括导线120和导电通孔122。在一些实施例中,可以使用例如镶嵌工艺、双镶嵌工艺等在介电层115中形成互连结构118。在一些实施例中,互连结构118可以包括铜、铜合金、银、金、钨、钽、铝等或它们的组合。互连结构118电互连衬底112上的一个或多个有源和/或无源器件114,以在管芯区域106内形成功能电路。
介电层115还可以包括延伸穿过介电层115的密封环部分124A和124B。密封环部分124A可以设置在管芯区域106的边缘区域处,并且在平面图中,密封环部分124A可以环绕或围绕管芯区域106的内部。密封环部分124B可以设置在单元区域102的边缘区域处,并且在平面图中,密封环部分124B可以环绕或围绕单元区域102的内部。每个密封环部分124B可以环绕或围绕相应的密封环部分124A。在一些实施例中,密封环部分124A和124B可以包括导线120和导电通孔122,并且可以使用与互连结构118类似的材料和工艺来形成。例如,用于形成互连结构118的相同工艺可以同时形成密封环部分124A和124B。在一些实施例中,密封环部分124A和124B可以包括铜、铜合金、银、金、钨、钽、铝、硅、硅/氧化铝等或它们的组合。在一些实施例中,密封环部分124A和124B可以彼此电隔离。在一些实施例中,密封环部分124A和124B可以与互连结构118电隔离。
在图4中,钝化层130形成在介电层115、互连结构118以及密封环部分124A和124B上方。在一些实施例中,钝化层130可以包括一层或多层不可光图案化的介电材料,诸如氮化硅、氧化硅、磷硅酸盐玻璃(PSG)、硼硅酸盐玻璃(BSG)、硼掺杂磷硅酸盐玻璃(BPSG)、它们的组合等,并且可以使用CVD、物理气相沉积(PVD)、原子层沉积(ALD)、旋涂工艺、它们的组合等形成。在其他实施例中,钝化层130可以包括一层或多层可光图案化的绝缘材料,诸如聚苯并恶唑(PBO)、聚酰亚胺(PI)、苯并环丁烯(BCB)等,并且可以使用旋涂工艺等形成。可以使用与光刻胶材料相似的光刻方法来图案化这种可光图案化的介电材料。
在图5中,形成穿过钝化层130的开口132以暴露密封环部分124A和124B以及互连结构118的部分。开口132可以例如使用激光钻孔、蚀刻等形成。在下文中,开口132可以称为再分布层通孔开口132。
在图6、图7和图8中,再分布层138A、138B和138C形成在钝化层130上方。作为示例,为了形成再分布层138,在钝化层130上方形成晶种层(未示出)。在一些实施例中,晶种层是金属层,其可以是单层或包括由不同材料形成的多个子层的复合层。在一些实施例中,晶种层包括钛层和钛层上方的铜层。可以使用例如PVD等形成晶种层。在图6中,然后在晶种层上形成掩模134,诸如光刻胶134(在下文中可以称为光刻胶134)并对掩模134进行图案化。可以通过旋涂等形成光刻胶134,并且可以将光刻胶134曝光以用于图案化。光刻胶134的图案对应于再分布层138。图案化形成穿过光刻胶134的开口136以暴露晶种层。
在图7中,在光刻胶134的开口136中和在晶种层的暴露部分上形成导电材料。可以通过诸如电镀或化学镀的镀来形成导电材料。导电材料可以包括金属,例如铜、钛、钨、铝、金、硅、硅/氧化铝等。
在图8中,去除了光刻胶134和晶种层的其上未形成导电材料的部分。可以通过诸如使用氧等离子体等的可接受的灰化或剥离工艺来去除光刻胶134。一旦去除了光刻胶134,就诸如通过使用可接受的蚀刻工艺,诸如通过湿蚀刻或干蚀刻,去除晶种层的暴露部分。晶种层的剩余部分和导电材料形成再分布层138A、138B和138C。
再分布层138A物理地连接到相应的密封环部分124A。再分布层138B物理地连接到相应的密封环部分124B。再分布层138C物理连接到相应的互连结构118。每个再分布层138A可以环绕或围绕相应的一个管芯区域106的内部。每个再分布层138B可以环绕或围绕相应的一个单元区域102的内部。
密封环部分124A和再分布层138A形成环绕相应的一个管芯区域106的内部的密封环126。密封环部分124B和再分布层138B形成环绕相应的一个单元区域102的内部的密封环128。每个密封环128环绕相应的密封环126。在一些实施例中,密封环126和128可以彼此电隔离。在一些实施例中,密封环126和128可以与互连结构118电隔离。在一些实施例中,密封环126和128可以具有基本相似的结构。在其他实施例中,密封环126和128可以具有不同的结构。
在图9中,钝化层140形成在再分布层138A、138B和138C上方。可以使用与钝化层130类似的材料和方法来形成钝化层140,并且在此不再重复描述。在一些实施例中,钝化层140和钝化层130包括相同的材料。在其他实施例中,钝化层140和钝化层130包括不同的材料。可以使用诸如ALD或CVD的共形沉积方法来沉积钝化层140,使得钝化层140的垂直部分的厚度和水平部分的厚度基本上彼此相等。
在图10中,在钝化层140中形成开口141以暴露一部分再分布层138C。在钝化层140包括不可光图案化的介电材料的一些实施例中,可以使用合适的光刻和蚀刻方法来图案化钝化层140。
在图11A中,在钝化层140上方和开口141中形成缓冲层142。缓冲层142可以是一个或多个聚合物层。缓冲层142可以包括一层或多层可光图案化的绝缘材料,诸如聚苯并恶唑(PBO)、聚酰亚胺(PI)、苯并环丁烯(BCB)、它们的组合等,并且可以使用旋涂工艺等形成。在该实施例中,缓冲层142完全覆盖再分布层138C和密封环126上方的钝化层140,而缓冲层142仅部分覆盖密封环128上方的钝化层140。缓冲层142覆盖密封环126的再分布层138A,并且部分地覆盖密封环128的再分布层138B。在一些实施例中,缓冲层142填充再分布层138A和138B之间的区域。虽然缓冲层142示出为具有平坦的顶面,但是在一些实施例中,缓冲层142可以具有在一定程度上与下面的再分布层138A、138B和138C共形的非平坦表面。图11B示出了图11A的一部分的详细视图。
在图11B中,缓冲层142的最外侧壁/边缘位于密封环结构128的再分布层138B上面,使得缓冲层142填充密封环结构126的再分布层138A与密封环结构128的再分布层138B之间的开口。再分布层138B的宽度(W1)大于或等于约4μm。这样就可以根据曝光工具的精度能力(精度±2μm)对上面的缓冲层142进行良好的着陆工艺能力控制。在一些实施例中,再分布层138B具有从钝化层130的顶面到再分布层138B的顶面测量的高度H1。在一些实施例中,再分布层138A和138B之间的开口的纵横比(H1/S1)小于约3。在一些实施例中,再分布层138A和138B之间的开口的纵横比(H1/S1)小于约2。在一些实施例中,再分布层138A和138B之间的开口的纵横比(H1/S1)小于约1。再分布层138AB和138B之间的开口的纵横比(H1/S1)小于约1使得用于凸块的上面的晶种层具有良好的台阶覆盖。另外,在本实施例中,缓冲层142的边缘与再分布层138B的最外边缘之间的距离(D1)小于或等于再分布层138B的宽度(W1)的一半。在一些实施例中,再分布层138B和138A的每个都具有高度H1和宽度W1。
在图12中,开口146形成为穿过缓冲层142,以暴露再分布层138C的部分。可以例如使用激光钻孔、蚀刻等形成开口146。
在图13中,导电连接件156形成在相应的再分布层138C上方并电耦合至相应的再分布层138C。在一些实施例中,每个导电连接件156延伸穿过缓冲层142和钝化层140,并物理接触相应的一个再分布层138C。在一些实施例中,每个连接件156包括凸块下金属(UBM)层150、位于UBM层150上方的导电柱152和位于导电柱152上方的焊料层154。导电连接件156可以在下文中称为导电柱156或微凸块156。
UBM层150和导电柱152形成为穿过开口146(穿过缓冲层142)到再分布层138C。作为示例,为了形成UBM层150,在缓冲层142和钝化层140上方形成晶种层(未示出)。在一些实施例中,晶种层是金属层,其可以是单层或或包括由不同材料形成的多个子层的复合层。在一些实施例中,晶种层包括钛层和钛层上方的铜层。可以使用例如PVD等形成晶种层。然后在晶种层上形成光刻胶并对光刻胶进行图案化。可以通过旋涂等形成光刻胶,并且可以将光刻胶暴露于光以进行图案化。光刻胶的图案对应于UBM层150和导电柱152。图案化形成穿过光刻胶的开口以暴露晶种层。在光刻胶的开口中和在晶种层的暴露部分上形成导电材料。可以通过诸如电镀或化学镀的镀来形成导电材料。导电材料可以包括金属,例如铜、钛、钨、铝等。
在形成UBM层150之后,可以以光刻胶的图案中形成导电柱152和焊料层154。导电柱152包括导电材料,诸如铜、钨、铝、银、金、它们的组合等。导电柱152可以是无焊料的并且具有基本垂直的侧壁。在一些实施例中,金属盖层(未示出)形成在金属柱连接件152的顶部上。金属盖层可以包括镍、锡、锡铅、金、银、钯、铟、镍-钯-金、镍-金等或它们的组合,并且可以通过镀工艺形成。在一些实施例中,导电柱152部分地填充光刻胶中的开口,并且开口的剩余部分填充有焊料材料,以在导电柱152上方形成焊料层154。
在一些实施例中,焊料层154包括合适的焊料材料。焊料材料可以是诸如PbSn成分的基于铅的焊料、包括InSb、锡、银和铜(“SAC”)成分的无铅焊料以及具有共同熔点并在电气应用中形成导电焊料连接的其他共晶材料。例如,对于无铅焊料,可以使用各种成分的SAC焊料,诸如SAC 105(Sn 98.5%、Ag 1.0%、Cu 0.5%)、SAC 305和SAC 405。无铅焊料还包括不使用银(Ag)的SnCu化合物和不使用铜(Cu)的SnAg化合物。在一些实施例中,可以使用蒸发、电化学镀工艺、化学镀工艺、印刷、焊料转移、它们的组合等来形成焊料材料。
在形成导电柱152和焊料层154之后,去除光刻胶和晶种层的其上未形成导电材料的部分。可以通过诸如使用氧等离子体等的可接受的灰化或剥离工艺来去除光刻胶。一旦去除了光刻胶,就诸如通过使用可接受的蚀刻工艺,诸如通过湿蚀刻或干蚀刻,去除晶种层的暴露部分。在去除UBM层150的暴露部分之后,对焊料层154执行回流工艺以将焊料层154的焊料材料成形为期望的形状。晶种层的剩余部分和导电材料形成导电连接件156。在实施例中,其中导电连接件156以不同的方式形成,可以使用更多的光刻胶和图案化步骤。
例如,在另一实施例中,导电连接件156是凸块结构,诸如焊料凸块。导电连接件156可以是球栅阵列(BGA)连接件、焊球、受控塌陷芯片连接(C4)凸块、化学镀镍-化学镀钯浸金技术(ENEPIG)形成的凸块等。在该实施例中,导电连接件156可以包括导电材料,诸如焊料、铜、铝、金、镍、银、钯、锡等或它们的组合。在该实施例中,通过最初通过诸如蒸发、电镀、印刷、焊料转移、球放置等的常用方法形成焊料层来形成导电连接件156。一旦在结构上形成焊料层,就可以执行回流以将材料成形为期望的凸块形状。
参考图1和图14,在晶圆100上形成连接件156之后,沿着划线104穿过密封环128并与密封环126相邻,将单元区域102分割,以形成单独的管芯结构,诸如图15和图16所示的管芯结构202。分割工艺158可以包括锯切工艺、蚀刻工艺、激光烧蚀工艺、它们的组合等。密封环128和密封环126的剩余部分在分割期间保护单元区域102的各种部件,并且可以减少或防止缺陷的形成(例如,分层、破裂等)。
图16示出了根据一些实施例的封装件400的截面图。封装件400包括使用接合结构304附接到衬底302的管芯结构202。在管芯结构202和衬底302之间以及在接合结构304周围形成底部填充材料306。底部填充材料306可以例如是分配在结构之间的液体环氧树脂、可变形凝胶、硅橡胶等,然后固化以使其硬化。另外,该底部填充材料306可用于减小对接合结构304的损坏并保护接合结构304。下面参考图17和图18示出将管芯结构202接合到衬底302和形成接合结构304的工艺步骤,并且此时提供详细描述。
在一些实施例中,衬底302可以包括类似于上面参考图2描述的衬底112的半导体晶圆的一部分,并且在此不再重复描述。在一些实施例中,衬底302还包括诸如电阻器、电容器、电感器等的无源器件,或者诸如晶体管的有源器件。在一些实施例中,衬底302包括附加的集成电路。衬底302还可以包括衬底通孔(TSV),并且可以是中介层。在一些实施例中,衬底302可以是封装衬底、封装的管芯、管芯结构等。在一些实施例中,衬底302还包括连接件308,该连接件308可用于将封装件400机械地和电连接至外部组件,诸如管芯结构、印刷电路板、另一封装件等。在一些实施例中,连接件308可以是焊球、受控塌陷芯片连接(C4)凸块、球栅阵列(BGA)球、微凸块、化学镀镍-化学镀钯浸金技术(ENEPIG)形成的凸块等。
图17和图18示出了根据一些实施例的在封装件400和接合结构304(参见图16)的形成中的中间阶段的截面图。图17和图18示出了衬底302和管芯结构202的一部分的放大图,在接合工艺完成之后,这些部分将成为封装件400的一部分309(参见图16)。图17示出了在执行接合工艺以形成封装件400之前的管芯结构202和衬底302的相对位置。衬底302可以包括插入在钝化层310和316之间的导电焊盘,诸如导电焊盘312。在一些实施例中,可以使用与上述钝化层130类似的材料和方法来形成钝化层310和316,并且在此不再重复描述。可以使用与上述再分布层138C类似的材料和方法来形成导电焊盘312,并且在此不再重复描述。导电焊盘312部分地由钝化层316覆盖。在导电焊盘312上方形成焊料层314,以填充形成在钝化层316中的开口,以随后与管芯结构202的相应连接件156的焊料层154或导电柱152(如果省略焊接层154)接合。可以使用与上述焊料层154类似的材料和方法来形成焊料层314,并且在此不再重复描述。
参考图18,使焊料层154和314物理接触,并执行回流工艺以将焊料层154和314合并为公共焊料层320,该公共焊料层320将导电焊盘312接合到导电柱152。UBM层150、导电柱152和焊料层320形成接合结构304。
图19示出了根据一些实施例的器件的制造中的中间阶段的截面图。图19是处理的中间阶段,并且视图类似于以上在图11B中描述和示出的视图,并且在此不重复形成该处理的中间阶段的描述。除了省略了密封环结构126和128与缓冲层142之间的钝化层140之外,该实施例与先前的实施例相似。与先前描述的实施例相似的关于该实施例的细节在此将不再重复。
在图19中,缓冲层142的最外侧壁/边缘位于密封环结构128的再分布层138B上面,使得缓冲层142填充密封环结构126的再分布层138A与密封环结构128的再分布层138B之间的开口。再分布层138B的宽度(W2)大于或等于约4μm。这样就可以根据曝光工具的精度能力(精度±2μm)对上面的缓冲层142进行良好的着陆工艺能力控制。在一些实施例中,再分布层138B具有从钝化层130的顶面到再分布层138B的顶面测量的高度H2。在一些实施例中,再分布层138A和138B之间的开口的纵横比(H2/S2)小于约3。在一些实施例中,再分布层138A和138B之间的开口的纵横比(H2/S2)小于约2。在一些实施例中,再分布层138A和138B之间的开口的纵横比(H2/S2)小于约1。再分布层138A和138B之间的开口的纵横比(H2/S2)小于约1使得用于凸块的上面的晶种层具有良好的台阶覆盖。而且,在该实施例中,缓冲层142的边缘与再分布层138B的最外边缘之间的距离(D2)小于或等于再分布层138B的宽度(W2)的一半。在一些实施例中,再分布层138B和138A的每个都具有高度H2和宽度W2。
图20示出了根据一些实施例的器件的制造中的中间阶段的截面图。图20是处理的中间阶段,并且视图类似于以上在图11B中描述和示出的视图,并且在此不重复形成该处理的中间阶段的描述。除了省略了缓冲层142之外,该实施例与先前的实施例相似。与先前描述的实施例相似的关于该实施例的细节在此将不再重复。
在图20中,再分布层138B的宽度(W3)大于或等于约4μm。这样就可以根据曝光工具的精度能力(精度±2μm)对上面的缓冲层142进行良好的着陆工艺能力控制。在一些实施例中,再分布层138B具有从钝化层130的顶面到再分布层138B的顶面测量的高度H3。在一些实施例中,再分布层138A和138B之间的开口的纵横比(H3/S3)小于约3。在一些实施例中,再分布层138A和138B之间的开口的纵横比(H2/S2)小于约2。在一些实施例中,再分布层138A和138B之间的开口的纵横比(H3/S3)小于约1。再分布层138A和138B之间的开口的纵横比(H3/S3)小于约1使得用于凸块的上面的晶种层具有良好的台阶覆盖。另外,在该实施例中,再分布层138B具有宽度(W3)。在一些实施例中,再分布层138B和138A的每个具有高度H3和宽度W3。
图21A和图21B示出了根据一些实施例的器件的制造中的中间阶段的截面图。图21A和图21B是类似于以上在图15中描述和示出的处理的中间阶段,并且在此不重复形成该处理的中间阶段的描述。该实施例与图1至图15中的实施例相似,除了密封环126和128仅存在单个再分布层结构。图21B示出了图21A的一部分的详细视图。与先前描述的实施例相似的关于该实施例的细节在此将不再重复。
在图21A中,可以通过与上述再分布层138A和138B类似的相似材料和相似工艺来形成再分布层160,并且在此不再重复描述。再分布层160物理地连接到相应的密封环部分124A和124B。再分布层138C物理连接到相应的互连结构118。每个再分布层160可以环绕或围绕相应的一个管芯区域106的内部和相应的一个单元区域102的内部。
密封环部分124A和再分布层160形成环绕相应的一个管芯区域106的内部的密封环126。密封环部分124B和再分布层160形成环绕相应的一个单元区域102的内部的密封环128。密封环128中的每个环绕相应的密封环126。在一些实施例中,密封环126和128可以与互连结构118电隔离。在一些实施例中,密封环126和128可以具有基本相似的结构。在其他实施例中,密封环126和128可以具有不同的结构。
在图21B中,缓冲层142的最外侧壁/边缘位于再分布层160上面,使得缓冲层142填充再分布层160和再分布层138C之间的开口。在一些实施例中,再分布层160具有从钝化层130的顶面到再分布层160的顶面测量的高度H4。在一些实施例中,再分布层160具有宽度W4。在一些实施例中,宽度W4大于约5μm。在一些实施例中,宽度W4在约5μm至约13μm的范围内。这使得上面的缓冲层142能够具有良好的着陆工艺能力控制,并使再分布层160的纵横比(H4/W4)最小化,从而能够使用于凸块的上面的晶种层具有良好的台阶覆盖。而且,在该实施例中,上面的缓冲层142的边缘与再分布层160的最外边缘之间的距离(D4)小于或等于宽度(W4)的一半。此外,在该实施例中,再分布层160形成在多个再分布层通孔开口132上方并且耦合至多个再分布层通孔开口132。
图22示出了根据一些实施例的器件的制造中的中间阶段的截面图。图22是处理的中间阶段,并且视图类似于以上在图21B中描述和示出的视图,并且在此不重复形成该处理的中间阶段的描述。该实施例与先前的实施例类似,除了再分布层160形成在单个再分布层开口132上方并耦合至单个再分布层开口132。关于该实施例的细节与先前描述的实施例相似,在此不再重复。
在图22中,缓冲层142的最外侧壁/边缘位于再分布层160上面,使得缓冲层142填充再分布层160和再分布层138C之间的开口。在一些实施例中,再分布层160具有从钝化层130的顶面到再分布层160的顶面测量的高度H5。在一些实施例中,再分布层160具有宽度W5。在一些实施例中,宽度W5大于约5μm。在一些实施例中,宽度W5在约5μm至约13μm的范围内。这使得上面的缓冲层142能够具有良好的着陆工艺能力控制,并使再分布层160的纵横比(H5/W5)最小化,从而能够使用于凸块的上面的晶种层具有良好的台阶覆盖。而且,在该实施例中,上面的缓冲层142的边缘与再分布层160的最外边缘之间的距离(D5)小于或等于宽度(W5)的一半。此外,在该实施例中,再分布层160形成在多个再分布层通孔开口132上方并且耦合至多个再分布层通孔开口132。
图23示出了根据一些实施例的器件的制造中的中间阶段的截面图。图23是处理的中间阶段,并且视图类似于以上在图21B中描述和示出的视图,并且在此不重复形成该处理的中间阶段的描述。除了省略了钝化层140之外,该实施例类似于先前的实施例。与先前描述的实施例相似的关于该实施例的细节在此将不再重复。
在图23中,缓冲层142的最外侧壁/边缘位于再分布层160上面,使得缓冲层142填充再分布层160和再分布层138C之间的开口。在一些实施例中,再分布层160具有从钝化层130的顶面到再分布层160的顶面测量的高度H6。在一些实施例中,再分布层160具有宽度W6。在一些实施例中,宽度W6大于约5μm。在一些实施例中,宽度W6在约5μm至约13μm的范围内。这使得上面的缓冲层142能够具有良好的着陆工艺能力控制,并使再分布层160的纵横比(H6/W6)最小化,从而能够使用于凸块的上面的晶种层具有良好的台阶覆盖。而且,在该实施例中,上面的缓冲层142的边缘与再分布层160的最外边缘之间的距离(D6)小于或等于宽度(W6)的一半。此外,在一些实施例中,再分布层160形成在多个再分布层通孔开口132上方并且耦合至多个再分布层通孔开口132。在一些实施例中,再分布层160形成在单个再分布层通孔开口132上方并且耦合至单个再分布层通孔开口132。
图24示出了根据一些实施例的在器件的制造中的中间阶段的截面图。图24是处理的中间阶段,并且视图类似于以上在图21B中描述和示出的视图,并且在此不重复形成该处理的中间阶段的描述。除了省略了缓冲层142之外,该实施例与先前的实施例相似。与先前描述的实施例相似的关于该实施例的细节在此将不再重复。
在图24中,再分布层160具有从钝化层130的顶面到再分布层160的顶面测量的高度H7。在一些实施例中,再分布层160具有宽度W7。在一些实施例中,宽度W7大于约5μm。在一些实施例中,宽度W7在约5μm至约13μm的范围内。这最小化再分布层160的纵横比(H6/W6),以使得用于凸块的上面的晶种层能够具有良好的台阶覆盖。此外,在一些实施例中,再分布层160形成在多个再分布层通孔开口132上方并且耦合至多个再分布层通孔开口132。在一些实施例中,再分布层160形成在单个再分布层通孔开口132上方并且耦合至单个再分布层通孔开口132。
也可能包括其他部件和工艺。例如,可以包括测试结构以辅助3D封装或3DIC器件的验证测试。测试结构可以包括例如形成在再分布层中或衬底上的测试焊盘,测试焊盘允许使用探针和/或探针卡等对3D封装或3DIC进行测试。验证测试可以在中间结构以及最终结构上执行。另外,本文公开的结构和方法可以与结合了已知良模的中间验证的测试方法结合使用,以增加产量并降低成本。
实施例可以实现优点。实施例包括密封环结构,该密封环结构改善了上面的晶种层的台阶覆盖并且提高了上面的绝缘层的着陆工艺能力。该密封环结构可以形成在半导体封装件的互连结构或再分布结构中。所公开的密封环结构通过减小密封环结构之间的开口的纵横比(高度/间距)来改善上面的晶种层的台阶覆盖和均匀性。此外,再分布层(RDL)具有较大的宽度,以改善上面的绝缘层的着陆工艺控制。晶种层的均匀性对于确定形成在晶种层上的凸块的共面性很重要。此外,可以通过溅射工艺来沉积晶种层,并且光滑的密封环和上面的绝缘层形貌使得晶种层具有良好的均匀性。
在实施例中,一种半导体封装件,包括:第一管芯,该第一管芯包括第一半导体衬底,该第一半导体衬底在第一半导体衬底的第一表面中具有有源器件,并且在第一半导体衬底的第一表面上方具有多个介电层;位于多个介电层中的多个金属层和通孔,所述多个金属层和通孔包括电耦合至所述有源器件的所述多个金属层和通孔的第一部分,以及所述多个金属层和通孔的第二部分,沿着第一半导体衬底的外围,多个金属层和通孔的第二部分围绕多个金属层和通孔的第一部分;以及位于多个介电层和多个金属层和通孔上方的第一钝化层;第一再分布层,位于第一钝化层上方,并且延伸穿过第一钝化层以物理接触多个金属层和通孔的第一部分;第二再分布层,位于第一钝化层上方,并且延伸穿过第一钝化层,以物理接触多个金属层和通孔的第二部分;以及聚合物层,位于第一再分布层和第二再分布层上方,聚合物层具有最外边缘,该最外边缘位于第二再分布层的顶面上方并且横向位于第二再分布层的顶面的边界内。
实施例可以包括以下特征中的一个或多个。半导体封装件还包括:第三再分布层,位于第一钝化层上方并且延伸穿过第一钝化层以物理接触多个金属层和通孔的第二部分。半导体封装件,其中第二再分布层具有第一高度和第一宽度,并且其中第二再分布层与第三再分布层间隔开第一间距,其中第一高度除以第一间距小于三。半导体封装件,其中第二再分布层具有第一高度和第一宽度,并且其中聚合物层的最外边缘和第二再分布层的最外边缘的距离为第一距离,第一距离大于或等于第一宽度的一半。半导体封装件还包括位于第二再分布层和聚合物层之间的钝化层,该钝化层是共形层。半导体封装件,其中第一再分布层和第二再分布层由铜制成。该半导体封装件还包括位于聚合物层上的导电连接件,该导电连接件延伸穿过聚合物层以电耦合至第一再分布层。半导体封装件还包括第二衬底,第一管芯通过导电连接件电耦合并且接合到第二衬底。
在实施例中,一种半导体封装件,包括:第一管芯,所述第一管芯包括第一半导体衬底,所述第一半导体衬底在所述第一半导体衬底的第一表面中具有有源器件,并且在所述第一半导体衬底的所述第一表面上方具有多个介电层;多个金属层和通孔,位于多个介电层中,多个金属层和通孔包括电耦合至有源器件的多个金属层和通孔的第一部分、沿着第一半导体衬底的外围的多个金属层和通孔的第二部分,多个金属层和通孔的第二部分围绕多个金属层和通孔的第一部分;第一钝化层,位于多个介电层和多个金属层和通孔上方;第一再分布层,位于第一钝化层上方,并且延伸穿过第一钝化层以物理接触多个金属层和通孔的第一部分;第二再分布层,位于第一钝化层上方,并且延伸穿过第一钝化层,以物理接触多个金属层和通孔的第二部分;聚合物层,位于第一再分布层和第二再分布层上方,所述聚合物层具有最外边缘,所述最外边缘位于所述第二再分布层的顶面上方并且横向位于所述第二再分布层的顶面的边界内。
实施例可以包括以下特征中的一个或多个。半导体封装件还包括:第三再分布层,位于第一钝化层上方并且延伸穿过第一钝化层以物理接触多个金属层和通孔的第二部分。半导体封装件,其中第二再分布层具有第一高度和第一宽度,并且其中第二再分布层与第三再分布层间隔开第一间距,其中第一高度除以第一间距小于三。半导体封装件,其中第二再分布层具有第一高度和第一宽度,并且其中聚合物层的最外边缘和第二再分布层的最外边缘的距离为第一距离,第一距离大于或等于第一宽度的一半。半导体封装件还包括位于第二再分布层和聚合物层之间的钝化层,该钝化层是共形层。半导体封装件,其中第一再分布层和第二再分布层由铜制成。该半导体封装件还包括位于聚合物层上的导电连接件,该导电连接件延伸穿过聚合物层以电耦合至第一再分布层。半导体封装件还包括第二衬底,第一管芯通过导电连接件电耦合并且接合到第二衬底。
在实施例中,一种方法包括在晶圆中形成多个有源器件,该晶圆包括多个管芯区域,每个管芯区域具有至少一个有源器件,在晶圆上方形成互连结构,该互连该结构包括位于多个介电层中的多个金属层和通孔,多个管芯区域的每个中的多个金属层和通孔包括电耦合至多个有源器件中的一个的多个金属层和通孔的第一部分以及沿着相应的管芯区域的外围的多个金属层和通孔的第二部分,在互连结构上方形成第一钝化层,在第一钝化层上方形成再分布层,多个管芯区域中的每个包括第一再分布层和第二再分布层,第一再分布层延伸穿过第一钝化层以物理接触所述多个金属层和通孔的相应第一部分,所述第二再分布层延伸穿过所述第一钝化层,以物理接触所述多个金属层和通孔的相应第二部分,以及在所述再分布层上方形成聚合物层,所述聚合物层具有最外边缘,所述最外边缘位于所述第二再分布层的顶面上方并且横向位于所述第二再分布层的顶面的边界内。
实施例可以包括以下特征中的一个或多个。该方法还包括分割晶圆以形成多个管芯区域,该分割包括沿着设置在相邻的第二再分布层之间的晶圆的区域进行锯切。在方法中,所述多个管芯区域中的每个还包括第三再分布层,所述第三再分布层延伸穿过所述第一钝化层以物理接触所述多个金属层和通孔的相应第二部分,其中第二再分布层的第一个具有第一高度和第一宽度,并且其中第二再分布层中的第一个与第三再分布层中的第一个间隔开第一间距,其中第一高度除以第一间距小于三。
上面概述了若干实施例的特征,使得本领域人员可以更好地理解本发明的方面。本领域人员应该理解,它们可以容易地使用本发明作为基底来设计或修改用于实施与本文所介绍实施例相同的目的和/或实现相同优势的其它工艺和结构。本领域技术人员也应该意识到,这种等同配置并且不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中它们可以做出多种变化、替换以及改变。

Claims (10)

1.一种半导体封装件,包括:
第一集成电路管芯,所述第一集成电路管芯包括:
第一衬底,包括有源器件;
互连结构,位于所述第一衬底上面,并且包括多个金属层以及连接所述多个金属层的通孔,所述互连结构电耦合至所述有源器件;
密封环结构,位于所述第一衬底上面并且沿着所述第一衬底的外围,所述密封环结构包括多个金属层以及连接多个金属层的通孔,所述密封环结构具有最顶部金属层,所述最顶部金属层是最远离所述第一衬底的所述密封环结构的金属层,所述密封环结构的所述最顶部金属层具有内金属结构和外金属结构,所述内金属结构与所述外金属结构间隔开;以及
聚合物层,位于所述密封环结构上方,所述聚合物层具有最外边缘,所述最外边缘位于所述密封环结构的所述外金属结构的顶面上方,所述聚合物层的所述最外边缘横向位于所述密封环结构的所述外金属结构的侧壁之间。
2.根据权利要求1所述的半导体封装件,其中,所述密封环结构的所述外金属结构具有第一高度和第一宽度,并且其中,所述外金属结构与所述内金属结构间隔开第一间距,其中,所述第一高度除以所述第一间距小于3。
3.根据权利要求1所述的半导体封装件,其中,所述密封环结构的所述外金属结构具有第一高度和第一宽度,并且其中,所述聚合物层的最外边缘和所述密封环结构的所述外金属结构的最外边缘的距离为第一距离,所述第一距离大于或等于所述第一宽度的一半。
4.根据权利要求1所述的半导体封装件,还包括:
钝化层,位于所述密封环结构的所述最顶部金属层和所述聚合物层之间,所述钝化层是共形层。
5.根据权利要求1所述的半导体封装件,其中,所述互连结构和所述密封环结构位于所述第一集成电路管芯中的相同层级处。
6.根据权利要求1所述的半导体封装件,其中,所述互连结构还包括最顶部金属层,所述互连结构的所述最顶部金属层是最远离所述第一衬底的所述互连结构的金属层,所述互连结构的所述最顶部金属层位于与所述密封环结构的所述最顶部金属层相同的层级处。
7.根据权利要求6所述的半导体封装件,还包括:
导电连接件,位于所述聚合物层上,所述导电连接件延伸穿过所述聚合物层以电耦合至所述互连结构的所述最顶部金属层。
8.根据权利要求7所述的半导体封装件,还包括:
第二衬底,所述第一集成电路管芯通过所述导电连接件电耦合并且接合到所述第二衬底。
9.一种半导体封装件,包括:
第一管芯,所述第一管芯包括:
第一半导体衬底,所述第一半导体衬底的第一表面中具有有源器件;
多个介电层,位于所述第一半导体衬底的所述第一表面上方;
多个金属层和通孔,位于所述多个介电层中,所述多个金属层和通孔包括:
所述多个金属层和通孔的第一部分,电耦合至所述有源器件;和
所述多个金属层和通孔的第二部分,沿着所述第一半导体衬底的外围,所述多个金属层和通孔的所述第二部分围绕所述多个金属层和通孔的所述第一部分;
第一钝化层,位于所述多个介电层和所述多个金属层和通孔上方;
第一再分布层,位于所述第一钝化层上方,并且延伸穿过所述第一钝化层以物理接触所述多个金属层和通孔的所述第一部分;
第二再分布层,位于所述第一钝化层上方,并且延伸穿过所述第一钝化层,以物理接触所述多个金属层和通孔的所述第二部分;以及
聚合物层,位于所述第一再分布层和所述第二再分布层上方,所述聚合物层具有最外边缘,所述最外边缘位于所述第二再分布层的顶面上方并且横向位于所述第二再分布层的所述顶面的边界内。
10.一种形成半导体封装件的方法,包括:
在晶圆中形成多个有源器件,所述晶圆包括多个管芯区域,每个所述管芯区域具有至少一个有源器件;
在所述晶圆上方形成互连结构,所述互连该结构包括位于多个介电层中的多个金属层和通孔,所述多个管芯区域的每个中的所述多个金属层和通孔包括:
所述多个金属层和通孔的第一部分,电耦合至所述多个有源器件中的一个;和
所述多个金属层和通孔的第二部分,沿着相应的管芯区域的外围;在所述互连结构上方形成第一钝化层;在所述第一钝化层上方形成再分布层,所述多个管芯区域中的每个包括第一再分布层和第二再分布层,所述第一再分布层延伸穿过所述第一钝化层以物理接触所述多个金属层和通孔的相应第一部分,所述第二再分布层延伸穿过所述第一钝化层,以物理接触所述多个金属层和通孔的相应第二部分;以及
在所述再分布层上方形成聚合物层,所述聚合物层具有最外边缘,所述最外边缘位于所述第二再分布层的顶面上方并且横向位于所述第二再分布层的所述顶面的边界内。
CN201911048041.7A 2018-10-31 2019-10-30 半导体封装件及其形成方法 Active CN111128933B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862753340P 2018-10-31 2018-10-31
US62/753,340 2018-10-31
US16/598,796 US11075173B2 (en) 2018-10-31 2019-10-10 Semiconductor device and method of forming same
US16/598,796 2019-10-10

Publications (2)

Publication Number Publication Date
CN111128933A true CN111128933A (zh) 2020-05-08
CN111128933B CN111128933B (zh) 2021-10-22

Family

ID=70327649

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201911048041.7A Active CN111128933B (zh) 2018-10-31 2019-10-30 半导体封装件及其形成方法

Country Status (5)

Country Link
US (2) US11075173B2 (zh)
KR (1) KR102387750B1 (zh)
CN (1) CN111128933B (zh)
DE (1) DE102019128619A1 (zh)
TW (1) TWI735992B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024103381A1 (en) * 2022-11-18 2024-05-23 Boe Technology Group Co., Ltd. Electronic device and method of fabricating electronic device

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12020998B2 (en) * 2020-04-10 2024-06-25 Mediatek Inc. Semiconductor structure and package structure having multi-dies thereof
US11862588B2 (en) 2021-01-14 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US12057423B2 (en) 2021-02-04 2024-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Bump integration with redistribution layer
US12040289B2 (en) * 2021-08-26 2024-07-16 Taiwan Semiconductor Manufacturing Company Limited Interposer including a copper edge seal ring structure and methods of forming the same
US12087648B2 (en) * 2021-08-27 2024-09-10 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structure with zigzag patterns and method forming same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106298694A (zh) * 2015-05-19 2017-01-04 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法和电子装置
CN106486442A (zh) * 2015-08-31 2017-03-08 台湾积体电路制造股份有限公司 半导体结构及其制造方法
CN106711140A (zh) * 2015-11-13 2017-05-24 台湾积体电路制造股份有限公司 半导体器件及其形成方法
US20170263569A1 (en) * 2016-03-10 2017-09-14 Apple Inc. System-in-Package Devices With Magnetic Shielding
CN107527826A (zh) * 2016-06-16 2017-12-29 台湾积体电路制造股份有限公司 叠层封装件结构和方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3778445B2 (ja) * 2003-03-27 2006-05-24 富士通株式会社 半導体装置
EP1517166B1 (en) * 2003-09-15 2015-10-21 Nuvotronics, LLC Device package and methods for the fabrication and testing thereof
CN1617312A (zh) * 2003-11-10 2005-05-18 松下电器产业株式会社 半导体器件及其制造方法
US7576426B2 (en) * 2005-04-01 2009-08-18 Skyworks Solutions, Inc. Wafer level package including a device wafer integrated with a passive component
US7723144B2 (en) * 2007-03-02 2010-05-25 Miradia Inc. Method and system for flip chip packaging of micro-mirror devices
US7595226B2 (en) * 2007-08-29 2009-09-29 Freescale Semiconductor, Inc. Method of packaging an integrated circuit die
US9048233B2 (en) 2010-05-26 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers
US9064879B2 (en) 2010-10-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures using a die attach film
US8797057B2 (en) 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
US9000584B2 (en) 2011-12-28 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor device with a molding compound and a method of forming the same
US9111949B2 (en) 2012-04-09 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus of wafer level package for heterogeneous integration technology
CN104380459B (zh) * 2012-07-19 2017-08-25 瑞萨电子株式会社 半导体装置
US8963317B2 (en) * 2012-09-21 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal dissipation through seal rings in 3DIC structure
US9136159B2 (en) 2012-11-15 2015-09-15 Amkor Technology, Inc. Method and system for a semiconductor for device package with a die-to-packaging substrate first bond
US8796072B2 (en) * 2012-11-15 2014-08-05 Amkor Technology, Inc. Method and system for a semiconductor device package with a die-to-die first bond
US9040349B2 (en) * 2012-11-15 2015-05-26 Amkor Technology, Inc. Method and system for a semiconductor device package with a die to interposer wafer first bond
US9263511B2 (en) 2013-02-11 2016-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package with metal-insulator-metal capacitor and method of manufacturing the same
US9048222B2 (en) 2013-03-06 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating interconnect structure for package-on-package devices
US9368460B2 (en) 2013-03-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and method for forming same
US9281254B2 (en) 2014-02-13 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuit package
US9852998B2 (en) * 2014-05-30 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Ring structures in device die
US9496189B2 (en) 2014-06-13 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor devices and methods of forming same
US9847317B2 (en) 2014-07-08 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of packaging semiconductor devices and packaged semiconductor devices
US9589915B2 (en) * 2014-07-17 2017-03-07 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
WO2017056297A1 (ja) * 2015-10-01 2017-04-06 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US9761522B2 (en) * 2016-01-29 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Wireless charging package with chip integrated in coil center
US11393771B2 (en) * 2018-09-27 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structures in semiconductor packaged device and method of forming same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106298694A (zh) * 2015-05-19 2017-01-04 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法和电子装置
CN106486442A (zh) * 2015-08-31 2017-03-08 台湾积体电路制造股份有限公司 半导体结构及其制造方法
CN106711140A (zh) * 2015-11-13 2017-05-24 台湾积体电路制造股份有限公司 半导体器件及其形成方法
US20170263569A1 (en) * 2016-03-10 2017-09-14 Apple Inc. System-in-Package Devices With Magnetic Shielding
CN107527826A (zh) * 2016-06-16 2017-12-29 台湾积体电路制造股份有限公司 叠层封装件结构和方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024103381A1 (en) * 2022-11-18 2024-05-23 Boe Technology Group Co., Ltd. Electronic device and method of fabricating electronic device

Also Published As

Publication number Publication date
TW202036809A (zh) 2020-10-01
TWI735992B (zh) 2021-08-11
US20200135664A1 (en) 2020-04-30
CN111128933B (zh) 2021-10-22
US20210351139A1 (en) 2021-11-11
KR20200050417A (ko) 2020-05-11
KR102387750B1 (ko) 2022-04-19
US11075173B2 (en) 2021-07-27
DE102019128619A1 (de) 2020-04-30

Similar Documents

Publication Publication Date Title
CN109786262B (zh) 互连芯片
CN111128933B (zh) 半导体封装件及其形成方法
CN109427597B (zh) 半导体封装件中的导电通孔及其形成方法
CN111799227B (zh) 半导体器件及其形成方法
US20160181124A1 (en) 3D Packages and Methods for Forming the Same
US12015023B2 (en) Integrated circuit package and method of forming same
US11393771B2 (en) Bonding structures in semiconductor packaged device and method of forming same
US11476219B2 (en) Metal-bump sidewall protection
US20130122700A1 (en) Multi-Die Stacking Using Bumps with Different Sizes
US11862605B2 (en) Integrated circuit package and method of forming same
US11527418B2 (en) Integrated circuit packages and methods of forming same
US11164824B2 (en) Package structure and method of fabricating the same
US20240266304A1 (en) Bonding structures in semiconductor packaged device and method of forming same
US11239233B2 (en) Integrated circuit packages and methods of forming same
TWI713858B (zh) 積體電路封裝及其形成方法
US11810793B2 (en) Semiconductor packages and methods of forming same
CN221747211U (zh) 集成电路封装
US20240021491A1 (en) Semiconductor device and method of forming the same
US20230352367A1 (en) Semiconductor package and method

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant