CN109558667A - 一种基于布线阻塞的优化方法 - Google Patents

一种基于布线阻塞的优化方法 Download PDF

Info

Publication number
CN109558667A
CN109558667A CN201811409504.3A CN201811409504A CN109558667A CN 109558667 A CN109558667 A CN 109558667A CN 201811409504 A CN201811409504 A CN 201811409504A CN 109558667 A CN109558667 A CN 109558667A
Authority
CN
China
Prior art keywords
wiring
parameter
short
configuration file
optimization
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201811409504.3A
Other languages
English (en)
Other versions
CN109558667B (zh
Inventor
叶虎强
黄明强
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Zhuhai Amicro Semiconductor Co Ltd
Original Assignee
Zhuhai Amicro Semiconductor Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Zhuhai Amicro Semiconductor Co Ltd filed Critical Zhuhai Amicro Semiconductor Co Ltd
Priority to CN201811409504.3A priority Critical patent/CN109558667B/zh
Publication of CN109558667A publication Critical patent/CN109558667A/zh
Application granted granted Critical
Publication of CN109558667B publication Critical patent/CN109558667B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E60/00Enabling technologies; Technologies with a potential or indirect contribution to GHG emissions mitigation

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Architecture (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明提出一种基于布线阻塞的优化方法,该优化方法是基于后端设计工具处理布线阻塞的技术问题,其解决方案与现有技术的区别在于,在完成常规的布线处理之后,根据执行的DRC检查结果的short参数和space参数,有针对性地对不同范围大小的布线阻塞区域作进一步的布局或布线优化,在优化过程中,通过重复更新布局和布线信息,来实现将DRC检查输出的short参数和space参数优化为0。提高物理设计的处理效率和设计的质量。

Description

一种基于布线阻塞的优化方法
技术领域
本发明涉及集成电路版图自动化设计领域,具体涉及一种基于布线阻塞的优化方法。
背景技术
集成电路中芯片设计流程包括前端功能设计和后端物理实现阶段,前端功能设计包括逻辑设计与综合等,后端物理实现阶段包括芯片布局、时钟综合以及布线等。而在常规的后端物理实现的布线阶段,会出现布线阻塞的问题,其中,布线阻塞指的是,在芯片进行后端物理实现的过程中,由于一定区域内的连线拥塞且布线资源不足,从而造成连线无法绕通。布线阻塞主要体现为出现DRC问题。那么现有技术手段下的解决办法为:
1、返回前端功能设计步骤,修改相关模块的代码或删减相关模块的功能。主要影响为:修改前端设计代码非常容易影响芯片的功能,造成未知的错误;并且还会影响整个设计流程的时间,导致芯片流片的推迟,进而推迟上市的时间,降低芯片的竞争力。
2、增大芯片的面积。主要影响为:增大芯片的面积,进而增加生产制造成本,也降低芯片的竞争力;并且,增大芯片面积也会影响到芯片评估工作,如封装的评估等。
集成电路设计的物理实现阶段中,如何通过合理的布局以及合理细致的优化策略,在不增加芯片的面积的前提下解决布线阻塞,成为迫切解决的技术问题。
发明内容
本发明针对现有技术的状况,提出如下的技术方案:一种基于布线阻塞的优化方法,该优化方法包括:步骤1、控制后端设计工具导入初始数据,并映射到版图的预设物理功能模块,然后进入步骤2;其中,所述初始数据包括逻辑网表、时序约束配置文件、初始布局配置文件、详细布局配置文件、选择布线配置文件、恢复时钟树配置文件和恢复布局配置文件;步骤2、根据所述初始布局配置文件完成所述物理功能模块的版图初始布局,然后进入步骤3;步骤3、根据所述时序约束文件,自动生成时钟树,并对该时钟树进行综合;步骤4、根据步骤1所述初始数据对特殊信号线和常规信号线进行布线处理,并获取DRC检查输出的布线阻塞结果,并进入步骤5;步骤5、从所述输出布线阻塞结果中自动提取出short参数和space参数,然后进入步骤6;其中,short参数表示同金属层的互连线的短路节点的个数;space参数表示同金属层的并行互连线中,金属布线间距小于安全防护值的布线通道的个数;步骤6、判断short参数和space参数是否都为0,是则结束,否则进入步骤7;步骤7、判断所述short参数与所述space参数之和是否小于预设经验值,是则进入步骤8,否则进入步骤9;其中,所述short参数远大于所述space参数;步骤8、在所述short参数与所述space参数之和小于所述预设经验值的违规区域内,对步骤4中所述特殊信号线的布线进行优化,同时限制所述违规区域内摆放的标准单元的密度大小范围,再在保留步骤8的优化结果的基础上,返回步骤3;步骤9、在所述short参数与所述space参数之和大于所述预设经验值的违规区域内,根据所述布线阻塞结果提取违规网络对应的所述预设物理功能模块,再对其进行布局优化处理,然后在保留步骤9的布局优化结果的基础上,返回步骤2。
进一步地,所述步骤3中,所述布线处理方法为:通过发送布线命令调用所述选择布线配置文件,优先对特殊信号线进行布线,再对常规信号线进行布线。
进一步地,由所述步骤8执行返回所述步骤3之前,通过发送恢复时钟树命令调用所述恢复时钟树配置文件,将所述时钟树恢复回所述步骤3综合之前的信号状态;由所述步骤9执行返回所述步骤2之前,通过发送恢复布局命令调用所述恢复布局配置文件,将所述步骤9优化处理的版图布局恢复回所述版图初始布局。
进一步地,所述特殊信号线为时钟信号线和电源信号线。
进一步地,所述步骤7和步骤8中,所述违规区域是指布线短路区域,或者间距违规区域。
进一步地,所述步骤9中,所述布局优化处理方法具体为:步骤91、根据所述输出布线阻塞结果获取所述违规网络信息,并将获取的网络信息写入到一个网络配置文件中;步骤92、通过发送网络处理命令调用所述网络配置文件,对所述违规网络所连接的标准单元进行提取,以期得到对应标准单元所在的所述预设物理功能模块;步骤93、对所述预设物理功能模块进行分类处理,从而得到出现布线阻塞的所述预设物理功能模块;步骤94、通过发送详细布局处理命令调用所述详细布局配置文件,将步骤93分类得到出现布线阻塞的所述预设物理功能模块相互拉近处理,使得彼此之间的连线达到最短安全防护距离值。
与现有技术相比,在布线阶段的针对性增强,不影响功能,提高处理效率,节省时间;根据物理设计阶段输出的不同结果,进行不同的处理方法,实现DRC错误的精准定位处理,提高物理设计的质量;不需要返回前端逻辑设计阶段修改代码,有利于减小芯片面积,降低成本,提高芯片的竞争力。
附图说明
图1为本发明实施例的一种基于布线阻塞的优化方法的流程框图。
图2为本发明实施例的一种针对出现布线阻塞的物理功能模块的布局优化处理方法的流程框图。
具体实施方式
下面将结合本发明实施例中的附图,对本发明实施例中的技术方案进行详细描述。应当理解,下面所描述的具体实施例仅用于解释本发明,并不用于限定本发明。
为了解决现有技术存在的不合理,本发明的目的是提供一种基于布线阻塞的优化方法,能够快速分辨并用于处理布线过程中出现的DRC错误中的布线阻塞。为实现上述目的,参考图 1,详细步骤如下:
步骤S1、控制后端设计工具导入初始数据,并将其中的逻辑描述网表数据映射到版图的预设物理功能模块,完成设计数据的准备工作,然后进入步骤S2;其中,所述初始数据包括逻辑网表、时序约束配置文件、初始布局配置文件、详细布局配置文件、选择布线配置文件、恢复时钟树配置文件和恢复布局配置文件。
步骤S2、根据所述初始布局配置文件完成所述预设物理功能模块的版图初始布局,然后进入步骤S3;通过初始布局设计确定芯片内部的硬核、软核、基本逻辑标准单元的位置,布局设计的结果将作为随后的布线设计的输入。
步骤S3、根据所述时序约束文件,自动生成时钟树,并对该时钟树进行综合,在执行时钟树综合的过程中,通过自动选择时钟树的深度和结构来平衡各互连路径的延迟大小。
步骤S4、根据步骤S1所述初始数据对特殊信号线和常规信号线进行布线处理,并通过执行DRC检查输出布线阻塞结果,并进入步骤S5;其中,所述特殊信号线为时钟信号线和电源信号线,这些信号线的布线优先级高于常规信号线。所述步骤S3中,所述布线处理方法为:通过发送布线命令调用所述选择布线配置文件,优先对特殊信号线进行布线,尤其是对所述时钟信号线进行提前布线以保证最小时钟偏差和时钟等待。
在完成步骤S2的标准单元的布局设计后,需要通过布线来完成相关节点的连接,一般先进行全局布线设计,产生一个布线规划,为每一段金属层互连线找到对应的布线通道,而详细布线则利用上述布线通道信息决定每个互连具体的位置和层次,从而实现标准单元之间的所有连接。
步骤S5、从所述输出布线阻塞结果中,自动提取出short参数和space参数,然后进入步骤S6;其中,short参数表示同金属层的互连线的短路节点的个数;space参数表示同金属层的并行互连线中,金属布线间距小于安全防护值的布线通道的个数,即间距违规的位置数目。上述参数都是DRC检查得到的错误信息。
步骤S6、判断short参数和space参数是否都为0,是则表示不存在相关的DRC错误,布线阻塞问题没有出现,结束所述优化方法,否则进入步骤S7。
步骤S7、判断所述short参数与所述space参数之和是否小于预设经验值,是则进入步骤S8,否则进入步骤S9;其中,基于布线阻塞的DRC错误主要以short参数为主导短路违规信息,原因在于DRC检查执行输出的布线阻塞结果中,所述short参数远大于所述space参数;当版图项目的大小及其使用的生产工艺不同,所以预设经验值也会不同,以满足集成电路芯片制造工艺的需要。
步骤S8、在所述short参数与所述space参数之和小于所述预设经验值的违规区域内,对所述特殊信号线的布线进行优化,包括优化电源网络和时钟信号线,同时限制所述违规区域内摆放的标准单元的密度大小范围,使得芯片内部的标准单元避免密集分布,有效防止布线阻塞问题的发生。再返回所述步骤S3。需要说明的是,所述short参数与所述space参数之和小于所述预设经验值的违规区域内,布线短路或者间距违规位置的数目较少,违规点的密度较小。
需要说明的是,所述初始数据还包括所述删除布线配置文件和所述删除布局配置文件。
在返回所述步骤S3之前,通过发送恢复时钟树命令调用所述恢复时钟树配置文件,将所述时钟树恢复回所述步骤S3综合之前的信号状态,具体地,包括:通过发送删除布线命令调用删除布线配置文件,对于所述步骤S4中完成布线的特殊信号线和常规信号线进行删除操作,并破坏所述步骤S3中综合得到的时钟树,然后在保留步骤S8的优化结果的基础上,进入所述步骤S3进行前述时钟树综合,然后在所述步骤S4中重新布线处理,重复前述设计流程,直到所述short参数和所述space参数都变成0为止。从而通过优化所述特殊信号线和调整有限的所述违规区域内的标准单元的密度,来加快该区域内的布线阻塞修复的处理速度,提高物理设计效率。
优选地,所述违规区域是指布线短路区域,或者间距违规区域。
步骤S9、在所述short参数与所述space参数之和大于所述预设经验值的违规区域内,根据所述布线阻塞结果提取违规网络对应的预设物理功能模块,再对其进行布局优化处理,然后返回所述步骤S2。需要说明的是,所述short参数与所述space参数之和大于所述预设经验值的违规区域内,布线短路或者间距违规位置的数目较多,违规点的密度较大。
在返回所述步骤S2之前,通过发送恢复布局命令调用所述恢复布局配置文件,将所述步骤S9优化处理的版图布局恢复回所述版图初始布局。具体地,包括:通过发送删除布局命令调用所述删除布局配置文件,对于所述步骤S2中生成的所述版图初始布局进行删除操作,再根据所述删除布线配置文件,对于已经完成布线的特殊信号线和常规信号线进行删除操作。然后在保留步骤S9的布局优化结果的基础上,进入所述步骤S2中重新进行版图的初始布局,重复前述设计流程,直到所述short参数和所述space参数都变成0为止。从而通过优化大范围的所述违规区域的所述预设物理功能模块的布局,来加快该区域内的布线阻塞修复的处理速度,提高物理设计效率。
优选地,所述步骤S9中,所述布局优化处理方法如图2所示,具体流程包括:
步骤S91、执行DRC检查后,根据所述输出布线阻塞结果获取所述违规网络信息,并将获取的网络信息写入到一个网络配置文件中,将违规的网络进行归类,然后进入步骤S92。
步骤S92、通过发送网络处理命令调用所述网络配置文件,提取所述违规网络所连接的标准单元,进一步获取对应标准单元所在的所述预设物理功能模块,然后进入步骤S93。
步骤S93、对所述预设物理功能模块进行分类处理,以期得到出现布线阻塞的所述预设物理功能模块,即包含于所述步骤S9中进行布局优化的违规区域内的所述预设物理功能模块。
步骤S94、通过发送详细布局处理命令调用所述详细布局配置文件,将步骤S93分类得到的出现布线阻塞的所述预设物理功能模块作相互拉近处理,使得彼此之间的连线达到最短安全防护距离值,从而节省布线资源。在布线短路或者间距违规位置的数目较多、违规点的密度较大的违规区域内,通过优化所述预设物理功能模块的布局位置,既满足所述特殊信号线的时序要求,又让互连线的阻塞程度减小,还保证互连总长度最小。
需要说明的是,前述实施例中,无论是从所述步骤S8返回所述步骤S3,还是从所述步骤S9返回所述步骤S2,每一个布局或布线操作指令的执行或多或少都会影响到另一个,所以优化方法在执行的过程中都会自动地折中处理DRC错误信息中的所述short参数和所述space参数的变化,以实现所述short参数和所述space参数都被优化变成0。
以上各实施例仅用以说明本发明的技术方案,而非对其限制;尽管参照前述各实施例对本发明进行了详细的说明,本领域的普通技术人员应当理解:其依然可以对前述各实施例所记载的技术方案进行修改,或者对其中部分或者全部技术特征进行等同替换;而这些修改或者替换,并不使相应技术方案的本质脱离本发明各实施例技术方案的范围。

Claims (6)

1.一种基于布线阻塞的优化方法,该优化方法包括:
步骤1、控制后端设计工具导入初始数据,并映射到版图的预设物理功能模块,然后进入步骤2;其中,所述初始数据包括逻辑网表、时序约束配置文件、初始布局配置文件、详细布局配置文件、选择布线配置文件、恢复时钟树配置文件和恢复布局配置文件;
步骤2、根据所述初始布局配置文件完成所述物理功能模块的版图初始布局,然后进入步骤3;
步骤3、根据所述时序约束文件,自动生成时钟树,并对该时钟树进行综合;
其特征在于,所述优化方法还包括:
步骤4、根据步骤1所述初始数据对特殊信号线和常规信号线进行布线处理,并获取DRC检查输出的布线阻塞结果,并进入步骤5;
步骤5、从所述输出布线阻塞结果中自动提取出short参数和space参数,然后进入步骤6;其中,short参数表示同金属层的互连线的短路节点的个数;space参数表示同金属层的并行互连线中,金属布线间距小于安全防护值的布线通道的个数;
步骤6、判断short参数和space参数是否都为0,是则结束,否则进入步骤7;
步骤7、判断所述short参数与所述space参数之和是否小于预设经验值,是则进入步骤8,否则进入步骤9;其中,所述short参数远大于所述space参数;
步骤8、在所述short参数与所述space参数之和小于所述预设经验值的违规区域内,对步骤4中所述特殊信号线的布线进行优化,同时限制所述违规区域内摆放的标准单元的密度大小范围,再在保留步骤8的优化结果的基础上,返回步骤3;
步骤9、在所述short参数与所述space参数之和大于所述预设经验值的违规区域内,根据所述布线阻塞结果提取违规网络对应的所述预设物理功能模块,再对其进行布局优化处理,然后在保留步骤9的布局优化结果的基础上,返回步骤2。
2.根据权利要求1所述优化方法,其特征在于,所述步骤3中,所述布线处理方法为:通过发送布线命令调用所述选择布线配置文件,优先对特殊信号线进行布线,再对常规信号线进行布线。
3.根据权利要求1所述优化方法,其特征在于,由所述步骤8执行返回所述步骤3之前,通过发送恢复时钟树命令调用所述恢复时钟树配置文件,将所述时钟树恢复回所述步骤3综合之前的信号状态;
由所述步骤9执行返回所述步骤2之前,通过发送恢复布局命令调用所述恢复布局配置文件,将所述步骤9优化处理的版图布局恢复回所述版图初始布局。
4.根据权利要求1至3任一项所述优化方法,其特征在于,所述特殊信号线为时钟信号线和电源信号线。
5.根据权利要求1所述优化方法,其特征在于,所述步骤7和步骤8中,所述违规区域是指布线短路区域,或者间距违规区域。
6.根据权利要求1所述优化方法,其特征在于,所述步骤9中,所述布局优化处理方法具体为:
步骤91、根据所述输出布线阻塞结果获取所述违规网络信息,并将获取的网络信息写入到一个网络配置文件中;
步骤92、通过发送网络处理命令调用所述网络配置文件,对所述违规网络所连接的标准单元进行提取,以期得到对应标准单元所在的所述预设物理功能模块;
步骤93、对所述预设物理功能模块进行分类处理,从而得到出现布线阻塞的所述预设物理功能模块;
步骤94、通过发送详细布局处理命令调用所述详细布局配置文件,将步骤93分类得到出现布线阻塞的所述预设物理功能模块相互拉近处理,使得彼此之间的连线达到最短安全防护距离值。
CN201811409504.3A 2018-11-23 2018-11-23 一种基于布线阻塞的优化方法 Active CN109558667B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201811409504.3A CN109558667B (zh) 2018-11-23 2018-11-23 一种基于布线阻塞的优化方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201811409504.3A CN109558667B (zh) 2018-11-23 2018-11-23 一种基于布线阻塞的优化方法

Publications (2)

Publication Number Publication Date
CN109558667A true CN109558667A (zh) 2019-04-02
CN109558667B CN109558667B (zh) 2023-07-14

Family

ID=65867003

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811409504.3A Active CN109558667B (zh) 2018-11-23 2018-11-23 一种基于布线阻塞的优化方法

Country Status (1)

Country Link
CN (1) CN109558667B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111177989A (zh) * 2019-12-27 2020-05-19 广东高云半导体科技股份有限公司 以布线结果为导向的布局布线控制方法及装置、系统
CN111222295A (zh) * 2020-01-07 2020-06-02 广东高云半导体科技股份有限公司 基于布线资源的布局布线控制方法及装置、系统
CN112466872A (zh) * 2020-12-01 2021-03-09 江苏博沃汽车电子系统有限公司 一种集成电路布线方法及装置
CN112651208A (zh) * 2020-12-30 2021-04-13 杭州加速科技有限公司 一种fpga芯片内各模块之间的布线拥塞优化方法
CN112699631A (zh) * 2021-01-14 2021-04-23 安徽省东科半导体有限公司 解决布线通道拐角处布线拥塞问题的设计优化方法
CN113642280A (zh) * 2020-04-27 2021-11-12 中国科学院上海微系统与信息技术研究所 超导集成电路的布局方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010034588A1 (en) * 2000-03-17 2001-10-25 Maneesh Agrawals System and method for abstracting and visualizing a rout map
CN1979507A (zh) * 2005-12-08 2007-06-13 国际商业机器公司 执行集成电路布线的方法
CN107203676A (zh) * 2017-06-22 2017-09-26 上海兆芯集成电路有限公司 用以提升集成电路设计的时序性能的方法及数据处理系统
US20170294430A1 (en) * 2016-04-07 2017-10-12 Samsung Electronics Co., Ltd. Standard cell for removing routing interference between adjacent pins and device including the same
CN107784179A (zh) * 2017-11-13 2018-03-09 嘉兴倚韦电子科技有限公司 集成电路半定制后端设计布线和优化方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010034588A1 (en) * 2000-03-17 2001-10-25 Maneesh Agrawals System and method for abstracting and visualizing a rout map
CN1979507A (zh) * 2005-12-08 2007-06-13 国际商业机器公司 执行集成电路布线的方法
US20170294430A1 (en) * 2016-04-07 2017-10-12 Samsung Electronics Co., Ltd. Standard cell for removing routing interference between adjacent pins and device including the same
CN107203676A (zh) * 2017-06-22 2017-09-26 上海兆芯集成电路有限公司 用以提升集成电路设计的时序性能的方法及数据处理系统
CN107784179A (zh) * 2017-11-13 2018-03-09 嘉兴倚韦电子科技有限公司 集成电路半定制后端设计布线和优化方法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111177989A (zh) * 2019-12-27 2020-05-19 广东高云半导体科技股份有限公司 以布线结果为导向的布局布线控制方法及装置、系统
CN111177989B (zh) * 2019-12-27 2023-03-24 广东高云半导体科技股份有限公司 以布线结果为导向的布局布线控制方法及装置、系统
CN111222295A (zh) * 2020-01-07 2020-06-02 广东高云半导体科技股份有限公司 基于布线资源的布局布线控制方法及装置、系统
CN113642280A (zh) * 2020-04-27 2021-11-12 中国科学院上海微系统与信息技术研究所 超导集成电路的布局方法
CN112466872A (zh) * 2020-12-01 2021-03-09 江苏博沃汽车电子系统有限公司 一种集成电路布线方法及装置
CN112651208A (zh) * 2020-12-30 2021-04-13 杭州加速科技有限公司 一种fpga芯片内各模块之间的布线拥塞优化方法
CN112699631A (zh) * 2021-01-14 2021-04-23 安徽省东科半导体有限公司 解决布线通道拐角处布线拥塞问题的设计优化方法
WO2022151787A1 (zh) * 2021-01-14 2022-07-21 东科半导体(安徽)股份有限公司 解决布线通道拐角处布线拥塞问题的设计优化方法

Also Published As

Publication number Publication date
CN109558667B (zh) 2023-07-14

Similar Documents

Publication Publication Date Title
CN109558667A (zh) 一种基于布线阻塞的优化方法
US8015522B2 (en) System for implementing post-silicon IC design changes
US6002857A (en) Symbolic constraint-based system for preroute reconstruction following floorplan incrementing
US8527930B2 (en) Generating and using route fix guidance
US9396301B1 (en) Method, system, and computer program product for interconnecting circuit components with track patterns for electronic circuit designs
TWI472938B (zh) 在積體電路的電力網路中自動降低堆疊通孔的方法
US20080115102A1 (en) System and method for automatic elimination of connectivity mismatches during construction of a mask layout block, maintaining process design rule correctness
CN101539958A (zh) 一种标准单元库和集成电路的设计方法和装置
US9292638B1 (en) Method and apparatus for performing timing closure analysis when performing register retiming
CN115659901B (zh) 一种芯片物理设计的距离布线优化方法和装置
US7134112B1 (en) Incremental routing in integrated circuit design
CN116341438A (zh) 提高fpga原型验证时序收敛的方法、系统、设备和存储介质
CN115713060A (zh) 时序违例修复方法、装置、服务器及可读存储介质
Baek et al. Pin accessibility and routing congestion aware DRC hotspot prediction using graph neural network and U-Net
CN104750886A (zh) 一种集成电路版图布线中确定引脚连接区域的方法
US9275184B1 (en) Method and apparatus for performing timing closure analysis when performing register retiming
JP2005158075A (ja) 相互接続対応の集積回路設計
JP2010257164A (ja) 半導体集積回路装置の設計方法およびプログラム
US10331840B2 (en) Resource aware method for optimizing wires for slew, slack, or noise
CN115587569B (zh) 芯片版图的设计规则检查方法、系统及存储介质
US20060277517A1 (en) Wire spreading through geotopological layout
CN116502573A (zh) 一种基于综合数据库实现后仿真的方法和系统
JP2006190062A (ja) 半導体集積回路のフロアプラン方法および計算機システム
CN103164546A (zh) 一种电路原理图连线的生成方法
Xiong et al. Extended global routing with RLC crosstalk constraints

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
CB02 Change of applicant information

Address after: 519000 2706, No. 3000, Huandao East Road, Hengqin new area, Zhuhai, Guangdong

Applicant after: Zhuhai Yiwei Semiconductor Co.,Ltd.

Address before: Room 105-514, No.6 Baohua Road, Hengqin New District, Zhuhai City, Guangdong Province

Applicant before: AMICRO SEMICONDUCTOR Co.,Ltd.

CB02 Change of applicant information
GR01 Patent grant
GR01 Patent grant