CN108227391A - 光学微影系统 - Google Patents

光学微影系统 Download PDF

Info

Publication number
CN108227391A
CN108227391A CN201710641484.1A CN201710641484A CN108227391A CN 108227391 A CN108227391 A CN 108227391A CN 201710641484 A CN201710641484 A CN 201710641484A CN 108227391 A CN108227391 A CN 108227391A
Authority
CN
China
Prior art keywords
mentioned
pole
diffractive optical
optical elements
parsing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201710641484.1A
Other languages
English (en)
Inventor
林华泰
杨育铨
梁文达
陈庆煌
孙启元
王士哲
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN108227391A publication Critical patent/CN108227391A/zh
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

一种光学微影系统,包含:一基底台,用以承载一工件;以及一罩幕,具有多个主要图形与多个次解析辅助图形(sub‑resolution assistant pattern)。此系统还包含一绕射光学元件(diffractive optical element;DOE),用以将具有上述主要图形的一空中影像(aerial image)的辐射导引至上述工件上。上述绕射光学元件包含第一对的极(pole),上述第一对的极是沿着一第一方向而相对于上述绕射光学元件的中心为对称配置。上述主要图形是沿着正交于上述第一方向的一第二方向而纵向地定向,上述次解析辅助图形是沿着上述第一方向而纵向地定向。

Description

光学微影系统
技术领域
本公开涉及一种制造半导体装置的光学微影系统及光学微影方法,特别涉及具有偶极照明(dipole illumination)及含主要图形与次解析辅助图形的罩幕(或图罩)的光学微影系统及光学微影方法。
背景技术
半导体集成电路(integrated circuit;IC)技术已历经了快速发展,包括特征尺寸的持续的最小化与封装密度的持续的最大化。特征尺寸的最小化是倚赖光学微影技术的改善及其呈现较小的特征尺寸或临界尺寸(critical dimensions;CD)的能力。已发展各种分辨率增强技术(resolution enhancement techniques;RET),以增强光学微影技术的分辨率。上述技术的一例是离轴照明(off-axis illumination;OAI)。离轴照明可包含该辐射的「在轴」(在光轴上)的成分被减少及/或消除的任何辐射。然而,用于离轴照明的情况,此照明的形状与尺寸必须依照预定要显现在一基板上的特定图形作设计及/或最佳化。另一种经常与离轴照明一起使用的分辨率增强技术是双偶极微影(double dipolelithography;DDL)或双重曝光(double patterning)。在双偶极微影中,将图形分开成一垂直图形与一水平图形,其依序需要二道(双重)曝光制程。在双偶极微影的每道曝光制程可使用一适用的离轴照明。然而,即使是使用双偶极微影与离轴照明,在罩幕制造过程中的负荷效应(loading effects)仍是需要留意的议题,其中一单一的罩幕(或图罩)可包含密集的图形与疏离的图形。
因此,在上述领域的至少一项,仍然需要改善。
发明内容
有鉴于此,本公开的一实施例是提供一种光学微影系统,包含:一基底台,用以承载一工件;以及一罩幕,具有多个主要图形与多个次解析辅助图形(sub-resolutionassistant pattern)。此光学微影系统还包含一绕射光学元件(diffractive opticalelement;DOE),用以将具有上述主要图形的一空中影像(aerial image)的辐射导引至上述工件上。上述绕射光学元件包含第一对的极(pole),上述第一对的极是沿着一第一方向而相对于上述绕射光学元件的中心为对称配置。上述主要图形是沿着正交于上述第一方向的一第二方向而纵向地定向,上述次解析辅助图形是沿着上述第一方向而纵向地定向。
本公开的另一实施例是提供一种光学微影系统,包含:一辐射源,用以提供一辐射;一罩幕,具有多个主要图形与多个次解析辅助图形;以及一绕射光学元件,用以将上述辐射经由上述罩幕而导引至一基底上,其中上述绕射光学元件包含第一对的极,上述第一对的极是沿着一第一方向而相对于上述绕射光学元件的中心为对称配置。上述主要图形是沿着正交于上述第一方向的一第二方向而定向,上述次解析辅助图形是沿着上述第一方向而定向,上述第一方向与上述第二方向均正交于上述辐射的一光轴。上述次解析辅助图形的图形密度是上述主要图形的图形密度的(100%±15%)的范围内。
本公开的又另一实施例是提供一种光学微影的方法,包含:提供一光学微影系统;以及将一第一绕射光学元件耦合于上述光学微影系统,其中上述第一绕射光学元件包含第一对的极,上述第一对的极是沿着一第一方向而相对于上述第一绕射光学元件的中心为对称配置。此光学微影的方法还包含将一第一罩幕耦合于上述光学微影系统,其中上述第一罩幕具有多个第一主要图形与多个第一次解析辅助图形(first sub-resolutionassistant pattern),上述第一主要图形是沿着正交于上述第一方向的一第二方向而定向,上述第一次解析辅助图形是沿着上述第一方向而定向。此光学微影的方法还包含施以一第一曝光制程而使用上述第一绕射光学元件与上述第一罩幕,在一基底上形成一第一影像。此光学微影的方法还包含将一第二绕射光学元件耦合于上述光学微影系统,其中上述第二绕射光学元件包含第二对的极,上述第一对的极是沿着上述第二方向而相对于上述第二绕射光学元件的中心为对称配置。此光学微影的方法还包含将一第二罩幕耦合于上述光学微影系统,其中上述第二罩幕具有多个第二主要图形与多个第二次解析辅助图形(second sub-resolution assistant pattern),上述第二主要图形是沿着上述第一方向而定向,上述第二次解析辅助图形是沿着上述第二方向而定向。此光学微影的方法还包含施以一第二曝光制程而使用上述第二绕射光学元件与上述第二罩幕,在上述基底上形成一第二影像。
附图说明
根据以下的详细说明并配合附图做完整公开。应注意的是,根据本产业的一般作业,附图并未必按照比例绘制。事实上,可能任意的放大或缩小元件的尺寸,以做清楚的说明。
图1是一示意图,显示根据本公开的态样建构的一光学微影系统的一实施形态。
图2a显示根据本公开的态样的绕射光学元件的例示的实施形态。
图2b显示根据本公开的态样的绕射光学元件的例示的实施形态。
图2c显示根据本公开的态样的绕射光学元件的例示的实施形态。
图2d显示根据本公开的态样的绕射光学元件的例示的实施形态。
图2e显示根据本公开的态样的绕射光学元件的例示的实施形态。
图2f显示根据本公开的态样的绕射光学元件的例示的实施形态。
图3a显示根据本公开的态样的具有多个主要图形与多个次解析辅助图形的罩幕的例示的实施形态。
图3b显示根据本公开的态样的具有多个主要图形与多个次解析辅助图形的罩幕的例示的实施形态。
图3c显示根据本公开的态样的具有多个主要图形与多个次解析辅助图形的罩幕的例示的实施形态。
图3d显示根据本公开的态样的具有多个主要图形与多个次解析辅助图形的罩幕的例示的实施形态。
图3e显示根据本公开的态样的具有多个主要图形与多个次解析辅助图形的罩幕的例示的实施形态。
图3f显示根据本公开的态样的具有多个主要图形与多个次解析辅助图形的罩幕的例示的实施形态。
图4是一流程图,显示根据本公开的态样的光学微影的方法。
附图标记说明:
100 光学微影系统
110 辐射源
112 辐射
120 聚光透镜
130 光罩
132 主要图形
134 次解析辅助图形
140 接物镜
150 绕射光学元件
152 板状物
154、156、158、159 极
160 基底
162 影像
170 基底台
400 方法
410、420、430、440、450、 步骤
460
p1、p2、p3、p4、p5 节距
w1、w2 宽度
具体实施方式
为让本公开的上述和其他目的、特征、和优点能更明显易懂,下文特举出较佳实施例,并配合附图,作详细说明如下:
要了解的是本说明书以下的公开内容提供许多不同的实施例或范例,以实施本公开的不同特征。以下将配合附图详述本公开的实施例,其中同样或类似的元件将尽可能以相同的元件符号表示。在附图中可能夸大实施例的形状与厚度以便清楚表面本公开的特征。而本说明书以下的公开内容是叙述各个构件及其排列方式的特定范例,以求简化发明的说明。当然,这些特定的范例并非用以限定本公开。例如,若是本说明书以下的公开内容叙述了将一第一特征形成于一第一特征之上或上方,即表示其包含了所形成的上述第一特征与上述第二特征是直接接触的实施例,亦包含了尚可将附加的特征形成于上述第一特征与上述第二特征之间,而使上述第一特征与上述第二特征可能未直接接触的实施例。另外,本说明书以下的公开内容可能在各个范例中使用重复的元件符号,以使说明内容更加简化、明确,但是重复的元件符号本身并未指示不同的实施例及/或结构之间的关系。
另外,在本案专利说明书中,在数值相关叙述后接「以上」、「以下」的词来叙述数值范围的情况中,除非另有加注,相关的数值范围是包含上述「以上」、「以下」的词前接的数值。
本公开涉及一种制造半导体装置的光学微影系统及光学微影方法,特别涉及具有偶极照明(dipole illumination)及含主要图形与次解析辅助图形的罩幕(或图罩)的光学微影系统及光学微影方法。在一实施例中,上述主要图形是包含罩幕图形所在且被隔离的区域,而上述次解析辅助图形是配置在邻接上述主要图形的区域,用以减少光罩制造的负载效应及/或提供光学近接(optical proximity)的优点。上述主要图形的取向与上述次解析辅助图形的取向是彼此正交。上述偶极照明是正交于上述主要图形的取向且平行于上述次解析辅助图形的取向。上述偶极照明的设计,是为了将上述主要图形印至一基底上,而不将上述次解析辅助图形印至相同的基底上。
在本公开中,一「次解析」辅助图形,指的是一单一图形,其宽度小于此光学微影系统的分辨率。换句话说,上述光学微影系统不会将上述次解析辅助图形印出。要了解的是,将多个次解析辅助图形配置成彼此靠得够近(例如,其图形节距低于一既定的阀值)时,上述多个次解析辅助图形可使上述光学微影系统印出一或多个既定影像,尽管上述一或多个既定影像与上述多个次解析辅助图形的原始配置可能不符。
还有,在本公开中,多个具有均匀的尺寸与间隔的图形(例如,线状图形)的图形密度,是定义为一个单一图形的宽度对这些图形的节距的比值。在本公开的各种实施例中,上述次解析辅助图形的图形密度是上述主要图形的图形密度的(100%±15%)的范围内,较好为上述主要图形的图形密度的(100%±5%)的范围内。上述次解析辅助图形与上述主要图形之间紧密相称的图形密度,有助于减轻制造罩幕的制程的负荷效应。
图1是一简化的示意图,显示根据本公开的态样建构的一光学微影系统(或是,微影系统)100的一实施形态。请参考图1,光学微影系统100包含一辐射源(或是,照明源)110。辐射源110可以是任何适用的辐射源。例如,辐射源110可以是:具有436nm(G-线)或365nm(I-线)的波长的一水银灯;具有248nm的波长的氟化氪(Krypton Fluoride;KrF)准分子激光器;具有193nm的波长的氟化氩(Argon Fluoride;ArF)准分子激光器;具有157nm的波长的氟(F2)准分子激光器;或是具有所欲的波长(例如,低于约100nm)的其他辐射源。辐射源110可包含一光源,其选自由紫外线(ultraviolet;UV)光源、深紫外线(deep ultraviolet;DUV)光源、极紫外线(extreme ultraviolet;EUV)光源与X光光源所组成的族群。辐射源110可替代性地包含一粒子源,其选自电子束(electron beam;E-Beam)源、离子束源与等离子体源所组成的族群。要了解的是在辐射源的以上的说明中,每一种辐射源可包含一既定的波长分布,而不是一精确的单一波长。例如,上述水银灯的I-线(例如,365nm)的波长可以不是精确的365nm,但可以是从365nm往上与往下延伸的变化性的波长的范围,其以约365nm为中心。此范围可用来决定在光学微影的过程中的一最小可能线宽,其从所欲的365nm的波长的变化性较小,结果可得到一较细的线宽。辐射源110会产生一辐射(或是,辐射能量)112,其具有如前述的中心波长。
光学微影系统100包含一聚光透镜(condenser lens)120。聚光透镜120可包含一单一的透镜元件或多个透镜元件,并可包含微透镜阵列、遮光罩(shadow masks)及/或被设计来帮助将来自辐射源110的光线导引至一光罩(例如,光罩130)上的其他结构。光学微影系统100还包含一接物镜(objective lens)140。接物镜140可包含一单一的透镜元件或多个透镜元件。每个透镜可包含一透明基底,且可还包含多个涂层。上述透明基底可以以熔融二氧化硅(fused silica)(SiO2)、氟化钙(CaF2)、氟化锂(LiF)、氟化钡(BaF2)或其他适当的材料制造。用于每个透镜元件的材料可基于用于光学微影系统100的辐射112的波长而选择,以将吸收与散射最小化。聚光透镜120与接物镜140可以合称为一影像透镜。上述影像透镜可还包含附加的构件,例如一入口光瞳(pupil)与一出口光瞳,以将以一光罩(例如,光罩130)划定的一影像形成至即将被图形化的一基底(例如,基底160)上。
在一微影图形化的制程的过程中,一光罩(亦可称之为一罩幕或一图罩)130亦可被包含于光学微影系统100。在一实施例中,光罩130是一透明的罩幕,其可包含一透明的基底与一图形化的吸收层。上述透明基底可使用相对无缺陷的熔融二氧化硅(fused silica)(SiO2),例如硼硅酸玻璃(borosilicate glass)与钠钙玻璃(soda-lime glass)。上述透明基底可使用氟化钙及/或其他适当的材料。上述图形化的吸收层的形成可使用多道制程及多种材料,例如沉积以铬(Cr)与铁的氧化物所制的一金属膜、或是沉积使用MoSi、ZrSiO、SiN及/或TiN所制的一无机膜。当例如辐射112等的一辐射被导引至一吸收区之上时,其可能会部分地或完全被阻挡。上述吸收层可被图形化而具有一或多个开口,通过上述一或多个开口,使一辐射线可不被上述吸收层所吸收而传播,藉此产生一图形化的辐射线。在另一实施例中,光罩130是一反射式的罩幕,其具有一低热膨胀材料(low thermal expansionmaterial;LTEM)的基底,此低热膨胀材料的基底的上方,沉积有多个反射层,且一图形化的吸收层置于上述反射层的上方。在这样的实施例中,光罩130是选择性地吸收上述辐射线的某些部分而反射上述辐射线的其他部分,藉此产生一图形化的辐射线。光罩130可结合分辨率增强技术,例如相位移罩幕(phase shift mask;PSM)及/或光学近接修正(opticalproximity correction;OPC)。
无论是一透明罩幕或是一反射式罩幕,光罩130包含对应于上述图形化的吸收层的各种光罩图形。在本实施例中,光罩130包含多个主要图形132与多个次解析辅助图形(sub-resolution assistant patterns)134。主要图形132是被设计为通过光学微影系统100而被印至一基底上的图形,而次解析辅助图形134则被设计为不会被印至相同的基底上。在一实施例中,每一个主要图形132都是一线状图形,其线宽大于光学微影系统100的分辨率,且每一个次解析辅助图形134都是一线状图形,其线宽小于光学微影系统100的分辨率。在另一实施例中,主要图形132的宽度大于次解析辅助图形134的宽度的二倍。主要图形132与次解析辅助图形134的线宽尺寸的差异,有助于扩大用于光学微影系统100的设计裕度。例如,可扩大用于聚光透镜120与接物镜140的设计的设计裕度。在各种实施例中,主要图形132及/或次解析辅助图形134可具有线状图形以外或取代线状图形的其他形状。在某些实施例中,主要图形132可用来形成电路构件,例如主动区、隔离结构、金属线与栅极结构。次解析辅助图形134亦可称之为虚置(dummy)图案,因为其未被用来形成一基底上的任何电路构件。
在本实施例中,主要图形132是位于光罩130的一疏离区。换句话说,主要图形132是通过相对较大的间隔,与其他的主要图形分离。尽管未绘示于附图,光罩130可包含一或多个高密度区,其中主要图形是被紧密地配置。当一罩幕具有高密度区与疏离区二者,在罩幕制造的过程中,其图形密度差会具有疏-密的负载效应,而会引发特征尺寸的误差。在本实施例中,次解析辅助图形134是置于邻接主要图形132之处;更具体而言,次解析辅助图形134是在每一边围绕着主要图形132,用以减少光罩制造的负载效应。例如,可将次解析辅助图形134配置为围绕一长方形区域的四个边全部,其中主要图形132是位于这个长方形区域。此外,次解析辅助图形134的图形密度是被设计为与主要图形132的图形密度紧密相符。例如,次解析辅助图形134的图形密度是被设计为落在主要图形132的图形密度的(100%±15%)的范围内,较好为落在主要图形132的图形密度的(100%±5%)的范围内。如果次解析辅助图形134的图形密度落于上述范围之外,所具有的次解析辅助图形134可能会无法有效地减少光罩制造的负载效应。
此外,在本实施例中,主要图形132是沿着一第一方向而纵向地定向,次解析辅助图形134是沿着正交于上述第一方向的一第二方向而纵向地定向。建构此一图形取向的理由,在讨论包含于光学微影系统100的一绕射光学元件(diffractive optical element;DOE)150时,会同时明朗化。
仍请参考图1,可将绕射光学元件150置于辐射源110与聚光透镜120之间或是其他可能的位置。绕射光学元件150包含一板状物152,板状物152带有一对的极154,在本例中,用以实现离轴照明(具体而言,为一偶极照明)。板状物152是对辐射112不透明,因此照射在板状物152上的辐射112会被阻挡而无法穿透。板状物152可以以一金属、金属合金或其他适用的材料制造。板状物152可具有一圆周,此圆周定义出在一光微影制程的过程中对准光轴的中心。可以定义出多个与板状物152的中心交叉的径向轴(例如:与光轴交叉且正交)。
极154是对辐射112透明,且可包含透明或半透明材料、一开口及/或其他适用的材料。在本实施例中,一对的极154是沿着板状物152的位于正交于辐射112的光轴的平面的一径向轴,相对于板状物152的中心为对称配置。在一替代性的实施例中,绕射光学元件150可具有不只一对极。这一对的极154可被设计为各种形状(例如:圆形、弯曲的形状、多边形)、尺寸、位置及角度,以将光学微影系统100的效能最佳化。例如,接物镜140的数值孔径(numerical aperture;NA)、辐射112的波长、将被曝光的主要图形132/次解析辅助图形134的性质(例如:节距、尺寸)及其类似者等的变数,是被认为可应用于选择极154的构造、尺寸、形状及/或角度。
在一实施例中,为了一X-偶极照明,而对绕射光学元件150作设计及/或将其最佳化,其中这一对的极154是被定向为相对于原点为对称,且被配置在光瞳的X轴上,且主要图形132是被定向为沿着光瞳的Y轴。换句话说,通过这一对的极154的径向轴是正交于主要图形132的纵向取向。在上述X-偶极照明,垂直、Y取向的主要图形132的绕射图形将会横跨光瞳的X轴而扩展。绕射光学元件150的西格玛中心(sigma center)(σcenter)可被设计来用于最大焦点深度(depth of focus),用于被赋予的罩幕图形节距、辐射波长及数值孔径,而使Y取向的主要图形132将会在接物镜140的光瞳的范围内具有零绕射级(zero diffractionorder)与±1绕射级二者。如此一来,使得将主要图形132印到基底160上具有高对比。使用X-偶极照明而会用于Y取向的主要图形132的描写的最小节距,可为:
Pitchx-dipole,y-patterns=λ/(NA(1+σcenter)) (1)
其中λ为辐射112的波长,NA为接物镜140的数值孔径,且σcenter小于1。
然而,若次解析辅助图形134亦是Y取向,则有为了Y取向的主要图形132而设计的绕射光学元件150亦会印出次解析辅助图形134的风险,特别是当次解析辅助图形134的节距大于在式(1)赋予的Pitchx-dipole,y-pattern之时。因此,例如宽度与节距等的用于主要图形132与次解析辅助图形134的设计裕度,将会受限。
在本实施例中,次解析辅助图形134是被纵向定向为正交于主要图形132的纵向取向。在上述X-偶极照明,次解析辅助图形134是X取向,例如是平行于通过这一对的极154的径向轴。为了一赋予的绕射光学元件150,使用X-偶极照明而会用于X取向的次解析辅助图形134的描写的最小节距,可为:
Pitchx-dipole,x-patterns=λ/(NA(√(1-σcenter 2))),σcenter<1 (2)
当然,既然次解析辅助图形134是被设计为不被印出,则将次解析辅助图形134的节距设计为小于Pitchx-dipole,x-patterns。此外,既然σcenter小于1,Pitchx-dipole,x-patterns则大于Pitchx-dipole,y-patterns,其意义为:与将次解析辅助图形134设为Y取向的情况比较,用于设计使用X-偶极照明的X取向的次解析辅助图形134的节距的裕度较大,没有关于将次解析辅助图形134印出的顾虑。
在另一实施例中,为了一Y-偶极照明,而对绕射光学元件150作设计及/或将其最佳化,其中这一对的极154是被定向为相对于原点为对称,且被配置在光瞳的Y轴上,且主要图形132是被定向为沿着光瞳的X轴。换句话说,通过这一对的极154的径向轴是正交于主要图形132的纵向取向。在上述Y-偶极照明,水平、X取向的主要图形132的绕射图形将会横跨光瞳的Y轴而扩展。使用Y-偶极照明而会用于X取向的主要图形132的描写的最小节距,可为:
Pitchy-dipole,x-patterns=λ/(NA(1+σcenter)) (3)
其中λ为辐射112的波长,NA为接物镜140的数值孔径,且σcenter小于1。次解析辅助图形134是被纵向定向为正交于主要图形132的纵向取向。在上述Y-偶极照明,次解析辅助图形134是Y取向,例如是平行于通过这一对的极154的径向轴。为了一赋予的绕射光学元件150,使用Y-偶极照明而会用于Y取向的次解析辅助图形134的描写的最小节距,可为:
Pitchy-dipole.y-patterns=λ/(NA(√(1-σcenter 2))),σcenter<1 (2)
再一次,既然次解析辅助图形134是被设计为不被印出,则将次解析辅助图形134的节距设计为小于Pitchy-dipole,y-patterns。此外,既然σcenter小于1,Pitchy-dipole,y-patterns则大于Pitchy-dipole,x-patterns,其意义为:与将次解析辅助图形134设为X取向的情况比较,用于设计使用Y-偶极照明的Y取向的次解析辅助图形134的节距的裕度较大,没有关于将次解析辅助图形134印出的顾虑。
光学微影系统100可还包含一罩幕台(未绘示),其可用于固定光罩130并使光罩130在平移与旋转模式移动。光学微影系统100可还包含一基底台170,其可用于固定基底160并使基底160在平移与旋转模式移动,使得可将基底160与光罩130对准。基底160可以是一半导体晶圆,包含例如为单晶硅、复晶硅、非晶硅、锗以及钻石等的元素半导体;例如碳化硅与砷化镓等的化合物半导体;例如SiGe、GaAsP、AlInAs、AlGaAs与GaInP等的合金半导体;或上述的任意组合。基底160可具有在光微影制程的过程中形成于其上的一光敏涂层(例如为光致抗蚀剂)。一例示的光致抗蚀剂包含化学放大阻剂(chemical amplificationresist;CAR)。一旦使光罩130与基底160对准,可施行一曝光制程而将主要图形132的一影像162形成至基底160上。由于如前述讨论而将绕射光学元件150与光罩130一起最佳化,不会将次解析辅助图形134印至基底160上,而会以高度的保真度(fidelity)而将主要图形132印至基底160上。
光学微影系统100亦可并用其他技术与构件。例如,光学微影系统100亦可包含用于实行一液浸式微影制程的构件与机构。
第2a~2f图显示绕射光学元件150的某些例示的实施形态。具体而言,第2a~2c图显示为了X偶极照明而设计的绕射光学元件150的实施形态,而第2d~2f图显示为了Y偶极照明而设计的绕射光学元件150的实施形态。
第3a~3f图显示显示光罩130的某些例示的实施形态。具体而言,第3a~3c图显示为了使用X偶极照明的光罩130的实施形态,而第2d~2f图显示为了使用Y偶极照明的光罩130的实施形态。
请参考图2a,绕射光学元件150包含板状物152与一对的极154,这第一对的极154是沿着X轴(径向a-a轴)而相对于绕射光学元件150的中心为对称配置。在本实施例中,这一对的极154的形状为狭长的拱形。板状物152与这一对的极154的材料与结构已在前文针对图1的说明中做过讨论。
请参考图2b,绕射光学元件150包含板状物152与一对的极154,且还包含另一对的极156。这一对的极156亦是沿着X轴而相对于绕射光学元件150的中心为对称配置。与这一对的极154相比,这一对的极156具有较短的极到极的距离,且这一对的极156是被建构为其尺寸小于这一对的极154的尺寸。如以上的讨论,既然σcenter是与即将印出的罩幕图形节距有关,这一对的极154与这一对的极156是被选择性地设计为用于不同的主要图形节距。例如,当主要图形132具有多种节距(例如如图3b所示),具有二对的极的绕射光学元件150可用来同时适用于多种主要图形节距的最佳化。
请参考图2c,绕射光学元件150包含板状物152与一对的极154,且还包含一对的极158与一对的极159。这第一对的极158是沿着一径向b-b轴而相对于绕射光学元件150的中心为对称配置。这第一对的极159是沿着一径向c-c轴而相对于绕射光学元件150的中心为对称配置。在本实施例中,a-a轴与b-b轴形成的角度小于或等于45度,而a-a轴与c-c轴形成的角度小于或等于45度。此外,这一对的极158与这一对的极159是相对于a-a轴(X轴)对称配置。实际上,在图2c中的绕射光学元件150是一类偶极式(dipole-like)的绕射光学元件。极154、158与160可为了对具有多种图形节距的一罩幕作照明而构成,例如如第3a~3c图所示的光罩130的某些实施例。
示于第2d~2f图的绕射光学元件150的实施例示分别类似示于第2a~2c图的绕射光学元件150的实施例,除了示于第2d~2f图的绕射光学元件150的实施例是为了Y偶极照明而建构之外。例如,在图2d中,绕射光学元件150包含板状物152与一对的极154,这第一对的极154是沿着Y轴(径向d-d轴)而相对于绕射光学元件150的中心为对称配置。同样地,在图2e中,绕射光学元件150包含板状物152、一对的极154以及一对的极156。这一对的极154及这一对的极156是沿着Y轴而相对于绕射光学元件150的中心为对称配置。与这一对的极154相比,这一对的极156具有较短的极到极的距离。在图2f中,绕射光学元件150包含板状物152与一对的极154,这第一对的极154是沿着Y轴而相对于绕射光学元件150的中心为对称配置。而绕射光学元件150还包含一对的极158与一对的极159。这第一对的极158是沿着一径向e-e轴而相对于绕射光学元件150的中心为对称配置。这第一对的极159是沿着一径向f-f轴而相对于绕射光学元件150的中心为对称配置。d-d轴与e-e轴形成的角度小于或等于45度。d-d轴与f-f轴形成的角度小于或等于45度。此外,这一对的极158与这一对的极159是相对于d-d轴对称配置。极154、158与160可为了对具有多种图形节距的一罩幕作照明而构成,例如如第3d~3f图所示的光罩130的某些实施例。
请参考图3a,光罩130包含多个主要图形132与多个次解析辅助图形134。主要图形132是沿着Y轴而纵向地定向,次解析辅助图形134是沿着正交于Y轴的X轴而纵向地定向。在本实施例中,主要图形132具有均匀的尺寸,且以一宽度w1与一节距p1相互间隔;而次解析辅助图形134具有均匀的尺寸,且以一宽度w2与一节距p2相互间隔。宽度w1大于光学微影系统100的分辨率,而宽度w2小于光学微影系统100的分辨率。在一实施例中,宽度w1是大于宽度w2的二倍。在另一实施例中,节距p1是大于节距p2的二倍。此外节距p1与p2是根据上述示于式(1)与式(2)的原理而设计。主要图形132的图形密度,是定义为w1对p1的比值。次解析辅助图形134的图形密度,是定义为w2对p2的比值。次解析辅助图形134的图形密度是落在主要图形132的图形密度的(100%±15%)的范围内,较好为落在主要图形132的图形密度的(100%±5%)的范围内。在又另一实施例中,次解析辅助图形134的图形密度是在15%至25%的范围。在节距p2的范围为50nm至100nm的实验中,显示具有上述图形密度范围(15%至25%)的次解析辅助图形134可有效地减少或消除负载效应,未发生影像误差。
请参考图3b,光罩130所包含的主要图形132是沿着X轴具有不同的尺寸,并具有不同的节距p3与p4。光罩130还包含具有均匀尺寸的次解析辅助图形134。次解析辅助图形134是以族群为单位分布。在每一族群中,次解析辅助图形134具有均匀的间隔。在族群之间,每一族群具有的次解析辅助图形134的间隔,可以是不同或相同。请参考图3c,光罩130所包含的主要图形132是沿着X轴具有不同的尺寸,并具有不同的节距p3与p4。光罩130还包含具有均匀尺寸及以节距p5相隔的次解析辅助图形134。示于第3b与3c图的光罩130可以与示于第2b或2c图的绕射光学元件150一起使用。
示于第3d~3f图的光罩130的实施例示分别类似示于第3a~3c图的光罩130的实施例,除了示于第3d~3f图的光罩130的实施例是为了用于Y偶极照明之外。例如,示于第3d~3f图的光罩130所包含的主要图形132是沿着X轴而纵向地定向,而示于第3d~3f图的光罩130所包含的次解析辅助图形134是沿着Y轴而纵向地定向。示于第3d~3f图的光罩130可以与示于第2d~2f图的绕射光学元件150一起使用。
图4是一流程图,显示根据本公开的实施形态的使用共同设计的罩幕与偶极照明而施行光学微影曝光制程的一方法400。方法400包含步骤410、420、430、440、450与460。要了解的是,可以在方法400之前、过程中及之后加上附加的步骤,而且可以取代、削减或移动某些步骤,而适用于此方法的额外的实施形态。方法400是一个例子,除了明确地记载于权利要求者,并无用以限制本公开的内容的意图。
在步骤410中,方法400是提供一半导体基底。上述半导体基底可被涂覆有一光敏材料(例如为化学放大阻剂等的光致抗蚀剂)。上述基底可实质上类似于前文对图1所作说明中的基底160。
在步骤420中,方法400是提供一光微影系统,上述光微影系统可实质上类似于前文对图1所作说明中的光微影系统100。方法400进一步配置上述光微影系统,以提供X偶极照明。其包含将一第一绕射光学元件耦合于上述光学微影系统,其中上述第一绕射光学元件包含第一对的极,上述第一对的极是沿着X轴而相对于上述第一绕射光学元件的中心为对称配置。其还包含将一第一罩幕耦合于上述光学微影系统。上述第一罩幕具有多个第一主要图形与多个第一次解析辅助图形(first sub-resolution assistant pattern)。上述第一主要图形是沿着正交X轴的Y轴而定向,且上述第一次解析辅助图形是沿着X轴而定向。X轴与Y轴均正交于上述光学微影系统的光轴。在一实施例中,上述第一次解析辅助图形的图形密度是上述第一主要图形的图形密度的(100%±15%)的范围内。在另一实施例中,上述第一次解析辅助图形的图形密度是在15%至25%的范围。
在步骤430中,方法400是使用上述X偶极照明而施以一曝光制程,以在上述半导体基底上形成一影像。上述影像包含从上述第一主要图形而不是从上述第一次解析辅助图形形成的构件。在本实施例中,上述构件是被定向为沿着Y轴。方法400可施行例如将上述影像显影以形成一阻剂遮罩元件、使用上述阻剂遮罩元件来蚀刻上述半导体基底以及在上述半导体基底的上方沉积包含另一阻剂层的附加层等的附加的步骤。
在步骤440中,方法400是配置上述光微影系统,以提供Y偶极照明。其包含将一第二绕射光学元件耦合于上述光学微影系统(或是,以一第二绕射光学元件取代上述第一绕射光学元件),其中上述第二绕射光学元件包含第二对的极,上述第二对的极是沿着Y轴而相对于上述第二绕射光学元件的中心为对称配置。其还包含将一第二罩幕耦合于上述光学微影系统(或是,以一第二罩幕取代上述罩幕)。上述第二罩幕具有多个第二主要图形与多个第二次解析辅助图形(second sub-resolution assistant pattern)。上述第二主要图形是沿着X轴而定向,且上述第一次解析辅助图形是沿着Y轴而定向。在一实施例中,上述第二次解析辅助图形的图形密度是上述第二主要图形的图形密度的(100%±15%)的范围内。在另一实施例中,上述第二次解析辅助图形的图形密度是在15%至25%的范围。
在步骤410中,方法400是使用上述Y偶极照明而施以一曝光制程,以在上述半导体基底上形成另一影像。上述影像包含从上述第二主要图形而不是从上述第二次解析辅助图形形成的构件。在本实施例中,上述构件是被定向为沿着X轴。
在步骤460中,方法400是将上述影像显影以形成另一阻剂遮罩元件,并对上述半导体基底施行一或多道的蚀刻制程,以从上述二道曝光制程而在上述半导体基底上制造合计的图案。上述一或多道的蚀刻制程可包含湿蚀刻、干蚀刻、反应性离子蚀刻及/或其他蚀刻技术。
以下内容并无用于限制的意图,本公开的一或多个实施形态对于集成电路的设计与制造提供的许多优点。例如,本公开的实施形态为了罩幕图形与偶极照明的一并最佳化,提供了崭新的方案。在此方案中,加大了用于设计一罩幕上的次解析辅助图形的制程裕度,因此而促使光学微影的图形保真度提高。
在一例示的态样,本公开是针对一种光学微影系统。此光学微影系统,包含:一基底台,用以承载一工件;以及一罩幕,具有多个主要图形与多个次解析辅助图形(sub-resolution assistant pattern)。此系统还包含一绕射光学元件(diffractive opticalelement;DOE),用以将具有上述主要图形的一空中影像(aerial image)的辐射导引至上述工件上。上述绕射光学元件包含第一对的极(pole),上述第一对的极是沿着一第一方向而相对于上述绕射光学元件的中心为对称配置。上述主要图形是沿着正交于上述第一方向的一第二方向而纵向地定向,上述次解析辅助图形是沿着上述第一方向而纵向地定向。
在上述光学微影系统中,较好为:上述主要图形的宽度是大于上述次解析辅助图形的宽度的二倍。
在上述光学微影系统中,较好为:上述次解析辅助图形的图形密度与上述主要图形的图形密度相同。
在上述光学微影系统中,较好为:上述次解析辅助图形的图形密度是上述主要图形的图形密度的(100%±15%)的范围内。
在上述光学微影系统中,较好为:上述次解析辅助图形的图形密度是在15%至25%的范围。
在上述光学微影系统中,较好为:上述主要图形具有一第一节距(pitch)与不同于上述第一节距的一第二节距,上述第一对的极是被设计来用于上述第一节距,上述绕射光学元件还包含第二对的极,上述第二对的极是被设计来用于上述第二节距。
在上述光学微影系统中,较好为:上述绕射光学元件还包含第二对的极与第三对的极,上述第二对的极是沿着一第三方向而相对于上述绕射光学元件的中心为对称配置,上述第三对的极是沿着一第四方向而相对于上述绕射光学元件的中心为对称配置,其中上述第一方向、上述第二方向、上述第三方向与上述第四方向是相交于上述绕射光学元件的中心。
在上述光学微影系统中,较好为:上述第二对的极与上述第三对的极是相对于上述第一方向为对称配置。
在上述光学微影系统中,较好为:上述第二对的极与上述第三对的极的每一个的极到极的距离短于上述第一对的极的极到极的距离。
在另一例示的态样,本公开是针对一种光学微影系统。此光学微影系统,包含:一辐射源,用以提供一辐射;一罩幕,具有多个主要图形与多个次解析辅助图形;以及一绕射光学元件,用以将上述辐射经由上述罩幕而导引至一基底上,其中上述绕射光学元件包含第一对的极,上述第一对的极是沿着一第一方向而相对于上述绕射光学元件的中心为对称配置。上述主要图形是沿着正交于上述第一方向的一第二方向而定向,上述次解析辅助图形是沿着上述第一方向而定向,上述第一方向与上述第二方向均正交于上述辐射的一光轴。上述次解析辅助图形的图形密度是上述主要图形的图形密度的(100%±15%)的范围内。
在上述光学微影系统中,较好为:上述次解析辅助图形的图形密度是在15%至25%的范围。
在上述光学微影系统中,较好为:上述主要图形具有一第一节距,上述次解析辅助图形具有一第二节距,上述第二节距小于上述第一节距的一半。
在上述光学微影系统中,较好为:上述主要图形具有一第一宽度,上述次解析辅助图形具有一第二宽度,上述第二宽度小于上述第一宽度的一半。
在上述光学微影系统中,较好为:上述次解析辅助图形完全围绕上述主要图形。
在上述光学微影系统中,较好为:上述主要图形具有多种节距,上述绕射光学元件具有多对的极,且每一对的极是被设计来用于每一种节距。
在上述光学微影系统中,较好为:上述辐射的中心波长为193nm。
在又另一例示的态样,本公开是针对一种光学微影的方法。此光学微影的方法,包含:提供一光学微影系统;以及将一第一绕射光学元件耦合于上述光学微影系统,其中上述第一绕射光学元件包含第一对的极,上述第一对的极是沿着一第一方向而相对于上述第一绕射光学元件的中心为对称配置。此光学微影的方法还包含将一第一罩幕耦合于上述光学微影系统,其中上述第一罩幕具有多个第一主要图形与多个第一次解析辅助图形(firstsub-resolution assistant pattern),上述第一主要图形是沿着正交于上述第一方向的一第二方向而定向,上述第一次解析辅助图形是沿着上述第一方向而定向。此光学微影的方法还包含施以一第一曝光制程而使用上述第一绕射光学元件与上述第一罩幕,在一基底上形成一第一影像。此光学微影的方法还包含将一第二绕射光学元件耦合于上述光学微影系统,其中上述第二绕射光学元件包含第二对的极,上述第一对的极是沿着上述第二方向而相对于上述第二绕射光学元件的中心为对称配置。此光学微影的方法还包含将一第二罩幕耦合于上述光学微影系统,其中上述第二罩幕具有多个第二主要图形与多个第二次解析辅助图形(second sub-resolution assistant pattern),上述第二主要图形是沿着上述第一方向而定向,上述第二次解析辅助图形是沿着上述第二方向而定向。此光学微影的方法还包含施以一第二曝光制程而使用上述第二绕射光学元件与上述第二罩幕,在上述基底上形成一第二影像。
在上述光学微影的方法中,较好为还包含:对上述基底施以一蚀刻制程,藉此制造通过上述第一影像与上述第二影像的聚集作用(aggregation)所划定的一图形。
在上述光学微影的方法中,较好为:上述第一次解析辅助图形的图形密度是上述第一主要图形的图形密度的(100%±15%)的范围内,上述第二次解析辅助图形的图形密度是上述第二主要图形的图形密度的(100%±15%)的范围内。
在上述光学微影的方法中,较好为:上述第一次解析辅助图形的图形密度是在15%至25%的范围,上述第二次解析辅助图形的图形密度是在15%至25%的范围。
前述内文概述了许多实施例的特征,使本技术领域技术人员可以从各个方面更佳地了解本公开。本技术领域技术人员应可理解,且可轻易地以本公开为基础来设计或修饰其他制程及结构,并以此达到相同的目的及/或达到与在此介绍的实施例等相同的优点。本技术领域技术人员也应了解这些相等的结构并未背离本公开的发明精神与范围。在不背离本公开的发明精神与范围的前提下,可对本公开进行各种改变、置换或修改。

Claims (1)

1.一种光学微影系统,包含:
一基底台,用以承载一工件;
一罩幕,具有多个主要图形与多个次解析辅助图形;以及
一绕射光学元件,用以将具有该些主要图形的一空中影像的辐射导引至该工件上,其中该绕射光学元件包含第一对的极,该第一对的极是沿着一第一方向而相对于该绕射光学元件的中心为对称配置;其中
该些主要图形是沿着正交于该第一方向的一第二方向而纵向地定向,该些次解析辅助图形是沿着该第一方向而纵向地定向。
CN201710641484.1A 2016-12-15 2017-07-31 光学微影系统 Pending CN108227391A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662434983P 2016-12-15 2016-12-15
US62/434,983 2016-12-15
US15/618,422 US10274818B2 (en) 2016-12-15 2017-06-09 Lithography patterning with sub-resolution assistant patterns and off-axis illumination
US15/618,422 2017-06-09

Publications (1)

Publication Number Publication Date
CN108227391A true CN108227391A (zh) 2018-06-29

Family

ID=62562705

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710641484.1A Pending CN108227391A (zh) 2016-12-15 2017-07-31 光学微影系统

Country Status (3)

Country Link
US (1) US10274818B2 (zh)
CN (1) CN108227391A (zh)
TW (1) TW201823873A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11300885B2 (en) * 2018-07-25 2022-04-12 Intel Corporation EUV phase-shift SRAF masks by means of embedded phase shift layers

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220121105A1 (en) * 2019-02-07 2022-04-21 Asml Netherlands B.V. A patterning device and method of use thereof
CN113325662A (zh) * 2020-02-28 2021-08-31 中芯国际集成电路制造(上海)有限公司 辅助图形的配置方法、掩膜版及其形成方法和相关设备
KR20220029480A (ko) 2020-09-01 2022-03-08 캐논 가부시끼가이샤 노광 장치, 노광 방법, 및 반도체 장치의 제조방법

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6881523B2 (en) * 2001-03-14 2005-04-19 Asml Masktools B.V. Optical proximity correction method utilizing ruled ladder bars as sub-resolution assist features
US7927779B2 (en) 2005-06-30 2011-04-19 Taiwan Semiconductor Manufacturing Companym, Ltd. Water mark defect prevention for immersion lithography
US8383322B2 (en) 2005-08-05 2013-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion lithography watermark reduction
US7697114B2 (en) 2006-06-14 2010-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for compensated illumination for advanced lithography
US8208116B2 (en) 2006-11-03 2012-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion lithography system using a sealed wafer bath
US8253922B2 (en) 2006-11-03 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion lithography system using a sealed wafer bath
US8416393B2 (en) 2009-04-02 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Cross quadrupole double lithography method and apparatus for semiconductor device fabrication using two apertures
US20110212403A1 (en) 2010-02-26 2011-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for enhanced dipole lithography
US8841058B2 (en) 2010-08-03 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography material for immersion lithography processes
US8313992B2 (en) * 2010-10-04 2012-11-20 Sandisk Technologies Inc. Method of patterning NAND strings using perpendicular SRAF
US8912649B2 (en) 2011-08-17 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy flip chip bumps for reducing stress
US8753904B2 (en) 2012-06-07 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for semiconductor device pattern loading effect characterization
US9128384B2 (en) 2012-11-09 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a pattern
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9964850B2 (en) 2014-07-31 2018-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method to mitigate defect printability for ID pattern

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11300885B2 (en) * 2018-07-25 2022-04-12 Intel Corporation EUV phase-shift SRAF masks by means of embedded phase shift layers

Also Published As

Publication number Publication date
TW201823873A (zh) 2018-07-01
US10274818B2 (en) 2019-04-30
US20180174839A1 (en) 2018-06-21

Similar Documents

Publication Publication Date Title
US8003281B2 (en) Hybrid multi-layer mask
US9869939B2 (en) Lithography process
Seisyan Nanolithography in microelectronics: A review
CN106019850B (zh) Euv焦点监控系统和方法
US20080248408A1 (en) Photomask and Method for Forming a Non-Orthogonal Feature on the Same
US8133661B2 (en) Superimpose photomask and method of patterning
CN105319860B (zh) 缓解用于id图案的缺陷适印性的方法
US10025175B2 (en) Method and system to prepare, manufacture and inspect mask patterns for a semiconductor device
Geppert Semiconductor lithography for the next millennium
CN108227391A (zh) 光学微影系统
US11211374B2 (en) Photomask design for generating plasmonic effect
CN107463065A (zh) 用于修复掩模的方法
JP2000331928A (ja) リソグラフ方法
US9280041B2 (en) Cross quadrupole double lithography method using two complementary apertures
US9213233B2 (en) Photolithography scattering bar structure and method
TWI794788B (zh) 光微影遮罩總成及製造光微影遮罩的方法
JP2001092105A (ja) 露光用マスク、露光装置、及び半導体装置の製造方法
CN107643651B (zh) 一种光刻辅助图形的设计方法
US8656319B2 (en) Optical proximity correction convergence control
US20110212403A1 (en) Method and apparatus for enhanced dipole lithography
CN105301913A (zh) 用两种状态的掩模提高分辨率的光刻方法和结构
US7732102B2 (en) Cr-capped chromeless phase lithography
US6910203B2 (en) Photomask and method for qualifying the same with a prototype specification
Wynand et al. The Importance of Photolithography for Moore’s Law
Lambrechts et al. 3 The Importance of

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20180629

WD01 Invention patent application deemed withdrawn after publication