CN107369604A - Reaction chamber and semiconductor processing equipment - Google Patents

Reaction chamber and semiconductor processing equipment Download PDF

Info

Publication number
CN107369604A
CN107369604A CN201610318302.2A CN201610318302A CN107369604A CN 107369604 A CN107369604 A CN 107369604A CN 201610318302 A CN201610318302 A CN 201610318302A CN 107369604 A CN107369604 A CN 107369604A
Authority
CN
China
Prior art keywords
reaction chamber
power
coil
supply
pole plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610318302.2A
Other languages
Chinese (zh)
Other versions
CN107369604B (en
Inventor
李兴存
韦刚
成晓阳
苏恒毅
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Beijing Naura Microelectronics Equipment Co Ltd
Beijing North Microelectronics Co Ltd
Original Assignee
Beijing North Microelectronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Beijing North Microelectronics Co Ltd filed Critical Beijing North Microelectronics Co Ltd
Priority to CN201610318302.2A priority Critical patent/CN107369604B/en
Priority to TW105129849A priority patent/TWI641044B/en
Publication of CN107369604A publication Critical patent/CN107369604A/en
Application granted granted Critical
Publication of CN107369604B publication Critical patent/CN107369604B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

The present invention provides a kind of reaction chamber and semiconductor processing equipment, including Top electrode device and lower electrode device, and the lower electrode device is arranged in reaction chamber, for bearing wafer.Top electrode device includes medium cylinder, coil, upper power power-supply and top electrode assembly, wherein, medium cylinder is arranged on the top of reaction chamber;Coil encircling is arranged on the periphery of medium cylinder;Top electrode assembly includes electric pole plate, and the electric pole plate is arranged on the top of medium cylinder;Upper power power-supply is used to load exciting power to electric pole plate and coil simultaneously or respectively.Reaction chamber provided by the invention, it can not only reduce the voltage differences between the output end of coil and input, and can weaken the uneven caused influence of electric field by coil, so as to improve the density distribution uniformity of plasma.

Description

Reaction chamber and semiconductor processing equipment
Technical field
The present invention relates to field of semiconductor manufacture, in particular it relates to a kind of reaction chamber and half Conductor process equipment.
Background technology
It is conventional for dry etch process and thin film deposition processes in semiconductor applications Plasma source include inductively coupled plasma (Inductive Coupled Plasma, with Lower abbreviation ICP) source and capacitively coupled plasma (capacitance Couple Plasma, Hereinafter referred to as CCP) source.Wherein, ICP source electric field excitation as caused by electric current by coil Reacting gas produces plasma, and ICP source has plasma density high, small to workpiece damage The features such as.CCP sources by between being applied to battery lead plate voltage provocative reaction gas produce etc. from Daughter, CCP sources have the characteristics that large-area uniformity is good, ion energy is high.
Fig. 1 is the sectional view of the reaction chamber of existing ICP source.As shown in figure 1, reaction Chamber 10 is limited and formed by top cover 11, medium window 12 and cavity 19, specifically, medium window 12 are cylindrical in shape structure, and are arranged on the top of cavity 19, the lower openings of medium window 12 with The upper opening of cavity 19 is connected;Top cover 11 is arranged on the top of medium window 12, will The upper opening closing of medium window 12.Moreover, the center position in top cover 11 is additionally provided with Reacting gas is delivered in reaction chamber 10 by air inlet, source of the gas 15 by the air inlet.This Outside, wrapping around for medium window 12 is provided with coil 13, input (Fig. 1 of coil 13 In left side one end of coil 13 for showing) electrically connected with radio-frequency power supply 14, the output of coil 13 (the right side one end of coil 13 shown in Fig. 1) ground connection is held, and in reaction chamber 10 also Pedestal 16 is provided with, to bearing wafer 17, the pedestal 16 electrically connects with radio-frequency power supply 18.
Above-mentioned reaction chamber is inevitably present problems with actual applications:
First, due to being influenceed by loop construction, high-frequency electric field is often as caused by coil It is distributed in M types, it is this to be distributed the density for causing the caused plasma in reaction chamber It is distributed in M types, so as to cause wafer surface plasma Density Distribution uneven, Jin Erying Ring process uniformity.
Second, due to the output head grounding of coil, between the output end and input of coil Voltage phase difference is larger, causes voltage along coil surface skewness, so as to cause to be produced by coil Raw magnetic distribution is uneven.Gone here and there although can use between the output end of coil and earth terminal Joining the method for an electric capacity makes the voltage between the output end of coil and input consistent, but It is to make in fact, this method can not be realized really between the output end of coil and input Voltage is consistent, because:When carrying out technique, capacitive between coil and plasma be present Coupling, this capacitively coupled effect cause to be connected between the output end of coil and earth terminal Capacitor's capacity produces change with the change of discharging condition, so as to cause to be connected on the output of coil There is relatively large deviation in the actual capacitance capacitance between end and earth terminal, enter with required capacitor's capacity And make the voltage phase difference between the output end of coil and input larger, so as to which there are still by line Caused by circle the problem of magnetic distribution inequality.
The content of the invention
It is contemplated that at least solve one of technical problem present in prior art, it is proposed that A kind of reaction chamber and semiconductor processing equipment, its can not only reduce the output end of coil with it is defeated Enter the voltage differences between end, and the uneven caused shadow of electric field by coil can be weakened Ring, so as to improve the density distribution uniformity of plasma.
To realize that the purpose of the present invention provides a kind of reaction chamber, including Top electrode device and Lower electrode device, the lower electrode device are arranged in the reaction chamber, for bearing wafer, The Top electrode device includes medium cylinder, coil, upper power power-supply and top electrode assembly, wherein, The medium cylinder is arranged on the top of the reaction chamber;The coil encircling, which is arranged on, to be given an account of The periphery of matter cylinder;The top electrode assembly includes electric pole plate, and the electric pole plate is arranged on institute Give an account of the top of matter cylinder;The upper power power-supply is used for simultaneously or respectively to the electric pole plate Exciting power is loaded with the coil.
Preferably, the upper power power-supply is one;The input of the coil with it is described on Power power-supply electrically connects, and the output end of the coil electrically connects with the top electrode assembly.
Preferably, the upper power power-supply is one;The input of the coil with it is described on Electrode assemblie electrically connects, the output head grounding of the coil, and the top electrode assembly and institute State power power-supply electrical connection.
Preferably, the upper power power-supply is two;The input of the coil and wherein one The individual upper power power-supply electrical connection, the output head grounding of the coil;The top electrode assembly Electrically connected with upper power power-supply described in other in which.
Preferably, the reaction chamber also includes the radome of ground connection, and the radome cover is set In the medium window and the periphery of the top electrode assembly, to shield as caused by the coil Electromagnetic field.
Preferably, the reaction chamber also includes tunable capacitor, and the tunable capacitor is serially connected in Between the radome and the top electrode assembly.
Preferably, at least one air inlet is provided with the electric pole plate, to institute State and reacting gas is passed through in reaction chamber.
Preferably, the electric pole plate has the cavity as uniform flow chamber;In the uniform flow chamber Top be provided with air inlet, to the uniform flow intracavitary convey reacting gas;Described even The bottom of stream chamber is provided with multiple ventholes, and uniformly divides relative to the bottom surface of the uniform flow chamber Cloth, equably the reacting gas of the uniform flow intracavitary is delivered in the reaction chamber.
Preferably, the lower electrode device includes pedestal and lower power power-supply, wherein, it is described Pedestal is arranged in the reaction chamber, for bearing wafer;The lower power power-supply be used for The pedestal loads radio-frequency power.
Preferably, the upper power power-supply includes low-frequency power or radio-frequency power supply.
As another technical scheme, the present invention also provides a kind of semiconductor processing equipment, wraps Reaction chamber is included, the reaction chamber uses above-mentioned reaction chamber provided by the invention.
The invention has the advantages that:
Reaction chamber provided by the invention, its by electric pole plate, can in technique, with Flat electric field is produced between lower electrode device, the flat electric field is relative to as caused by coil Electric field plays a major role, so as to weaken because the electric field of coil it is uneven caused by influence, enter And make the Density Distribution of the plasma to be formed evenly, so as to improve the close of plasma Spend distributing homogeneity.Meanwhile by make upper power power-supply simultaneously or respectively to electric pole plate and Coil loads exciting power, it is possible to achieve the ICP source that is formed by coil and by Top electrode plate shape Into CCP sources discharge simultaneously, so as to not only can compatible CCP sources field uniformity and ICP The two advantages of the higher plasma density in source, and by controlling such as plasma starter to join The process conditions of number etc., can be to the sheaths that are formed between electric pole plate and plasma sheath Electric capacity is adjusted in real time, so as to reduce the phase difference between coil both ends, so as to To improve the uniformity of the electric field as caused by coil, and then further improve the density of plasma Distributing homogeneity.
Semiconductor processing equipment provided by the invention, it is by using provided by the invention above-mentioned Reaction chamber, it can not only reduce the voltage differences between the output end of coil and input, and And the uneven caused influence of electric field by coil can be weakened, so as to improve plasma Density distribution uniformity.
Brief description of the drawings
Fig. 1 is the sectional view of the reaction chamber of existing ICP source;
Fig. 2A is the sectional view for the reaction chamber that first embodiment of the invention provides;
Fig. 2 B are equivalent circuit diagram of the reaction chamber in technique in Fig. 2A;
Fig. 3 is cuing open for the Top electrode that a variant embodiment of first embodiment of the invention uses View;And
Fig. 4 A are the sectional view for the reaction chamber that second embodiment of the invention provides;
Fig. 4 B are equivalent circuit diagram of the reaction chamber in technique in Fig. 4 A;
Fig. 5 A are the sectional view for the reaction chamber that third embodiment of the invention provides;
Fig. 5 B are equivalent circuit diagram of the reaction chamber in technique in Fig. 5 A;
Fig. 6 A are the sectional view for the reaction chamber that third embodiment of the invention provides;
Fig. 6 B are equivalent circuit diagram of the reaction chamber in technique in Fig. 6 A.
Embodiment
To make those skilled in the art more fully understand technical scheme, tie below Accompanying drawing is closed reaction chamber provided by the invention and semiconductor processing equipment to be described in detail.
Fig. 2A is the sectional view for the reaction chamber that first embodiment of the invention provides.Refer to figure 2A, reaction chamber include Top electrode device and lower electrode device.Wherein, Top electrode device bag Include medium cylinder 22, coil 23, upper power power-supply 24, the first adaptation 25, top electrode assembly. Lower electrode device includes pedestal 27, lower power power-supply 28, the second adaptation 29.
Wherein, the chamber wall 21 of reaction chamber is grounded, and the chamber wall 21 has around top is formed There is the cavity structure of opening;Medium cylinder 22 is arranged on the top of chamber wall 21, and by chamber wall 21 open top closing, and medium cylinder 22 includes space and the cavity phase of chamber wall 21 Connection.Moreover, top electrode assembly includes electric pole plate 26, it is in tabular, and is arranged on The top of medium cylinder 22, and the open top of medium cylinder 22 is closed.
Moreover, coil 23 is circumferentially positioned at the periphery of medium cylinder 22, the input of the coil 23 End 231 is electrically connected by the first adaptation 25 with upper power power-supply 24, the output of coil 23 End 232 electrically connects with electric pole plate 26.When carrying out technique, upper power power-supply 24 includes low Frequency power or radio-frequency power supply etc., it is used to apply low frequency or radio frequency etc. to coil 23 Exciting power, to produce plasma using electric field excitation reacting gas caused by coil 23. At the same time, can be with by making the output end 232 of coil 23 be electrically connected with electric pole plate 26 Enable power power-supply 24 to electric pole plate 26 load exciting power, so as to realize by ICP source that coil is formed and the CCP sources that are formed by electric pole plate while discharge, so that not only Can compatible CCP sources field uniformity and the higher plasma density of ICP source the two are excellent Gesture.
, can also be by making the output end 232 of coil 23 be electrically connected with electric pole plate 26 Capacity plate antenna structure is formed between electric pole plate 26 and the chamber wall 21 of ground connection, reaction chamber exists Equivalent circuit diagram during technique is as shown in Figure 2 B.In fig. 2b, dashed rectangle represent etc. from Daughter equivalent model.Plasma is made up of sheaths and plasma slab, wherein, sheaths can be with It is equivalent to electric capacity and diode structure;Plasma slab can be equivalent to resistance and induction structure. L is the electric current formed equivalent inductance by plasma.R is plasma equivalent resistance.C1 The the first sheath layer capacitance formed between electric pole plate 26 and plasma sheath.C2 is upper electricity The collection spurious capacitance formed between pole plate 26 and ground.C3 be pedestal 27 and plasma sheath it Between the second sheath layer capacitance for being formed.
From Fig. 2 B, when carrying out technique, electric pole plate 26 and the chamber wall 21 of ground connection Between the capacity plate antenna structure that forms, while form collection spurious capacitance C2 and the first sheath layer capacitance C1, wherein, the first sheath layer capacitance C1 can play the radio-frequency current phase of modulation coil 23 Effect, can be right so as to by controlling process conditions such as plasma starter parameter First sheath layer capacitance C1 is adjusted in real time, with reduce the output end 231 of coil 23 with it is defeated Enter the phase difference between end 232, and then the uniform of as caused by coil electric field can be improved Property, so as to further improve the density distribution uniformity of plasma.
For lower electrode device, pedestal 27 is arranged in reaction chamber, and is located at electric pole plate 26 lower section, to bearing wafer.Also, pedestal 27 is by the second adaptation 29 with Power power-supply 28 electrically connects, and the lower power power-supply 28 is used to pedestal 27 apply in technique Back bias voltage, to attract the ion in plasma to be moved towards the direction of wafer surface.Lower power Power supply 28 can be dc source, low-frequency power or radio-frequency power supply.
Pedestal 27 and electric pole plate 26 can produce flat electric field in technique, due to by The intensity of electric field caused by coil 23 can be weakened by medium cylinder 22, the intensity of the flat electric field Far above the intensity of the electric field as caused by coil 23, therefore, the flat electric field relative to by Electric field caused by coil 23 plays a major role, so as to weaken because the electric field of coil 23 is uneven Influenceed caused by even, and then make the Density Distribution of the plasma to be formed evenly, so as to Improve the density distribution uniformity of plasma.
In the present embodiment, also it is covered with screen in the periphery of medium cylinder 22 and electric pole plate 26 Cover cover 30, as shown in Figure 2 A, the radome 30 and medium cylinder 22 and electric pole plate 26 it Between form closing space, coil 23 is located in the closing space.Also, radome 30 is grounded, When carrying out technique, radome 30 can shield the electromagnetic field as caused by coil 23, so as to keep away Exempt from radio-frequency power supply to impact technique in radio-frequency radiation caused by feed-in power.
In the present embodiment, reaction chamber also includes air inlet pipeline 31 and source of the gas 33, wherein, Electric pole plate 26 is run through in the outlet side of air inlet pipeline 31, and is connected with the inside of reaction chamber; The inlet end of air inlet pipeline 31 is connected by insulation tube 32 with source of the gas 33.When carrying out technique, The reacting gas provided by source of the gas 33 enters reaction via insulation tube 32 and air inlet pipeline 31 successively In chamber.
In actual applications, reacting gas can also be conveyed into reaction chamber using other modes. For example, being provided with air inlet on the electric pole plate, lead to via the air inlet into reaction chamber Enter reacting gas.The air inlet can be one, and be arranged on the center of electric pole plate, Or can also be multiple, and be distributed along uniform plane where electric pole plate.
And for example, as shown in figure 3, electric pole plate has the cavity as uniform flow chamber 40.Also, At the top of uniform flow chamber 40, (that is, the top chamber locular wall of uniform flow chamber 40) is provided with air inlet 41, and be provided with the bottom of uniform flow chamber 40 (that is, the bottom chamber locular wall of uniform flow chamber 40) Multiple ventholes 42, and relative to the bottom surface (plane where bottom chamber locular wall) of uniform flow chamber 40 It is uniformly distributed.When carrying out technique, reacting gas enters uniform flow chamber via air inlet 41 first In 40, and spread to surrounding until be full of whole uniform flow chamber 40, reacting gas homogenized, Then uniformly flowed into via each venthole 42 in reaction chamber.The flow direction of reacting gas is such as Shown in arrow in Fig. 3.
Fig. 4 A are the sectional view for the reaction chamber that second embodiment of the invention provides.Refer to figure 4A, compared with above-mentioned first embodiment, its difference only exists the reaction chamber that the present embodiment provides In:Tunable capacitor 50 is also serially connected between radome 30 and electric pole plate 26.
Fig. 4 B are equivalent circuit diagram of the reaction chamber in technique in Fig. 4 A.Such as Fig. 4 B institutes Show, C4 is tunable capacitor 50, and the voltage of the output end 232 of coil 23 can be allocated to One sheath layer capacitance C1 and tunable capacitor 50, i.e. tunable capacitor 50 can be played to coil 23 Both end voltage carries out the effect of partial pressure, and because its capacitance is adjustable, by adjusting tunable capacitor Capacitance size in 50 access circuits, can be adjusted to the distribution of the both end voltage of coil 23 Section, to reduce the voltage differences between the output end 231 of coil 23 and input 232, from And the uniformity of the electric field as caused by coil not only can be further improved, but also can improve The flexibility of capacitance adjustment.
The 26S Proteasome Structure and Function of other devices or part in the present embodiment and above-mentioned first embodiment phase It is similar, due to there has been detailed description in the above-described first embodiment, will not be repeated here.
Fig. 5 A are the sectional view for the reaction chamber that third embodiment of the invention provides.Fig. 5 B are Equivalent circuit diagram of the reaction chamber in technique in Fig. 5 A.Also referring to Fig. 5 A and Fig. 5 B, Compared with above-mentioned first embodiment, it is differed only in the reaction chamber that the present embodiment provides:Line The input 231 of circle 23 electrically connects with electric pole plate 26, and the output end 232 of coil 23 is logical Ground connection is crossed, and electric pole plate 26 passes through the first adaptation 25 and upper power power-supply 24 electrical connections.This, which can equally be realized, makes power power-supply 24 simultaneously to coil 23 and upper electricity Pole plate 26 loads exciting power.
Equivalent circuit diagram of the reaction chamber in technique is as shown in Figure 5 B.In figure 5b, it is empty Line square frame represents plasma equivalent model.Plasma is made up of sheaths and plasma slab, Wherein, sheaths can be equivalent to electric capacity and diode structure;Plasma slab can be equivalent to electricity Resistance and induction structure.L is the electric current formed equivalent inductance by plasma.R is plasma Body equivalent resistance.The first sheaths that C1 is formed between electric pole plate 26 and plasma sheath Electric capacity.The collection spurious capacitance that C2 is formed between electric pole plate 26 and ground.C3 be pedestal 27 with The the second sheath layer capacitance formed between plasma sheath.
From Fig. 5 B, when carrying out technique, electric pole plate 26 and the chamber wall 21 of ground connection Between form capacity plate antenna structure, the structure forms collection spurious capacitance C2 and the first sheath layer capacitance C1, wherein, the first sheath layer capacitance C1 can play the radio-frequency current phase of modulation coil 23 Effect, can be right so as to by controlling process conditions such as plasma starter parameter First sheath layer capacitance C1 is adjusted in real time, with reduce the output end 231 of coil 23 with it is defeated Enter the relative different between end 232, and then the uniform of as caused by coil electric field can be improved Property, so as to further improve the density distribution uniformity of plasma.
The 26S Proteasome Structure and Function of other devices or part in the present embodiment and above-mentioned first embodiment phase It is similar, due to there has been detailed description in the above-described first embodiment, will not be repeated here.
Fig. 6 A are the sectional view for the reaction chamber that third embodiment of the invention provides.Fig. 6 B are Equivalent circuit diagram of the reaction chamber in technique in Fig. 6 A.Also referring to Fig. 6 A and Fig. 6 B, Compared with above-mentioned first embodiment, it is differed only in the reaction chamber that the present embodiment provides:On Power power-supply is two, power power-supply 34 on power power-supply 24 and second on respectively first. Also, the input 231 of coil 23 passes through power power-supply on the first adaptation 25 and first 24 electrical connections, the output end 232 of coil 23 pass through ground connection;Electric pole plate 26 is logical The 3rd adaptation 35 is crossed to electrically connect with power power-supply on second 34.That is, upper power electricity Source is by power power-supply 34 on power power-supply 24 and second on first, respectively to the He of coil 23 Electric pole plate 26 loads exciting power.
Equivalent circuit diagram of the reaction chamber in technique is as shown in Figure 6B.In fig. 6b, it is empty Line square frame represents plasma equivalent model.Plasma is made up of sheaths and plasma slab, Wherein, sheaths can be equivalent to electric capacity and diode structure;Plasma slab can be equivalent to electricity Resistance and induction structure.L is the electric current formed equivalent inductance by plasma.R is plasma Body equivalent resistance.The first sheaths that C1 is formed between electric pole plate 26 and plasma sheath Electric capacity.The collection spurious capacitance that C2 is formed between electric pole plate 26 and ground.C3 be pedestal 27 with The the second sheath layer capacitance formed between plasma sheath.
From Fig. 6 B, when carrying out technique, electric pole plate 26 and the chamber wall 21 of ground connection Between form capacity plate antenna structure, while formed collection spurious capacitance C2 and the first sheath layer capacitance C1, Wherein, the first sheath layer capacitance C1 can play the work of the radio-frequency current phase of modulation coil 23 With so as to the process conditions by control such as plasma starter parameter, to the first sheath Layer capacitance C1 is adjusted in real time, to reduce the output end 231 of coil 23 and input 232 Between phase difference, and then the uniformity of the electric field as caused by coil can be improved, so as to enter One step improves the density distribution uniformity of plasma.
Moreover, by power power-supply 34 on power power-supply 24 and second on first, can be independent Ground controls plasma and the plasma as caused by electric pole plate 26 as caused by coil 23 respectively Body.Therefore, by the process requirements of reality ICP source can be selected to work independently, CCP sources Work independently or ICP source and CCP sources while work, so as to improve plasma production Raw alternative.That is, power power-supply 24 on first is only opened in selection, or only Power power-supply 34 on second is opened, or is opened simultaneously on first on power power-supply 24 and second Power power-supply 34.
In addition, by power power-supply 34 on power power-supply 24 and second on first, can make Battery lead plate 26, pedestal 27 and coil 23 form " three electrodes " structure, and the structure not only may be used To improve the density of plasma, but also the adjustable window of plasma discharge can be expanded.
In summary, the reaction chamber that the above-mentioned each embodiment of the present invention provides, it can cut It is weak because the electric field of coil it is uneven caused by influence so that the density point of the plasma formed Cloth evenly, and then can improve the density distribution uniformity of plasma.Meanwhile Ke Yishi The ICP source now formed by coil and the CCP sources formed by electric pole plate while discharge, so as to Not only can compatible CCP sources field uniformity and ICP source higher plasma density this two Individual advantage, and by controlling the process conditions such as plasma starter parameter, can be right The sheath layer capacitance formed between electric pole plate and plasma sheath is adjusted in real time, so as to The phase difference between coil both ends can be reduced, so as to improve the electric field as caused by coil Uniformity, and then further improve plasma density distribution uniformity.
As another technical scheme, the embodiment of the present invention also provides a kind of semiconductor machining and set Standby, it includes reaction chamber, and the reaction chamber employs the above-mentioned each embodiment of the present invention and provided Reaction chamber.
Semiconductor processing equipment provided in an embodiment of the present invention, it is above-mentioned by using the present invention The above-mentioned reaction chamber that each embodiment provides, can not only reduce output end and the input of coil Voltage differences between end, and the uneven caused influence of electric field by coil can be weakened, So as to improve the density distribution uniformity of plasma.
It is understood that the principle that embodiment of above is intended to be merely illustrative of the present and The illustrative embodiments of use, but the invention is not limited in this.For in the art For those of ordinary skill, without departing from the spirit and substance in the present invention, it can do Go out all variations and modifications, these variations and modifications are also considered as protection scope of the present invention.

Claims (11)

1. a kind of reaction chamber, including Top electrode device and lower electrode device, the bottom electrode Device is arranged in the reaction chamber, for bearing wafer, it is characterised in that the upper electricity Pole device includes medium cylinder, coil, upper power power-supply and top electrode assembly, wherein,
The medium cylinder is arranged on the top of the reaction chamber;
The coil encircling is arranged on the periphery of the medium cylinder;
The top electrode assembly includes electric pole plate, and the electric pole plate is arranged on the medium The top of cylinder;
The upper power power-supply is used for simultaneously or respectively to the electric pole plate and the coil Load exciting power.
2. reaction chamber according to claim 1, it is characterised in that the upper power Power supply is one;
The input of the coil electrically connects with the upper power power-supply, the output of the coil End electrically connects with the top electrode assembly.
3. reaction chamber according to claim 1, it is characterised in that the upper power Power supply is one;
The input of the coil electrically connects with the top electrode assembly, the output of the coil End ground connection, and the top electrode assembly electrically connects with the upper power power-supply.
4. reaction chamber according to claim 1, it is characterised in that the upper power Power supply is two;
The input of the coil electrically connects with upper power power-supply one of them described, the line The output head grounding of circle;
The top electrode assembly electrically connects with upper power power-supply described in other in which.
5. according to the reaction chamber described in claim 1-4 any one, it is characterised in that The reaction chamber also include ground connection radome, the radome be located at the medium window and The periphery of the top electrode assembly, to shield the electromagnetic field as caused by the coil.
6. reaction chamber according to claim 5, it is characterised in that the reaction chamber Room also includes tunable capacitor, and the tunable capacitor is serially connected in the radome and the Top electrode group Between part.
7. according to the reaction chamber described in claim 1-4 any one, it is characterised in that At least one air inlet is provided with the electric pole plate, to lead into the reaction chamber Enter reacting gas.
8. according to the reaction chamber described in claim 1-4 any one, it is characterised in that The electric pole plate has the cavity as uniform flow chamber;
Air inlet is provided with the top of the uniform flow chamber, to be conveyed to the uniform flow intracavitary Reacting gas;
Multiple ventholes are provided with the bottom of the uniform flow chamber, and relative to the uniform flow chamber Bottom surface be uniformly distributed, the reacting gas of the uniform flow intracavitary is delivered to equably described In reaction chamber.
9. according to the reaction chamber described in claim 1-4 any one, it is characterised in that The lower electrode device includes pedestal and lower power power-supply, wherein,
The pedestal is arranged in the reaction chamber, for bearing wafer;
The lower power power-supply is used to load radio-frequency power to the pedestal.
10. according to the reaction chamber described in claim 1-4 any one, it is characterised in that The upper power power-supply includes low-frequency power or radio-frequency power supply.
11. a kind of semiconductor processing equipment, including reaction chamber, it is characterised in that described anti- Chamber is answered using the reaction chamber described in claim 1-10 any one.
CN201610318302.2A 2016-05-12 2016-05-12 Reaction chamber and semiconductor processing equipment Active CN107369604B (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201610318302.2A CN107369604B (en) 2016-05-12 2016-05-12 Reaction chamber and semiconductor processing equipment
TW105129849A TWI641044B (en) 2016-05-12 2016-09-13 Reaction chamber and semiconductor processing device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201610318302.2A CN107369604B (en) 2016-05-12 2016-05-12 Reaction chamber and semiconductor processing equipment

Publications (2)

Publication Number Publication Date
CN107369604A true CN107369604A (en) 2017-11-21
CN107369604B CN107369604B (en) 2019-10-11

Family

ID=60304720

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610318302.2A Active CN107369604B (en) 2016-05-12 2016-05-12 Reaction chamber and semiconductor processing equipment

Country Status (2)

Country Link
CN (1) CN107369604B (en)
TW (1) TWI641044B (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110660635A (en) * 2018-06-29 2020-01-07 北京北方华创微电子装备有限公司 Process chamber and semiconductor processing equipment
CN111069192A (en) * 2018-10-22 2020-04-28 北京北方华创微电子装备有限公司 In-situ cleaning device and semiconductor processing equipment
CN112376029A (en) * 2020-11-11 2021-02-19 北京北方华创微电子装备有限公司 Plasma immersion ion implantation apparatus
CN113533308A (en) * 2021-06-15 2021-10-22 杭州谱育科技发展有限公司 Device and method for detecting elements in radioactive sample
CN114121581A (en) * 2020-08-27 2022-03-01 中微半导体设备(上海)股份有限公司 Plasma processing apparatus

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0520519A1 (en) * 1991-06-27 1992-12-30 Applied Materials, Inc. Plasma processing reactor and process for plasma etching
CN1284209C (en) * 2002-02-06 2006-11-08 松下电器产业株式会社 Plasma processing method and apparatus
US20110133650A1 (en) * 2009-12-09 2011-06-09 Jehara Corporation Apparatus for generating plasma

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8911590B2 (en) * 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
US20170213734A9 (en) * 2007-03-30 2017-07-27 Alexei Marakhtanov Multifrequency capacitively coupled plasma etch chamber
KR102164678B1 (en) * 2009-08-31 2020-10-12 램 리써치 코포레이션 Radio frequency (rf) ground return arrangements
JP5808697B2 (en) * 2012-03-01 2015-11-10 株式会社日立ハイテクノロジーズ Dry etching apparatus and dry etching method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0520519A1 (en) * 1991-06-27 1992-12-30 Applied Materials, Inc. Plasma processing reactor and process for plasma etching
CN1284209C (en) * 2002-02-06 2006-11-08 松下电器产业株式会社 Plasma processing method and apparatus
US20110133650A1 (en) * 2009-12-09 2011-06-09 Jehara Corporation Apparatus for generating plasma

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110660635A (en) * 2018-06-29 2020-01-07 北京北方华创微电子装备有限公司 Process chamber and semiconductor processing equipment
CN110660635B (en) * 2018-06-29 2022-08-16 北京北方华创微电子装备有限公司 Process chamber and semiconductor processing equipment
CN111069192A (en) * 2018-10-22 2020-04-28 北京北方华创微电子装备有限公司 In-situ cleaning device and semiconductor processing equipment
CN114121581A (en) * 2020-08-27 2022-03-01 中微半导体设备(上海)股份有限公司 Plasma processing apparatus
CN114121581B (en) * 2020-08-27 2024-04-05 中微半导体设备(上海)股份有限公司 Plasma processing apparatus
CN112376029A (en) * 2020-11-11 2021-02-19 北京北方华创微电子装备有限公司 Plasma immersion ion implantation apparatus
WO2022100538A1 (en) * 2020-11-11 2022-05-19 北京北方华创微电子装备有限公司 Plasma immersion ion implantation apparatus
CN113533308A (en) * 2021-06-15 2021-10-22 杭州谱育科技发展有限公司 Device and method for detecting elements in radioactive sample

Also Published As

Publication number Publication date
TWI641044B (en) 2018-11-11
TW201740456A (en) 2017-11-16
CN107369604B (en) 2019-10-11

Similar Documents

Publication Publication Date Title
CN107369604A (en) Reaction chamber and semiconductor processing equipment
US6288493B1 (en) Antenna device for generating inductively coupled plasma
US7367281B2 (en) Plasma antenna
US20150243486A1 (en) Plasma processing apparatus
US7871490B2 (en) Inductively coupled plasma generation system with a parallel antenna array having evenly distributed power input and ground nodes and improved field distribution
CN107369602B (en) Reaction chamber and semiconductor processing equipment
KR101328520B1 (en) Plasma apparatus
US20080237187A1 (en) Method and apparatus for inducing dc voltage on wafer-facing electrode
KR20130054184A (en) High-frequency antenna circuit and inductively coupled plasma processing apparatus
US11830709B2 (en) Broadband plasma processing systems and methods
JPH07161493A (en) Method and device for generating plasma
JP2017079127A (en) Inductively coupled plasma generating apparatus, self bias applying apparatus, plasma processing apparatus, plasma generating method, and self bias applying method
US11456154B2 (en) Plasma-generating unit and substrate treatment apparatus including the same
US20040244688A1 (en) Plasma processing apparatus
CN104637767B (en) Inductance coil and inductance coupling plasma processing device
KR101986744B1 (en) Plasma processing apparatus and method
US10825657B2 (en) Plasma processing apparatus
CN108257840A (en) A kind of plasma treatment appts
KR101471549B1 (en) Apparatus for generating plasma and apparatus for treating substrate comprising the same
KR20220095349A (en) Substrate treating apparatus and susbstrate treating method
CN107295738A (en) A kind of plasma processing apparatus
KR20070061988A (en) Plasma generator having ferrite core with multi-frequency induction coil and plasma process apparatus having the same
KR20200135114A (en) Plasma control apparatus and plasma processing system comprising the same apparatus
KR100391063B1 (en) Device and Method for Generating Capacitively Coupled Plasma Enhanced Inductively Coupled Plasma
CN107305830B (en) Capacitively coupled plasma processing apparatus and plasma processing method

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant