CN106896648B - Expose the modification method of targeted graphical - Google Patents

Expose the modification method of targeted graphical Download PDF

Info

Publication number
CN106896648B
CN106896648B CN201710073602.3A CN201710073602A CN106896648B CN 106896648 B CN106896648 B CN 106896648B CN 201710073602 A CN201710073602 A CN 201710073602A CN 106896648 B CN106896648 B CN 106896648B
Authority
CN
China
Prior art keywords
spirte
exposure
modified
lower layer
targeted graphical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710073602.3A
Other languages
Chinese (zh)
Other versions
CN106896648A (en
Inventor
王铁柱
舒强
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN201710073602.3A priority Critical patent/CN106896648B/en
Publication of CN106896648A publication Critical patent/CN106896648A/en
Application granted granted Critical
Publication of CN106896648B publication Critical patent/CN106896648B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/2026Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure for the removal of unwanted material, e.g. image or background correction
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34

Abstract

A kind of forming method of secondary graphics and a kind of modification method for exposing targeted graphical, the forming method of the secondary graphics include: that the lower layer pattern and current layer graphics overlay are formed second graph;The second graph is negated, third figure is obtained;The dimensions length of the third spirte and width are reduced into the first preset value, form the 4th figure;The 4th spirte of part that can not be exposed in the 4th figure is removed, the 5th figure, secondary graphics of the 5th figure as current layer pattern are formed.The forming method of the exposure targeted graphical includes: that the lower layer is exposed targeted graphical and graphics overlay to be modified, forms overlapping figure;Establish photoetching resolution limitation table;Table is limited according to the photoetching resolution, has the size of the overlapping spirte to be modified of part first to be modified to first lower layer's spirte, forms the first amendment spirte.The light transmittance of mask plate can be improved, improve the accuracy being modified to exposure targeted graphical.

Description

Expose the modification method of targeted graphical
The application is submission on December 30th, 2013 Patent Office of the People's Republic of China, application No. is 201310745670.1, denominations of invention For the division of the Chinese patent application of " forming method of secondary graphics and the modification method of exposure targeted graphical ".
Technical field
The present invention relates to technical field of semiconductors, in particular to the forming method of a kind of secondary graphics and a kind of exposure target The modification method of figure.
Background technique
With the continuous decline of semiconductor technology node, using a mask plate as exposure mask under the conditions of conventional lithography process It forms patterning process and encounters limitation, adjacent pattern pitch is too small, due to optical proximity effect, it may appear that adjacent pattern is viscous Even the phenomenon that.
It is smaller and smaller based on semiconductor devices critical size, utilize Dual graphing (Double patterning) method Solve problem described above.
The figure needed to form is divided into two kinds of figures, respectively the first mask pattern and second by Double-patterning method Then mask pattern carries out graphically forming the first figure for the first time respectively, carry out second of graphical formation second graph, lead to The method for crossing such Dual graphing can avoid the occurrence of adjacent pattern pitch-row it is too small caused by optical proximity effect.
In the prior art by Dual graphing technique etches polycrystalline silicon layer, polysilicon gate is formed, to improve polysilicon The accuracy of gauge and uniformity of grid.The first graphical, formation strip is carried out to polysilicon layer by the first mask pattern Then the gate patterns of shape carry out second graphical to polysilicon layer by the second mask pattern, by the gate patterns of strip It cuts to form polysilicon gate.
Second mask pattern determines by the first mask pattern, the spirte negligible amounts in existing second mask pattern, Cause the light transmittance of mask plate poor, the exposure figure formed on a photoresist so as to cause the photoetching process in second graphical Size it is uneven, influence to be subsequently formed the accuracys of etched features.
On the other hand, during forming the mask plate patterns during second graphical, usually according to most end form At etched features, design the exposure targeted graphical on photoresist layer;Then further according to the exposure targeted graphical, pass through The figure for finally needing to be formed on mask plate is calculated in OPC model.In view of the etching deviation during second graphical Problem needs to perform etching deviation compensation to exposure targeted graphical, but due to the compensation effect of the exposure targeted graphical by It was both related to the size of the spirte itself in exposure targeted graphical and spacing to the influence of the etched features of the material of lower layer, Also in the etched features of the material of lower layer graphic material and size it is related, so being difficult to carry out exposure targeted graphical quasi- True etching deviation compensation.
Summary of the invention
Problems solved by the invention is to provide a kind of forming method of secondary graphics, improves the accuracy of exposure figure;Also A kind of modification method for exposing targeted graphical is provided, raising performs etching the accurate of deviation compensation to the exposure targeted graphical Property.
To solve the above problems, the present invention provides a kind of forming method of secondary graphics, comprising: provide lower layer pattern and work as Preceding layer pattern, the lower layer pattern are the first figure, include several current layer spirtes in the current layer pattern;Under described Layer pattern and current layer graphics overlay form second graph;The second graph is negated, third figure, the third are obtained Figure and second graph are complementary graph, include several third spirtes in the third figure;Keep the third spirte Center it is constant, the dimensions length of the third spirte and width are reduced into the first preset value, form the 4th figure, It include several 4th spirtes in 4th figure;Remove the 4th subgraph of part that can not be exposed in the 4th figure Shape forms the 5th figure, secondary graphics of the 5th figure as current layer pattern.
Optionally, the range of first preset value is 0nm~100nm.
Optionally, the method for removing the 4th spirte of part that can not be exposed in the 4th figure includes: removal institute Length or width is stated in the 4th figure less than the 4th spirte of part of the second preset value.
Optionally, side of the length or width less than the 4th spirte of part of the second preset value in removal the 4th figure Method includes: to keep the center of the 4th spirte constant, and it is pre- that the length and width of all 4th spirtes is reduced second If value, the 4th spirte of part disappears;Then it is pre- the length and width of the 4th spirte after remaining diminution to be increased second If value, restores remaining the 4th spirte of part to original size.
Optionally, second preset value is that the minimum figure that the current layer spirte in current layer pattern can expose is wide Degree.
Optionally, the range of second preset value is 20nm~160nm.
Optionally, the 4th spirte that can not be exposed in the 4th figure is removed, the method for forming the 5th figure is also wrapped It includes: adjacent 4th spirte that adjacent spacing is less than third preset value is merged.
It optionally, include: holding the by the method that adjacent 4th spirte that adjacent spacing is less than third preset value merges The center of four spirtes is constant, and the length and width of the 4th spirte is increased third preset value, part it is adjacent the 4th Spacing between spirte disappears, and the 4th adjacent spirte merges, then by the length and width of the 4th spirte after increase Reduce third preset value.
Optionally, the third preset value is the minimum figure spacing that can be exposed in current layer pattern.
Optionally, the range of the third preset value is 20nm~36nm.
Optionally, length or width can first be removed in the 4th figure less than the 4th subgraph of part of the second preset value Shape, then adjacent 4th spirte that adjacent spacing is less than third preset value is merged;Or it is first that adjacent spacing is pre- less than third If adjacent 4th spirte of value merges, then removes part fourth spirte of the length or width less than the second preset value.
The present invention also provides it is a kind of expose targeted graphical modification method, comprising: provide lower layer exposure targeted graphical and to Correction pattern, lower layer exposure targeted graphical include first lower layer's spirte of several strips, in the figure to be modified Including the several first spirtes to be modified;The lower layer is exposed into targeted graphical and graphics overlay to be modified, forms overlapping figure, The figure to be modified is located above lower layer's exposure targeted graphical, the spirte to be modified of part first and the first lower layer of part subgraph There is lap between shape;According to the spacing between graphic length, width and adjacent pattern, photoetching resolution limitation is established Table, photoetching resolution limitation table includes can exposure area and can not exposure area;Table is limited according to the photoetching resolution, It keeps the center of the described first spirte to be modified constant, has overlapping part first to be repaired to first lower layer's spirte The size of positive spirte is modified, and forms the first amendment spirte, make the first amendment spirte enter can exposure area, And be located at it is described can in exposure area closest to can not exposure area position.
It optionally, further include the first scattering spirte in lower layer's exposure targeted graphical, corresponding, the figure to be modified It further include the second scattering spirte in shape;In the overlapping figure, the second scattering spirte and the first scattering spirte are complete Overlapping.
Optionally, further includes: keep the center of the second scattering spirte constant, by the second scattering subgraph The length and width of shape increases the 4th preset value, makes the second scattering spirte after increasing that the first scattering spirte be completely covered.
Optionally, the range of the 4th preset value is 20nm~140nm.
Optionally, the method for building up of the photoetching resolution limitation table includes: offer mask pattern, on the mask pattern Spirte with several different in width and spacing, the spirte are the lightproof area of mask pattern;To the mask pattern It is exposed, obtains exposure figure, the exposure figure includes several exposure spirtes;With it is described exposure spirte width and Spacing between adjacent exposure spirte establishes photoetching resolution limitation table, the exposure respectively as abscissa and ordinate Spacing between the width of spirte, the exposure spirte and adjacent spirte is located in photoetching resolution limitation table Can exposure area, and the size area of remaining not formed exposure figure be photoetching resolution limit table in can not exposure region Domain.
Optionally, the width range for exposing spirte that photoetching resolution limitation table uses for 60nm~6000nm, Spacing between adjacent exposure spirte is 60nm~300nm.
It optionally, further include several second lower layers spirte, the described second lower straton in lower layer's exposure targeted graphical It include more than two strip parts not on the same line, and the interconnecting piece of the connection strip part in figure Point;It is corresponding, it include the second spirte to be modified in the figure to be modified, in overlapping figure, second positron to be repaired Figure covers the coupling part of second lower layer spirte, and the part strip portion positioned at the coupling part two sides Point;Table is limited according to the photoetching resolution, the second amendment spirte, institute are obtained after being modified to the second spirte to be modified State the second amendment spirte be located at can in exposure area closest to can not exposure area position;Determine second correction pattern With the side length of strip part intersection, the vertical range between coupling part is first size;Determine the second subgraph to be modified Minimum perpendicular distance between shape and the side length and coupling part of the intersection of strip part is the second size;If first size is greater than Second size is then modified the described second spirte to be modified, if the first size is less than or equal to the second size, Keep the described second spirte to be modified constant.
Optionally, the range of second size is 10nm~60nm.
Compared with prior art, technical solution of the present invention has the advantage that
In the forming method of secondary graphics in technical solution of the present invention, by the first figure and current layer graphics overlay, Form second graph;Second graph is negated, third figure is obtained, the third figure and second graph are complementary graph, institute Using the third figure as the white space in second graph, the third figure does not have with the subgraph shaped position in second graph Overlapping, so to the position after third figure progress multi step strategy between the secondary graphics formed and the spirte of second graph It is not overlapped;Then keep the center of the third spirte constant, by the dimensions length and width of the third spirte Degree reduces the first preset value, forms the 4th figure, so as to so that the side length of the 4th spirte and the side length of the second spirte Between position be no longer overlapped, the subsequent secondary graphics chosen from the 4th spirte when being exposed and etching, The spirte in second graph would not be destroyed;Then the 4th spirte of part that can not be exposed in the 4th figure is removed, The 5th figure is formed, is added the spirte of the 5th figure as secondary graphics in current layer pattern, current layer figure can be increased The light transmittance of shape mask plate improves the uniformity of exposure figure size, and through the above steps, the secondary graphics will not also Spirte in the first figure and current layer pattern of lower layer is impacted, to will not influence finally formed semiconductor The performance of device.
In technical solution of the present invention exposure targeted graphical modification method in, by lower layer exposure targeted graphical with it is to be repaired Positive graphics overlay, lower layer exposes part the first lower layer spirte in targeted graphical and the part first in figure to be modified is to be repaired Positive spirte is by lap;Table is limited according to photoetching resolution, to the part first Chong Die with first lower layer's spirte Spirte to be modified is modified, formed first amendment spirte, make it is described first amendment spirte enter can exposure area, and And be located at it is described can in exposure area closest to can not exposure area position.On the one hand, into can exposure area first repair Positive spirte can expose realization by photoetching on photoresist layer;On the other hand, since the first amendment spirte is located at Can in exposure area closest to can not exposure area position, so that the first correction pattern width is met conditions of exposure most Small value can make the size removed to first lower layer's spirte minimum, make the size and design of finally formed etched features It is worth performance that is identical or deviateing the semiconductor devices smaller, influence is avoided to be formed.
Detailed description of the invention
Fig. 1 to Figure 13 is the schematic diagram of the forming process of the secondary graphics of the embodiment of the present invention;
Figure 14 to Figure 16 is the schematic diagram of the makeover process of the exposure targeted graphical of the embodiment of the present invention.
Specific embodiment
As described in the background art, in the prior art, the ruler for the exposure figure that the photoetching process in second graphical is formed It is very little uneven, and the size for the exposure figure that the photoetching process in second graphical is formed is uneven, this is because second graph In change, caused by the figure light transmittance of the second mask pattern of use is lower, since the light transmittance of the second mask pattern is lower, meeting Cause the resolution ratio of photoetching process lower, the size of the exposure figure resulted in is uneven.
A kind of forming method of secondary graphics is provided in the embodiment of the present invention, the secondary graphics will not scheme lower layer Shape impacts, and the light transmittance of current layer pattern can be improved, and improves the exposure efficiency of current layer pattern.
To make the above purposes, features and advantages of the invention more obvious and understandable, with reference to the accompanying drawing to the present invention Specific embodiment be described in detail.
A kind of forming method of secondary graphics is provided in one embodiment of the present of invention.
Referring to FIG. 1, providing lower layer pattern, the lower layer pattern is the first figure 100.
First figure is the figure of auxiliary area.In the present embodiment, first figure 100 is assisted including active area Figure 101 and grid secondary graphics 102.The active area secondary graphics 101 and grid secondary graphics 102 are for adjusting active area The pattern density of layer and grid layer.In the subsequent process, need to retain the active area secondary graphics 101 and grid secondary graphics 102.And the position of the spirte in first figure 100 cannot be added with subsequent formed in current layer pattern above it The positions of secondary graphics have overlapping.
Referring to FIG. 2, providing current layer pattern, by lower layer pattern and current layer graphics overlay, second graph 200 is formed.
In the present embodiment, due to helping the figure of graphics field supplemented by the current layer pattern, so, which works as Preceding layer pattern is blank figure.So the second graph 200 is identical as the first figure 100.
Referring to FIG. 3, the second graph 200 (please referring to Fig. 2) is negated, third figure 300, the third figure are obtained Shape 300 and second graph 200 are complementary graph, include several third spirtes 301 in the third figure 300.
Described negate refers to using spirte in second graph 200 as the white space in third figure 300, by described White space in two figures 200 makees several third spirtes 301 of third figure 300.The third spirte 301 and second The side length position of spirte 201 (please referring to Fig. 2) is identical.
Referring to FIG. 4, keeping the center of the third spirte 301 (please referring to Fig. 3) constant, by third The dimensions length and width of figure (please referring to Fig. 3) reduce the first preset value, form the 4th figure 400, the 4th figure It include several 4th spirtes 401 in 400.
Subsequent the 4th spirte 401 of part chosen in the 4th figure 400 is by working as 100 upper layer of the first figure The secondary graphics added in preceding layer pattern.
The center of 4th spirte 401 is identical as the center of third spirte 301, but the described 4th The size of spirte 401 be less than the third spirte 301 size, i.e., the length and width of described 4th spirte 401, with Difference between the length and width of the corresponding third spirte 301 of 4th spirte 401 is the first preset value.So as to so that Position between the side length of 4th spirte 401 and the side length of the second spirte 201 (please referring to Fig. 2) is no longer be overlapped, but phase 1/2 times of the distance away from the first preset value, so as to ensure that the secondary graphics chosen from the 4th spirte 401 are being exposed When light and etching, active area secondary graphics 101 and grid secondary graphics 102 (please referring to Fig. 1) will not be destroyed.Also, The quantity and size of the subsequent secondary graphics finally retained can be adjusted, thus to secondary graphics by first preset value The light transmittance of density and mask plate is adjusted.First preset value is bigger, and the size of finally formed secondary graphics is got over Greatly, the light transmittance of mask plate is bigger.
The size of first preset value is 0~100nm.
Referring to FIG. 5, the 4th spirte of part that can not be exposed in removal the 4th figure 400 (please referring to Fig. 4), The 5th figure 500 is formed, the 5th figure 500 is as the secondary graphics for needing to be added in current layer pattern.
The method for removing the 4th spirte 401 of part that can not be exposed in the 4th figure 400 includes: described in removal Part fourth spirte of the length or width less than the second preset value in 4th figure.Further include: adjacent spacing is less than third Adjacent 4th spirte of preset value merges.
Length or width, which is removed in the 4th figure, less than the method for the 4th spirte of part of the second preset value includes: It keeps the center of the 4th spirte 401 (with reference to Fig. 4) constant, the length and width of all 4th spirtes 401 is contracted Small second preset value, the 4th spirte of part disappear;Then the length and width of the 4th spirte after remaining diminution is increased Big second preset value restores remaining 4th spirte to original size.
Second preset value is the minimum graphic width that the current layer spirte in current layer pattern can expose.It will be described The figure that length and width is less than second preset value in 4th figure removes, and is in the 5th figure in order to ensure formation 5th spirte is can exposure figure.
The range of second preset value is 20nm~160nm.
In addition to the figure that can not be exposed in the 4th figure of removal, it is also necessary to which removing cannot expose to be formed in the 4th figure Adjacent pattern between spacing.
Specifically, including: to keep by the adjacent spacing method combined less than adjacent 4th spirte 401 of third preset value The center of 4th spirte 401 is constant, and the length and width of the 4th spirte 401 is increased third preset value, part Spacing between the 4th adjacent spirte 401 will disappear, so that adjacent the 4th spirte 401 merges, form one A larger-size figure, then the length and width of the 4th spirte after increase is reduced into third preset value, make ruler after merging Very little biggish dimension of picture reduces.
The third preset value is the minimum figure spacing that can be exposed in current layer pattern.
The range of the third preset value is 20nm~36nm.
In the present embodiment, length or width is first removed in the 4th figure less than the 4th subgraph of part of the second preset value Shape, then adjacent 4th spirte that adjacent spacing is less than third preset value is merged.Pass through the 5th figure formed after above-mentioned processing Shape 500 includes the 5th spirte 501, and the 5th spirte 501 is all biggish part of length and width in the 4th figure 400 4th spirte.
In other embodiments of the invention, adjacent spacing can also be first less than to adjacent 4th subgraph of third preset value Shape merges, then removes part fourth spirte of the length or width less than the second preset value.Due to first by the 4th spirte of part Merge, cause part original size less than the 4th spirte of part of the second preset value become large-sized to more than second is default It is worth, in finally formed 5th figure, the quantity of the 5th spirte can be more, more conducively improves the light transmittance of mask pattern.
The secondary graphics that 5th spirte is added in current layer pattern as needs.
Referring to FIG. 6, overlapping with the first figure 100 (please referring to Fig. 1) for the 5th figure 500 (please referring to Fig. 5) Figure 600.
By in this present embodiment, current layer pattern originally is blank figure, so, the 5th is added in current layer pattern After figure, only there is the 5th spirte 501 in the current layer pattern.From fig. 6 it can be seen that in the 5th figure and the first figure In the overlapping figure of shape, the position of the 5th spirte 501 and the active area secondary graphics 101 in the first figure and grid are auxiliary It helps between figure 102 and is not overlapped, and the 5th spirte 501 is transparent figure, so, with the 5th spirte 510 are added in current layer pattern as secondary graphics, the light transmittance of the mask plate of current layer pattern can be improved, and will not damage The figure of bad lower layer.Additionally by the size for controlling the 5th spirte, the light transmittance of the mask plate of formation can also be adjusted And pattern density.
In another embodiment of the present invention, a kind of forming method of secondary graphics is also provided.
Referring to FIG. 7, providing lower layer pattern, the lower layer pattern is the first figure 110.
First figure 110 is the figure of main graphics field.In the present embodiment, first figure 110 includes active Area's figure 111, polysilicon graphics 112.In other embodiments of the invention, first figure 110 can also include other The spirte of type.
It include several current layer spirtes 121 in the current layer pattern, by institute referring to FIG. 8, providing current layer pattern Lower layer pattern and current layer graphics overlay are stated, second graph 210 is formed.
It include the active area figure 111 in the first figure in the second graph 210, polysilicon graphics 112 and current Current layer spirte 121 in layer pattern, the spirte conduct in spirte and current layer pattern in first figure The second spirte in second graph 200.
Spirte in the current layer pattern is transmission region, has cutting polysilicon graphics 120 and removal scattering The effect of figure.The current layer figure is located at the top of the first figure.
It the position of spirte in the second graph 210 cannot be with the subsequent secondary graphics being added in current layer pattern Position have overlapping, avoid the secondary graphics from destroying the spirte in current layer spirte and lower layer pattern, to device Performance impacts.
Referring to FIG. 9, the second graph 210 (please referring to Fig. 8) is negated, third figure 310, the third figure are obtained Shape 310 and second graph 210 are complementary graph, include several third spirtes 311 in the third figure 310.
Referring to FIG. 10, keeping the center of the third spirte 311 (please referring to Fig. 9) constant, by the third The dimensions length and width of spirte (please referring to Fig. 9) reduce the first preset value, form the 4th figure 410, the 4th figure It include several 4th spirtes 411 in shape 410.
Subsequent the 4th spirte 411 of part chosen in the 4th figure 410 will be as adding in current layer pattern Secondary graphics.
The size of first preset value is 0~100nm.In the present embodiment, first preset value is 50nm.
In third figure 310, the length or width size of part third spirte is less than first preset value, so, After the dimensions length of the third spirte (please referring to Fig. 9) and width are reduced the first preset value, part third spirte It can disappear, be less than the third subgraph in third figure 310 in the quantity of the 4th spirte 411 in the 4th figure 410 of formation The quantity of shape 311.
Figure 11 is please referred to, the 4th subgraph of part that can not be exposed in the 4th figure 410 (please referring to Figure 10) is removed Shape 411 (please refers to Figure 10), forms the 5th figure 510, and the 5th figure 510 is auxiliary as needing to be added in current layer pattern Figure is helped, the 5th figure 510 includes several 5th spirtes 511.
The method for the 4th spirte 411 of part that can not be exposed described in removal includes: length in removal the 4th figure Or width is less than the 4th spirte of part of the second preset value.Further include: adjacent spacing is less than adjacent the of third preset value Four spirtes merge.
Concrete operation method is identical with a upper embodiment, and therefore not to repeat here.Due to the figure of the 4th spirte 411 Shape size is greater than the second preset value, and the spacing between adjacent pattern is greater than third preset value, so, in the present embodiment, described the Five figures 510 are identical as the 4th figure 410.
Figure 12 is please referred to, is the weight of the 5th figure 510 (please referring to Figure 11) and second graph 210 (please referring to Fig. 7) Folded figure 610.
5th spirte 511 is same layer pattern, 511 conduct of the 5th spirte with current layer spirte 121 Secondary graphics in current layer pattern, and the 5th spirte 511 and the spirte 111 in first figure and work as The position of front layer spirte 121 does not have lap.And the 5th spirte 501 is transparent figure, so, with described the The light transmittance that the mask plate of current layer pattern can be improved is added in current layer pattern as secondary graphics for five spirtes 510, and And the figure of lower layer will not be damaged.Additionally by the size for controlling the 5th spirte, the mask plate of formation can also be adjusted Light transmittance and pattern density.
As described in the background art, in the prior art, and it is difficult to the exposure targeted graphical during second graphical Carry out accurate etching deviation compensation.
One fixed constant value can be increased to the size of all spirtes in exposure targeted graphical, it is ensured that second In patterning process, the part for needing to remove in the figure formed in the first patterning process can be completely removed.However this Sample will lead to formed in the first patterning process figure excision part size be greater than design value, and with design value Deviation is larger, to cause large effect to device performance.
The embodiments of the present invention also provide a kind of forming methods for exposing targeted graphical, for the first figure and the second figure Different location between the spirte of shape is respectively adopted different methods and compensates, thus to the son in the second mask pattern Figure carries out accurate etching deviation compensation.
Figure 13 is please referred to, provides lower layer's exposure targeted graphical and figure to be modified, lower layer's targeted graphical includes several First lower layer's spirte of strip includes the several first spirtes to be modified in the figure to be modified, the lower layer is exposed Optical target figure and graphics overlay to be modified, form overlapping figure, and the figure to be modified is located on lower layer's exposure targeted graphical Side has lap between the spirte to be modified of part first and the first lower layer of part spirte.In Figure 13, same filling class The spirte of type is same figure.
Lower layer's exposure targeted graphical is the exposure targeted graphical of the first patterning process of Dual graphing process, packet Include first lower layer's spirte 701 of several strips;In the present embodiment, lower layer's exposure targeted graphical was the first graphical quarter Lose the exposure targeted graphical of polysilicon layer.
The figure to be modified is the exposure targeted graphical during the second graphical during Dual graphing, described It include the first spirte 801 to be modified of several strips in figure to be modified.In the present embodiment, first subgraph to be modified Shape 801 is also strip figure, for cutting lower layer's spirte 701 in lower layer exposure targeted graphical, described first to Amendment spirte 801 is transparent figure, i.e., the figure being removed on photoresist layer.
The figure to be modified is located above lower layer's exposure targeted graphical, the spirte 801 to be modified of part first and part There is lap, so as to graphically be formed during second graphical by first between first lower layer's spirte 701 Strip polysilicon graphics in it is separated.
Table 1 is please referred to, establishes photoetching resolution limitation table, the photoetching resolution limitation table includes can exposure area and not It can exposure area.
The method for building up of the photoetching resolution limitation table includes: offer mask pattern, if having on the mask pattern The spirte of dry different in width and spacing, the spirte are the lightproof area of mask pattern;The mask pattern is exposed Light, obtains exposure figure, and the exposure figure includes several exposure spirtes;With the width of the exposure spirte and adjacent exposure Spacing between photon figure establishes photoetching resolution limitation table, the exposure spirte respectively as abscissa and ordinate Width, it is described exposure spirte and adjacent spirte between spacing be located at exposing in the photoetching resolution limitation table Light region, and the size area of remaining not formed exposure figure be photoetching resolution limit table in can not exposure area.Institute Stating exposure figure is the figure retained on photoresist layer.
The width range for the exposure spirte that the photoetching resolution limitation table uses is 60nm~6000nm, adjacent exposure Spacing between spirte is 60nm~300nm.
Photoetching resolution limitation table can exposure area and can not the range of exposure area and the photoetching of photoetching equipment Resolution ratio is related, in the more high photoetching resolution limitation table of the resolution ratio of board can exposure area range it is bigger.Also, Photoetching resolution limitation table can exposure area range it is also related to the exposure length of spirte, length is smaller, can expose Region it is bigger.
In the present embodiment, according to actual photoetching equipment, photoetching resolution limitation table is established using the above method.According to institute Exposure figure is stated, as abscissa and is indulged using the spacing between the width and adjacent exposure spirte of the exposure spirte Coordinate establishes photoetching resolution limitation table according to exposure results, please refers to table 1.
1 photoetching resolution of table limits table
In the present embodiment, photoetching resolution shown in table 1 is limited in table, and the width for exposing spirte is L1, adjacent exposure Width between spirte is S1, wherein the range of L1 is 80nm~350nm, and the range of S1 is 60nm~200nm.In this hair In bright other embodiments, it is wider to establish data area, and finer first photoetching resolution limits table.
In table 1, digital " 1 " representative " can expose ", digital " 0 " represents " can not expose ".As can be seen from Table 1, with It is become larger away from S1 and width L1 gradually becomes smaller, it can not gradually become can exposure area for exposure area.
Please continue to refer to Figure 13, table is limited according to the photoetching resolution, is kept in first spirte to be modified Heart position is constant, has the size of the overlapping spirte to be modified of part first to be modified to first lower layer's spirte, is formed First amendment spirte, make the first amendment spirte enter can exposure area, and be located at can in exposure area with can not The immediate position in exposure area.
The first spirte 801a to be modified have width S, the width S be first lower layer's spirte 701 need by Remove the width than part.Spacing between the first spirte 801a to be modified and the adjacent first figure 801b to be modified For L.
According to the width S and spacing L of the described first spirte 801a to be modified, the described first spirte to be modified is found Position of the 801a in photoetching resolution limitation table.Since the described first spirte 801a to be modified is transparent figure, i.e., The part being removed in photoresist layer, so, the width S correspond to photoetching resolution limitation table in adjacent exposure spirte it Between interval S 1, and the spacing L correspond to photoetching resolution limitation table in exposure spirte width L1.
In the present embodiment, the width S of the first spirte 801a to be modified is 70nm, and spacing L is 100nm, just position In photoetching resolution limitation table in the table 1 can exposure area, and be located at can exposure area with can not exposure area face Boundary position.So not needing to be modified the described first spirte 801a to be modified.
In other embodiments of the invention, the width S of the described first spirte 801a to be modified can be 80nm, and It can be 100nm away from L, then the described first spirte 801a to be modified is similarly positioned in exposing in the photoetching resolution limitation table Light region, but being not at described exposure area and can not can reduce at this time the width at the critical localisation of exposure area Degree S remain unchanged be able to maintain the described first spirte 801a to be modified be located in the photoetching resolution table can exposure area, example Such as, the width of the spirte 801a to be modified is decreased to 70nm, being located at the described first spirte 801a to be modified can expose Light region and can not be at the critical localisation of exposure area.
In other embodiments of the invention, the described first spirte 801a to be modified is also possible to be located at photoetching resolution Rate limit in table can not exposure area, can increase the width S of the described first spirte 801a to be modified, form the first amendment Spirte, make the first amendment spirte enter can exposure area, also, be located at it is described can exposure area and can not exposure region At the critical localisation in domain.
By above-mentioned processing, on the one hand may insure it is described first amendment spirte be located at can exposure area, can pass through Exposure is realized;On the other hand, the first amendment spirte be located at can exposure area and can not at the critical localisation of exposure area, The width of first spirte to be modified is the minimum value for meeting conditions of exposure, can be made to first lower layer's spirte 701 The size of removal is minimum, keeps the size of finally formed etched features identical as design value or deviation is smaller, avoid influencing shape At the performance of semiconductor devices the gate end to be formed and channel region can be made to keep enough for example, in the present embodiment Distance.
It please refers to Figure 15, further includes several second lower layers spirte 702 in lower layer's exposure targeted graphical, described second It include more than two strip parts 712 not on the same line, and the connection strip in lower layer's spirte 702 Partial coupling part.
The subsequent etched features for needing to remove the coupling part by second graphical step.
Figure 16 is please referred to, includes the second spirte 802 to be modified in the figure to be modified, exposes target in the lower layer In the overlapping figure of figure and figure to be modified, second spirte 802 to be modified covers second lower layer spirte 702 Coupling part 722, and the part strip part 712 positioned at 722 two sides of coupling part.
In order to ensure in subsequent etching process, the coupling part 722 can be completely removed, described second The coupling part 722 is not only completely covered in spirte to be modified, also covers the part strip of 722 two sides of coupling part Part 712.
Hanging down between the second side length 812 intersected with strip part 721 of spirte 722 to be modified and coupling part 722 Straight distance is the second size C, and the range of the second size C can be 10nm~60nm, with ensure it is described can be by the company Socket part point 722 completely removes.The second size C can be obtained according to multiple etching process.The second size C is will be described Coupling part 722 completely removes required minimum value.
Then according to the width S of the described second spirte to be modified ' and second spirte to be modified and adjacent The spacing between spirte in figure to be modified judges that the described second spirte 802 to be modified limits table in photoetching resolution Position in (table 1), the judgment method with before to the judgment method of the first spirte 801a (please referring to Figure 14) to be modified Identical, therefore not to repeat here.
If second spirte 802 to be modified be located at the photoetching resolution limitation table in can exposure area, and And be located at it is described can in exposure area closest to can not exposure area position, that is, be located at can exposure area with can not exposure area Critical localisation, then do not need to be modified the described second spirte to be modified.
If second spirte 802 to be modified be located at the photoetching resolution limitation table in can not exposure area, Then need to be modified the described second spirte to be modified, by the width S for increasing second spirte to be modified ' it obtains Second amendment spirte, make the second amendment spirte be located in exposure area it is closest can not exposure area position, i.e., Positioned at can exposure area with can not exposure area critical localisation.Finally formed second amendment spirte and strip part phase The side length of friendship, the vertical range between coupling part are first size C ', and first size C ' is greater than the second size C.
If second spirte 802 to be modified be located at the photoetching resolution limitation table in can exposure area, but Be not it is described can exposure area with can not exposure area critical localisation, if to the described second spirte 802 to be modified into Row amendment, needs to reduce the width S of the described second spirte 802 to be modified ', finally formed second amendment spirte and strip The side length of shape part intersection, the vertical range between coupling part is first size C ', and first size C ' is less than the second size C, so, the described second spirte 802 to be modified can be kept constant.
The second spirte 802 to be modified is modified using the above method, on the one hand, revised second can be made to repair Positron figure be located at can exposure area, realization can be exposed on photoresist layer;On the other hand, the second amendment spirte can Coupling part 722 to be completely removed during subsequent etching;Furthermore it can also be in the premise for meeting above-mentioned two effect Under, keep the size of the strip part 712 of removal minimum, or deviation identical as design value is smaller, to avoid to the length The performance of semiconductor devices where strip part 712 impacts.
It in addition in other embodiments of the invention, can also include several first scattered in lower layer's exposure targeted graphical Spirte is penetrated, described first is to scatter the strip figure that spirte is rectangle.
The first scattering spirte is used to adjust the pattern density in lower layer's exposure targeted graphical, and increase forms institute State the lithographic process window of exposure targeted graphical.In the first patterning process, described the can be formed in material layer to be etched One scattering spirte is needed through second graphical process, by the second scattering spirte removal.So in figure to be modified It further include the second scattering spirte corresponding with the first scattering spirte in shape.
In overlapping figure, the second scattering spirte and the first scattering spirte are completely overlapped.In order to by described One scattering spirte completely removes, and needs to be modified the second scattering spirte, makes revised second scattering subgraph The size of shape is greater than the size of the first scattering spirte.The modification method may include: to keep the second scattering The center of figure is constant, and the length and width of the second scattering spirte is increased the 4th preset value, is made after increasing The first scattering spirte is completely covered in second scattering spirte.The range of 4th preset value is 20nm~140nm.It is described to incite somebody to action The size of the second scattering spirte increases the 4th preset value, both may insure that the first scattering subgraph can be completely removed Shape also requires not interfering with other figures in the lower layer pattern.
In conclusion the forming method of exposure target of the invention, limits table by photoetching resolution, guarantees revised While exposure target figure can expose realization, it is ensured that the size that other parts figure is removed is minimum, can either be by lower layer's mesh The first scattering spirte and other unwanted figures in shape of marking on a map completely remove, and avoid the semiconductor devices to formation Performance impact, cause the performance of the semiconductor devices and design value to have biggish deviation.
Although present disclosure is as above, present invention is not limited to this.Anyone skilled in the art are not departing from this It in the spirit and scope of invention, can make various changes or modifications, therefore protection scope of the present invention should be with claim institute Subject to the range of restriction.

Claims (8)

1. a kind of modification method for exposing targeted graphical characterized by comprising
Lower layer's exposure targeted graphical and figure to be modified are provided, lower layer's exposure targeted graphical includes the first of several strips Lower layer's spirte includes the several first spirtes to be modified in the figure to be modified;
The lower layer is exposed into targeted graphical and graphics overlay to be modified, overlapping figure is formed, under the figure to be modified is located at Above layer exposure targeted graphical, there is lap between the spirte to be modified of part first and the first lower layer of part spirte;
Mask pattern, the spirte with several different in width and spacing on the mask pattern are provided, the spirte is to cover The lightproof area of film pattern;The mask pattern is exposed, exposure figure is obtained, the exposure figure includes several exposures Spirte;As abscissa and seat is indulged using the spacing between the width and adjacent exposure spirte of the exposure spirte Mark, establishes photoetching resolution limitation table, and the photoetching resolution limitation table includes can exposure area and can not exposure area;
Table is limited according to the photoetching resolution, keeps the center of first spirte to be modified constant, to first Lower layer's spirte has the size of the spirte to be modified of part first of overlapping to be modified, and forms the first amendment spirte, makes institute State the first amendment spirte enter can exposure area, and be located at it is described can in exposure area closest to can not exposure area position It sets.
2. the modification method of exposure targeted graphical according to claim 1, which is characterized in that the lower layer exposes target figure It further include the first scattering spirte in shape, it is corresponding, it further include the second scattering spirte in the figure to be modified;Described heavy In folded figure, the second scattering spirte and the first scattering spirte are completely overlapped.
3. the modification method of exposure targeted graphical according to claim 2, which is characterized in that further include: keep described the The center of two scattering spirtes is constant, and the length and width of the second scattering spirte is increased the 4th preset value, is made The first scattering spirte is completely covered in the second scattering spirte after increase.
4. the modification method of exposure targeted graphical according to claim 3, which is characterized in that the model of the 4th preset value It encloses for 20nm~140nm.
5. the modification method of exposure targeted graphical according to claim 1, which is characterized in that the width of the exposure spirte Degree, it is described exposure spirte and adjacent spirte between spacing be located at the photoetching resolution limit table in can exposure region Domain, and the size area of remaining not formed exposure figure be photoetching resolution limit table in can not exposure area.
6. the modification method of exposure targeted graphical according to claim 5, which is characterized in that the photoetching resolution limitation The width range for the exposure spirte that table uses for 60nm~6000nm, spacing between adjacent exposure spirte for 60nm~ 300nm。
7. the modification method of exposure targeted graphical according to claim 1, which is characterized in that the lower layer exposes target figure Further include several second lower layers spirte in shape, include in second lower layer spirte it is more than two not on the same line Strip part, and the coupling part of the connection strip part;It is corresponding, it include second in the figure to be modified Spirte to be modified, in overlapping figure, second spirte to be modified covers the interconnecting piece of second lower layer spirte Point, and positioned at the part strip part of the coupling part two sides;According to the photoetching resolution limit table, to second to Amendment spirte obtains the second amendment spirte after being modified, the second amendment spirte is located at and can most connect in exposure area Closely can not exposure area position;The side length for determining second correction pattern and the intersection of strip part divides it with interconnecting piece Between vertical range be first size;Determine side length and the coupling part of the intersection of the second spirte and strip to be modified part Between minimum perpendicular distance be the second size;If first size is greater than the second size, to the described second spirte to be modified It is modified, if the first size is less than or equal to the second size, keeps the described second spirte to be modified constant.
8. the modification method of exposure targeted graphical according to claim 7, which is characterized in that the range of second size For 10nm~60nm.
CN201710073602.3A 2013-12-30 2013-12-30 Expose the modification method of targeted graphical Active CN106896648B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201710073602.3A CN106896648B (en) 2013-12-30 2013-12-30 Expose the modification method of targeted graphical

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CN201710073602.3A CN106896648B (en) 2013-12-30 2013-12-30 Expose the modification method of targeted graphical
CN201310745670.1A CN104749900B (en) 2013-12-30 2013-12-30 The forming method of secondary graphics and the modification method of exposure targeted graphical

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201310745670.1A Division CN104749900B (en) 2013-12-30 2013-12-30 The forming method of secondary graphics and the modification method of exposure targeted graphical

Publications (2)

Publication Number Publication Date
CN106896648A CN106896648A (en) 2017-06-27
CN106896648B true CN106896648B (en) 2019-01-22

Family

ID=53589802

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201710073602.3A Active CN106896648B (en) 2013-12-30 2013-12-30 Expose the modification method of targeted graphical
CN201310745670.1A Active CN104749900B (en) 2013-12-30 2013-12-30 The forming method of secondary graphics and the modification method of exposure targeted graphical

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201310745670.1A Active CN104749900B (en) 2013-12-30 2013-12-30 The forming method of secondary graphics and the modification method of exposure targeted graphical

Country Status (1)

Country Link
CN (2) CN106896648B (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106328506B (en) * 2016-08-31 2020-04-10 上海华力微电子有限公司 Method for reducing photoresist stripping risk of ion implantation layer
CN109669319B (en) * 2018-12-19 2022-06-14 上海华力集成电路制造有限公司 OPC correction method for improving line end size uniformity of polycrystalline silicon layer
CN110058485B (en) * 2019-05-09 2022-04-22 上海华力微电子有限公司 OPC correction method and OPC correction system
CN113075856A (en) * 2020-01-06 2021-07-06 中芯国际集成电路制造(上海)有限公司 Mask pattern, mask and method for forming semiconductor structure
CN113109992B (en) * 2020-01-10 2022-07-19 中芯国际集成电路制造(北京)有限公司 Pattern correction method and mask manufacturing method
CN112987487B (en) * 2021-02-22 2024-03-08 上海华力集成电路制造有限公司 OPC correction method for graph structure with different graph density ends
CN114415466B (en) * 2022-03-29 2022-07-08 合肥晶合集成电路股份有限公司 Method and system for correcting layout graph

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07325387A (en) * 1994-05-31 1995-12-12 Oki Electric Ind Co Ltd Photomask and forming method thereof
US5985491A (en) * 1995-09-13 1999-11-16 Samsung Electronics Co., Ltd. Reflectors for photolithographic projection and related systems and methods
EP1130467A2 (en) * 2000-02-24 2001-09-05 Sony Corporation Photo mask pattern designing method, resist pattern fabricating method and semiconductor device manufacturing method
CN101349861A (en) * 2007-07-19 2009-01-21 上海华虹Nec电子有限公司 Method of smoothing regulation type optical approach correcting light mask pattern
CN101726991A (en) * 2008-10-24 2010-06-09 中芯国际集成电路制造(上海)有限公司 Test method of optical proximity correction and manufacturing method of photomask
CN102033418A (en) * 2009-09-25 2011-04-27 中芯国际集成电路制造(上海)有限公司 Characteristic dimension proximity pattern
CN102096308A (en) * 2009-12-15 2011-06-15 中芯国际集成电路制造(上海)有限公司 Mask graph, method for manufacturing mask, and method for correcting mask graph

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3501688B2 (en) * 1999-07-01 2004-03-02 キヤノン株式会社 Exposure method, exposure apparatus, and device manufacturing method
KR100529619B1 (en) * 2003-12-27 2005-11-17 동부아남반도체 주식회사 A mask of a semiconductor device, and a pattern forming method thereof
KR20060133790A (en) * 2005-06-21 2006-12-27 주식회사 하이닉스반도체 Asymmetry illuminating mask and manufacture method thereof
CN103186031B (en) * 2011-12-30 2017-11-07 联华电子股份有限公司 The method corrected the method for layout patterns and make photomask
CN103376643B (en) * 2012-04-17 2015-10-14 中芯国际集成电路制造(上海)有限公司 Correct the method for layout graph

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07325387A (en) * 1994-05-31 1995-12-12 Oki Electric Ind Co Ltd Photomask and forming method thereof
US5985491A (en) * 1995-09-13 1999-11-16 Samsung Electronics Co., Ltd. Reflectors for photolithographic projection and related systems and methods
EP1130467A2 (en) * 2000-02-24 2001-09-05 Sony Corporation Photo mask pattern designing method, resist pattern fabricating method and semiconductor device manufacturing method
CN101349861A (en) * 2007-07-19 2009-01-21 上海华虹Nec电子有限公司 Method of smoothing regulation type optical approach correcting light mask pattern
CN101726991A (en) * 2008-10-24 2010-06-09 中芯国际集成电路制造(上海)有限公司 Test method of optical proximity correction and manufacturing method of photomask
CN102033418A (en) * 2009-09-25 2011-04-27 中芯国际集成电路制造(上海)有限公司 Characteristic dimension proximity pattern
CN102096308A (en) * 2009-12-15 2011-06-15 中芯国际集成电路制造(上海)有限公司 Mask graph, method for manufacturing mask, and method for correcting mask graph

Also Published As

Publication number Publication date
CN104749900B (en) 2016-12-28
CN106896648A (en) 2017-06-27
CN104749900A (en) 2015-07-01

Similar Documents

Publication Publication Date Title
CN106896648B (en) Expose the modification method of targeted graphical
CN106933028B (en) Method for correcting mask graph
CN104749899B (en) Optical proximity correction method
TW552617B (en) A semiconductor device and a manufacturing method of the same
CN103246156B (en) Method for forming self-aligned overlay mark
JP5677356B2 (en) Generation method of mask pattern
WO2018000925A1 (en) Substrate, display apparatus and a method for manufacturing the substrate
DE102017120849A1 (en) Layouts for integrated circuits with cable end extensions
CN109669319A (en) Improve the OPC modification method of polysilicon layer line end dimensional homogeneity
US8898600B2 (en) Layout optimization for integrated design
CN100501929C (en) Method of adjusting deviation of critical dimension of patterns
CN104752169B (en) The forming method of mask pattern
CN107785242B (en) Triple patterning method
TW201525609A (en) Box-in-box overlay mark
JP2010026420A (en) Method for creating pattern
CN104216233A (en) Exposure method
CN113109992B (en) Pattern correction method and mask manufacturing method
EP3640976A1 (en) Mask and method for preparing array substrate
CN100592494C (en) Method for correcting layout design for correcting metallic coating of contact hole
CN105988292A (en) Photoresist with atypical pattern and method for etching substrate and forming hole
TWI703619B (en) Semiconductor device and manufacturing method of the same
CN103681231B (en) The method forming pattern in substrate
CN109686662B (en) Method for manufacturing grid of thin film transistor
CN113394198A (en) Photoetching alignment mark and forming method thereof
CN104952705A (en) Double pattern and manufacture method of semiconductor device structure

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant