CN106571294B - Method for manufacturing semiconductor device - Google Patents

Method for manufacturing semiconductor device Download PDF

Info

Publication number
CN106571294B
CN106571294B CN201510669884.4A CN201510669884A CN106571294B CN 106571294 B CN106571294 B CN 106571294B CN 201510669884 A CN201510669884 A CN 201510669884A CN 106571294 B CN106571294 B CN 106571294B
Authority
CN
China
Prior art keywords
chemical mechanical
interlayer dielectric
dielectric layer
layer
polishing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201510669884.4A
Other languages
Chinese (zh)
Other versions
CN106571294A (en
Inventor
邓武锋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp, Semiconductor Manufacturing International Beijing Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN201510669884.4A priority Critical patent/CN106571294B/en
Publication of CN106571294A publication Critical patent/CN106571294A/en
Application granted granted Critical
Publication of CN106571294B publication Critical patent/CN106571294B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes

Abstract

The invention provides a manufacturing method of a semiconductor device, and relates to the technical field of semiconductors. The method comprises the following steps: providing a semiconductor substrate, and forming a plurality of dummy gates on the semiconductor substrate; sequentially depositing a grinding stop layer and an interlayer dielectric layer to cover the semiconductor substrate and the plurality of dummy gates, wherein the top surface of the interlayer dielectric layer is higher than the top surfaces of the dummy gates; performing a first chemical mechanical polishing on the interlayer dielectric layer and stopping in the polishing stop layer; performing second chemical mechanical polishing on the interlayer dielectric layer and stopping on the top surface of the dummy gate; and carrying out third chemical mechanical polishing on the interlayer dielectric layer, wherein liquid including an oxidant is added in the third chemical mechanical polishing process so as to oxidize the top surface of the dummy gate to form a protective layer. According to the manufacturing method, in the third chemical grinding process, the top surface of the dummy gate is oxidized by using hydrogen peroxide to form the protective layer, so that the material of the dummy gate is protected from being lost in the subsequent cleaning step.

Description

Method for manufacturing semiconductor device
Technical Field
The invention relates to the technical field of semiconductors, in particular to a manufacturing method of a semiconductor device.
Background
With the continuous development of semiconductor technology, the size of semiconductor devices is continuously decreasing. High-k metal gates below 32nm are becoming the mainstream direction in current semiconductor technology development. Among them, aluminum gates are preferred due to their excellent characteristics.
The chemical-mechanical polishing (CMP) process of the Al gate is one of the most important processes for forming the Al gate, and the CMP process has two functions of mechanical polishing and chemical polishing, so that the entire wafer surface can be planarized, thereby precisely controlling the step of the Al gate.
However, in a conventional CMP process, many defects are often generated on the wafer surface, such defects mainly include scratches (scratches), particles, slurry residues, etc., and it is advantageous to minimize the defects during the CMP process to improve the yield since the defects may cause a loss of production yield.
CMP techniques are widely used in the fabrication of metal gate electrodes in high-k metal gates in 28nm technology nodes. In the process of replacing the metal gate, a chemical mechanical polishing process for opening the pseudo gate polysilicon and a chemical mechanical polishing process for the metal gate are required to be applied to manufacture high-k metal gate devices and products. The dummy gate polysilicon open cmp process precedes dummy gate removal, while the Al metal gate cmp process follows work function metal layer deposition.
The conventional chemical mechanical polishing process for opening the dummy gate polysilicon layer usually includes three times of chemical mechanical polishing, and a first chemical mechanical polishing is performed to remove most of the HARP oxide. Then, a second CMP is performed to stop on the polishing stop layer. And then, carrying out third chemical mechanical polishing to stop on the surface of the dummy gate, and then further comprising a cleaning step for the semiconductor device, wherein in the step, the problem that polycrystalline silicon is damaged in the edge area of the chip due to the fact that the surface of the dummy gate is directly exposed in a cleaning solution is found, and further the problem that the gate height is controlled inaccurately due to the loss of the polycrystalline silicon in the gate area occurs.
Therefore, it is necessary to provide a new method for manufacturing a semiconductor device to solve the above technical problems.
Disclosure of Invention
In this summary, concepts in a simplified form are introduced that are further described in the detailed description. This summary of the invention is not intended to identify key features or essential features of the claimed subject matter, nor is it intended to be used as an aid in determining the scope of the claimed subject matter.
In view of the shortcomings of the prior art, the present invention provides a method for manufacturing a semiconductor device, the method comprising:
step S1: providing a semiconductor substrate, and forming a plurality of dummy gates on the semiconductor substrate;
step S2: sequentially depositing a grinding stop layer and an interlayer dielectric layer to cover the semiconductor substrate and the plurality of dummy gates, wherein the top surface of the interlayer dielectric layer is higher than the top surfaces of the dummy gates;
step S3: performing a first chemical mechanical polishing on the interlayer dielectric layer and stopping in the polishing stopping layer;
step S4: performing second chemical mechanical polishing on the interlayer dielectric layer and stopping on the top surface of the dummy gate;
step S5: and carrying out third chemical mechanical polishing on the interlayer dielectric layer, wherein liquid including an oxidant is added in the third chemical mechanical polishing process so as to oxidize the top surface of the dummy gate to form a protective layer.
Optionally, in the step S2, the interlayer dielectric layer includes a HARP oxide and a TEOS oxide deposited in sequence.
Optionally, the oxidizing agent comprises hydrogen peroxide.
Optionally, the time of the third chemical mechanical polishing ranges from 10s to 50 s.
Optionally, the first chemical mechanical polishing, the second chemical mechanical polishing and the third chemical mechanical polishing are respectively performed on different polishing pads.
Optionally, the first chemical mechanical polishing is performed using a polishing slurry having a high selectivity ratio of the interlayer dielectric layer material to the polishing stop layer material.
Optionally, after the step S5, a step of cleaning the semiconductor device is further included.
Optionally, the cleaning is performed using a cleaning solution comprising ammonia or hydrofluoric acid.
Optionally, after the step S5, the method further includes the following steps:
removing the dummy gate to form a gate trench;
forming a work function metal layer at the bottom and the side wall in the grid groove;
and forming a metal layer on the work function metal layer to fill the gate groove so as to form a metal gate.
Optionally, a gapped wall is formed on a sidewall of each of the number of dummy gates.
In summary, in the manufacturing method of the present invention, in the third chemical polishing process, the top surface of the dummy gate is oxidized by hydrogen peroxide to form the protection layer, so as to protect the material of the dummy gate from being lost in the subsequent cleaning step, maintain the uniformity of the height of the dummy gate, and improve the yield and performance of the device.
Drawings
The following drawings of the invention are included to provide a further understanding of the invention. The drawings illustrate embodiments of the invention and, together with the description, serve to explain the principles of the invention.
In the drawings:
FIGS. 1A-1D illustrate cross-sectional views of structures formed at steps associated with a method of fabricating a semiconductor device, in accordance with one embodiment of the present invention;
FIG. 2 shows a top view of a CMP apparatus used in accordance with an embodiment of the present invention;
FIG. 3 is a graph showing a comparison of the method of one embodiment of the present invention with prior art polysilicon thickness test data before and after cleaning;
fig. 4 is a schematic flow chart of a method of manufacturing a semiconductor device according to an embodiment of the present invention.
Detailed Description
In the following description, numerous specific details are set forth in order to provide a more thorough understanding of the present invention. It will be apparent, however, to one skilled in the art, that the present invention may be practiced without one or more of these specific details. In other instances, well-known features have not been described in order to avoid obscuring the invention.
It is to be understood that the present invention may be embodied in many different forms and should not be construed as limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the scope of the invention to those skilled in the art. In the drawings, the size and relative sizes of layers and regions may be exaggerated for clarity. Like reference numerals refer to like elements throughout.
It will be understood that when an element or layer is referred to as being "on," "adjacent to," "connected to," or "coupled to" other elements or layers, it can be directly on, adjacent to, connected or coupled to the other elements or layers or intervening elements or layers may be present. In contrast, when an element is referred to as being "directly on," "directly adjacent to," "directly connected to" or "directly coupled to" other elements or layers, there are no intervening elements or layers present. It will be understood that, although the terms first, second, third, etc. may be used to describe various elements, components, regions, layers and/or sections, these elements, components, regions, layers and/or sections should not be limited by these terms. These terms are only used to distinguish one element, component, region, layer or section from another element, component, region, layer or section. Thus, a first element, component, region, layer or section discussed below could be termed a second element, component, region, layer or section without departing from the teachings of the present invention.
Spatial relational terms such as "under," "below," "under," "above," "over," and the like may be used herein for convenience in describing the relationship of one element or feature to another element or feature as illustrated in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, then elements or features described as "below" or "beneath" other elements or features would then be oriented "above" the other elements or features. Thus, the exemplary terms "under" and "under" can encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatial descriptors used herein interpreted accordingly.
The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the invention. As used herein, the singular forms "a", "an" and "the" are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms "comprises" and/or "comprising," when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof. As used herein, the term "and/or" includes any and all combinations of the associated listed items.
Embodiments of the invention are described herein with reference to cross-sectional illustrations that are schematic illustrations of idealized embodiments (and intermediate structures) of the invention. As such, variations from the shapes of the illustrations as a result, for example, of manufacturing techniques and/or tolerances, are to be expected. Thus, embodiments of the invention should not be limited to the particular shapes of regions illustrated herein but are to include deviations in shapes that result, for example, from manufacturing. For example, an implanted region shown as a rectangle will typically have rounded or curved features and/or implant concentration gradients at its edges rather than a binary change from implanted to non-implanted region. Also, a buried region formed by implantation may result in some implantation in the region between the buried region and the surface through which the implantation is performed. Thus, the regions illustrated in the figures are schematic in nature and their shapes are not intended to illustrate the actual shape of a region of a device and are not intended to limit the scope of the present invention.
In order to provide a thorough understanding of the present invention, detailed steps will be set forth in the following description in order to explain the technical solutions proposed by the present invention. The following detailed description of the preferred embodiments of the invention, however, the invention is capable of other embodiments in addition to those detailed.
Example one
A method for manufacturing a semiconductor device according to an embodiment of the present invention is described below with reference to fig. 1A to 1D and fig. 2 to 4.
Illustratively, the method for manufacturing a semiconductor device according to an embodiment of the present invention includes the steps of:
as shown in fig. 1A, a semiconductor substrate 100 is provided on which a number of dummy gates 101 are formed.
The semiconductor substrate 100 may be formed of undoped single-crystal silicon, impurity-doped single-crystal silicon, silicon-on-insulator (SOI), silicon-on-insulator (SSOI), silicon-on-insulator-germanium (S-SiGeOI), silicon-on-insulator-germanium (SiGeOI), germanium-on-insulator (GeOI), or the like. As an example, the constituent material of the semiconductor substrate 100 is monocrystalline silicon.
An isolation structure (not shown) is formed in the semiconductor substrate 100, and the isolation structure may be a Shallow Trench Isolation (STI) structure or a local oxidation of silicon (LOCOS) isolation structure. Illustratively, the isolation structure may divide the semiconductor substrate 100 into an NMOS region and a PMOS region. Various well structures are also formed in the semiconductor substrate 100, and are omitted from the drawings for simplicity.
The material of the dummy gate 101 includes polysilicon or amorphous carbon, preferably polysilicon.
In one example, the method for forming the dummy gate 101 is as follows: sequentially depositing a high-K dielectric layer (not shown) and a dummy gate material layer on a semiconductor substrate, forming a patterned photoresist layer on the dummy gate material layer, wherein the photoresist layer defines the shape of the dummy gate 101 and the size of the critical dimension, and etching the dummy gate material layer and the high-K dielectric layer by using the photoresist layer as a mask to form a dummy gate structure. The photoresist layer is then removed. The above method of forming the dummy gate 101 is only exemplary, and any other method of forming the dummy gate 101 may be applied to the present invention. Illustratively, the dummy gate material layer is a polysilicon layer. The polysilicon layer may be formed by any deposition method known to those skilled in the art, such as Chemical Vapor Deposition (CVD), such as Low Temperature Chemical Vapor Deposition (LTCVD), Low Pressure Chemical Vapor Deposition (LPCVD), rapid thermal chemical vapor deposition (LTCVD), plasma chemical vapor deposition (PECVD), and generally similar methods such as sputtering and Physical Vapor Deposition (PVD) may also be used.
The high-k dielectric layer has a k value (dielectric constant) of usually 3.9 or more, and the constituent material thereof includes hafnium oxide, hafnium silicon oxynitride, lanthanum oxide, zirconium silicon oxide, titanium oxide, tantalum oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, aluminum oxide, etc., preferably hafnium oxide, zirconium oxide, or aluminum oxide. The high-K dielectric layer may be formed using a suitable process such as CVD, ALD, or PVD. The high-K dielectric layer has a thickness in the range of 10 angstroms to 30 angstroms.
Gapped walls are formed on sidewalls of each of the number of dummy gates. The spacer is made of an insulating material such as silicon nitride, silicon oxide, or silicon oxynitride. In this embodiment, the spacer is a stack of oxide and nitride. The process of forming the spacers may be any process known to those skilled in the art, such as chemical vapor deposition. Spacers are also inevitably formed above the dummy gate structure during spacer deposition, but are removed by chemical mechanical polishing or etching in subsequent processes.
Next, with continuing reference to fig. 1A, a polishing stop layer 102 and an interlayer dielectric layer 103 are sequentially deposited to cover the semiconductor substrate 100 and the dummy gates 101, wherein a top surface of the interlayer dielectric layer 103 is higher than a top surface of the dummy gates 101.
The polish stop layer 102 may be formed of SiCN, SiN, SiC, SiOF, SiON, or the like. In the present embodiment, the material of the polishing stop layer 102 preferably comprises silicon nitride. Any suitable deposition method such as CVD or PVD may be used.
The interlayer dielectric layer 103 may be formed by any suitable process known to those skilled in the art, such as a chemical vapor deposition process. The interlayer dielectric layer 102 may be a silicon oxide layer, including a doped or undoped silicon oxide layer formed by a thermal chemical vapor deposition (thermal CVD) process or a High Density Plasma (HDP) process, such as Undoped Silicate Glass (USG), phosphosilicate glass (PSG), or borophosphosilicate glass (BPSG). In addition, the interlayer dielectric layer may also be spin-on-glass (SOG) doped with boron or phosphorus, tetraethoxysilane (PTEOS) doped with phosphorus, or tetraethoxysilane (BTEOS) doped with boron.
In one example, the interlayer dielectric layer 103 may further include a High Aspect Ratio (HARP) oxide 103a and a TEOS oxide 103b deposited in sequence.
Next, referring to fig. 1B, a first cmp process is performed on the ild layer 103 to stop in the polish stop layer 102.
Illustratively, a first CMP process is performed to remove most of the IMD layer 103, which is a relatively fast polishing rate and a rough polishing method. The first chemical mechanical polishing is performed using a polishing slurry having a high selectivity ratio of the material of the interlayer dielectric layer 103 to the material of the polishing stop layer 102, for example, a selectivity ratio of 50:1 or higher. The polishing rate of the interlayer dielectric layer 103 by the polishing slurry is much higher than that of the polishing stop layer 102. For example, when the material of the interlayer dielectric layer is oxide and the material of the etch stop layer is silicon nitride, the polishing slurry may be a polishing slurry of CES333, model number of the asahi glass company (ASAHI GLASS co. ltd).
A general CMP apparatus is equipped with an end point detection device (EPD) to detect the polishing end point as needed. When the material is ground to a predetermined target thickness or target material (target position), the end point detection means signals that grinding is stopped. In one example, the polishing endpoint of the first chemical mechanical polishing is detected using optical endpoint detection or motor current endpoint detection.
Next, referring to fig. 1C, a second cmp process is performed on the ild layer 102 to stop on the top surface of the dummy gate 101.
The second chemical mechanical polishing is fine polishing, and the polishing speed of the second chemical mechanical polishing is lower than that of the first chemical mechanical polishing. In this step, the second cmp stops on the top surface of the dummy gate 101, thereby exposing the top surface of the dummy gate. In this embodiment, the second CMP is performed using a slurry having the same or similar selectivity of the material of the interlayer dielectric layer 103 to the material of the polish stop layer 102, while the slurry may also have a low selectivity to the dummy gate material, for example, a model PL6116 slurry from FUJIMI, Japan. Since the selectivity ratio is the same or similar, the polishing rate is the same or similar, and the polishing stop layer 102 can be polished simultaneously with the polishing of the interlayer dielectric layer 103. Illustratively, the second cmp stops on the top surface of the dummy gate 101 by detecting the endpoint of the second cmp using an endpoint detection technique based on a change in the drive motor current to completely remove the interlayer dielectric layer 103 over the dummy gate.
Next, referring to fig. 1D, a third cmp process is performed on the interlayer dielectric layer 103, wherein a liquid including an oxidant is added during the third cmp process to oxidize the top surface of the dummy gate 101 to form a protection layer 104.
Illustratively, the oxidizing agent comprises hydrogen peroxide. For example, in the third chemical mechanical polishing, a solution containing hydrogen peroxide is added to the polishing pad. The third chemical mechanical polishing can also be performed by using a mixed solution of hydrogen peroxide and a polishing solution. Further, the time range of the third chemical mechanical polishing is 10s to 50 s. The third cmp process has a low polishing rate, which is a chemical polishing process, and since a liquid including hydrogen peroxide is used in the polishing process, the top surface of the polysilicon dummy gate is oxidized to form a protective layer. The protective layer can avoid polysilicon damage and loss caused by the fact that cleaning liquid directly contacts the dummy gate in the subsequent cleaning process.
Further, as shown in fig. 2, the first cmp, the second cmp and the third cmp are performed on three different polishing pads, and the polishing rates are sequentially decreased. After the polishing head picks up the wafer 21 from the wafer carrier 22, the polishing head is moved to the first polishing pad by the polishing head transport device to perform a first chemical mechanical polishing on the wafer, wherein the first chemical mechanical polishing employs a higher polishing rate and a higher polishing force to planarize and polish most of the interlayer dielectric layer, and stops on the polishing stop layer. Most of the interlayer dielectric layer is removed after the first chemical mechanical polishing. After the first grinding is finished, the grinding head is moved to the position of the second grinding pad to carry out second chemical mechanical grinding on the wafer, and the second chemical mechanical grinding is carried out on the waferThe wafer is subjected to fine grinding, the grinding rate is lower than that of the first chemical mechanical grinding, the grinding stop layer and the interlayer dielectric layer above the pseudo grid electrode are removed through the second chemical mechanical grinding, and the top surface of the pseudo grid electrode is exposed; and finally, moving the grinding head to a third grinding pad to carry out third chemical mechanical grinding on the wafer, wherein the third chemical mechanical grinding adopts lower grinding speed and lower grinding force than the previous two chemical mechanical grindings, and the H is utilized to carry out chemical polishing on the wafer while the H is utilized2O2And oxidizing the top surface of the dummy gate to form a protective layer.
And then, a cleaning step is further included, and in this embodiment, the semiconductor device is cleaned by using a cleaning liquid including ammonia water or hydrofluoric acid.
Figure 3 is a graph comparing the method of one embodiment of the present invention to prior art polysilicon thickness test data before and after cleaning. As can be seen, the loss of polysilicon layer before and after the cleaning step is nearly zero using the method of the present invention, whereas the polysilicon thickness loss on the wafer is found to be nearly 50 angstroms using the prior art method.
The above steps are only some steps in the process of manufacturing the metal gate, and other steps are required for manufacturing the complete semiconductor device, for example, the following steps can be performed after: removing the dummy gate to form a gate trench; forming a work function metal layer at the bottom and the side wall in the grid groove; and forming a metal layer on the work function metal layer to fill the gate groove so as to form a metal gate.
Referring to fig. 4, a schematic flow chart of a method for manufacturing a semiconductor device according to an embodiment of the present invention is shown to schematically illustrate the flow of the entire manufacturing process.
Step S401: providing a semiconductor substrate, and forming a plurality of dummy gates on the semiconductor substrate;
step S402: sequentially depositing a grinding stop layer and an interlayer dielectric layer to cover the semiconductor substrate and the plurality of dummy gates, wherein the top surface of the interlayer dielectric layer is higher than the top surfaces of the dummy gates;
step S403: performing a first chemical mechanical polishing on the interlayer dielectric layer and stopping in the polishing stopping layer;
step S404: performing second chemical mechanical polishing on the interlayer dielectric layer and stopping on the top surface of the dummy gate;
step S405: and carrying out third chemical mechanical polishing on the interlayer dielectric layer, wherein liquid including an oxidant is added in the third chemical mechanical polishing process so as to oxidize the top surface of the dummy gate to form a protective layer.
In summary, in the manufacturing method of the present invention, in the third chemical polishing process, the top surface of the dummy gate is oxidized by hydrogen peroxide to form the protection layer, so as to protect the material of the dummy gate from being lost in the subsequent cleaning step, maintain the uniformity of the height of the dummy gate, and improve the yield and performance of the device.
The present invention has been illustrated by the above embodiments, but it should be understood that the above embodiments are for illustrative and descriptive purposes only and are not intended to limit the invention to the scope of the described embodiments. Furthermore, it will be understood by those skilled in the art that the present invention is not limited to the embodiments described above, and that many variations and modifications may be made in accordance with the teachings of the present invention, which variations and modifications are within the scope of the present invention as claimed. The scope of the invention is defined by the appended claims and equivalents thereof.

Claims (9)

1. A method of manufacturing a semiconductor device, comprising:
step S1: providing a semiconductor substrate, and forming a plurality of dummy gates on the semiconductor substrate;
step S2: sequentially depositing a grinding stop layer and an interlayer dielectric layer to cover the semiconductor substrate and the plurality of dummy gates, wherein the top surface of the interlayer dielectric layer is higher than the top surfaces of the plurality of dummy gates;
step S3: performing a first chemical mechanical polishing on the interlayer dielectric layer and stopping in the polishing stopping layer;
step S4: performing second chemical mechanical polishing on the interlayer dielectric layer and stopping on the top surfaces of the plurality of dummy gates; and
step S5: performing a third CMP process on the interlayer dielectric layer, wherein a liquid containing an oxidant is added in the third CMP process to oxidize the top surfaces of the dummy gates to form a protective layer,
after the step S5, a step of cleaning the semiconductor device is further included.
2. The method of manufacturing of claim 1, wherein in the step S2, the interlayer dielectric layer comprises a high aspect ratio oxide and an ethyl silicate oxide deposited sequentially.
3. The method of manufacturing according to claim 1, wherein the oxidizing agent comprises hydrogen peroxide.
4. The manufacturing method according to claim 1, wherein the time period of the third chemical mechanical polishing is in a range of 10s to 50 s.
5. The manufacturing method according to claim 1, wherein the first chemical mechanical polishing, the second chemical mechanical polishing and the third chemical mechanical polishing are performed on different polishing pads respectively.
6. The manufacturing method according to claim 1, wherein the first chemical mechanical polishing is performed using a polishing liquid having a high selection ratio of the interlayer dielectric layer to the polishing stop layer.
7. The manufacturing method according to claim 1, wherein the cleaning is performed using a cleaning liquid including ammonia water or hydrofluoric acid.
8. The manufacturing method according to claim 1, characterized by further comprising, after the step S5, the steps of:
removing the plurality of dummy gates to form gate trenches;
forming a work function metal layer at the bottom and the side wall in the grid groove;
and forming a metal layer on the work function metal layer to fill the gate groove so as to form a metal gate.
9. The method of manufacturing according to claim 1, wherein a spacer is formed on a sidewall of each of the plurality of dummy gates.
CN201510669884.4A 2015-10-13 2015-10-13 Method for manufacturing semiconductor device Active CN106571294B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201510669884.4A CN106571294B (en) 2015-10-13 2015-10-13 Method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201510669884.4A CN106571294B (en) 2015-10-13 2015-10-13 Method for manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
CN106571294A CN106571294A (en) 2017-04-19
CN106571294B true CN106571294B (en) 2020-03-10

Family

ID=58508383

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510669884.4A Active CN106571294B (en) 2015-10-13 2015-10-13 Method for manufacturing semiconductor device

Country Status (1)

Country Link
CN (1) CN106571294B (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108470681B (en) * 2018-03-14 2020-06-12 上海华力集成电路制造有限公司 Method for manufacturing grid
CN108520865B (en) * 2018-03-21 2021-02-02 上海华力集成电路制造有限公司 Method for manufacturing grid
CN108493159A (en) * 2018-04-13 2018-09-04 上海华力集成电路制造有限公司 The manufacturing method of grid
CN109037053B (en) * 2018-07-13 2021-02-02 上海华力集成电路制造有限公司 Method for manufacturing grid
CN111081709B (en) * 2018-10-22 2022-07-22 华邦电子股份有限公司 Method of manufacturing nonvolatile memory device
CN110444470A (en) * 2019-08-22 2019-11-12 上海华力集成电路制造有限公司 A kind of method of different line width transistor gate height in improvement semiconductor devices
CN110473834A (en) * 2019-08-29 2019-11-19 上海华力集成电路制造有限公司 The manufacturing method of grid

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101580700A (en) * 2008-05-16 2009-11-18 盟智科技股份有限公司 Chemical mechanical polishing composition
CN104716035A (en) * 2013-12-12 2015-06-17 中芯国际集成电路制造(上海)有限公司 Chemical mechanical polishing method

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4211674B2 (en) * 2004-05-12 2009-01-21 セイコーエプソン株式会社 Semiconductor device and manufacturing method thereof, electro-optical device and manufacturing method thereof, and electronic apparatus

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101580700A (en) * 2008-05-16 2009-11-18 盟智科技股份有限公司 Chemical mechanical polishing composition
CN104716035A (en) * 2013-12-12 2015-06-17 中芯国际集成电路制造(上海)有限公司 Chemical mechanical polishing method

Also Published As

Publication number Publication date
CN106571294A (en) 2017-04-19

Similar Documents

Publication Publication Date Title
CN106571294B (en) Method for manufacturing semiconductor device
TWI809574B (en) Semiconductor memory device and method for manufacturing the same
TW201543553A (en) Method of manufacturing an integrated circuit device
CN107799461B (en) Method for manufacturing semiconductor device
CN107017161B (en) Method for reducing dishing recess in STI-CMP process
US9548212B2 (en) Semiconductor devices and fabrication method thereof
KR100692472B1 (en) Manufacturing method of semiconductor device and semiconductor device
WO1999046081A1 (en) Multi-step chemical mechanical polishing process and device
CN106356295B (en) The cmp method and its device and electronic device of a kind of interlayer dielectric layer
US9543212B2 (en) Preventing over-polishing of poly gate in metal-gate CMP
CN104716035A (en) Chemical mechanical polishing method
CN109285773B (en) Method for manufacturing semiconductor device
CN109727919B (en) Semiconductor device, manufacturing method thereof and electronic device
US10741409B2 (en) Method of manufacturing a semiconductor device
CN109755247B (en) Semiconductor device and manufacturing method thereof
TWI508223B (en) Methods for fabricating semiconductor devices with isolation regions having uniform stepheights
US8084364B2 (en) Method of fabricating semiconductor device
US6969684B1 (en) Method of making a planarized semiconductor structure
US20020094659A1 (en) Method for forming isolation layer of semiconductor device
CN110957215B (en) Planarization process
CN106558548B (en) A kind of semiconductor devices and its manufacturing method
CN115020236A (en) Method for removing polycrystalline silicon pseudo gate in gate-last process
CN106356300B (en) Semiconductor device, manufacturing method thereof and electronic device
US20130189821A1 (en) Methods for fabricating semiconductor devices with reduced damage to shallow trench isolation (sti) regions
CN111599677A (en) Semiconductor structure and forming method thereof

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant