CN106158974A - A kind of fin formula field effect transistor of Ω type top gate structure and preparation method thereof - Google Patents

A kind of fin formula field effect transistor of Ω type top gate structure and preparation method thereof Download PDF

Info

Publication number
CN106158974A
CN106158974A CN201610756154.2A CN201610756154A CN106158974A CN 106158974 A CN106158974 A CN 106158974A CN 201610756154 A CN201610756154 A CN 201610756154A CN 106158974 A CN106158974 A CN 106158974A
Authority
CN
China
Prior art keywords
fin
grid
layer
gate
preparation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201610756154.2A
Other languages
Chinese (zh)
Inventor
黎明
陈珙
张嘉阳
黄如
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Peking University
Original Assignee
Peking University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Peking University filed Critical Peking University
Priority to CN201610756154.2A priority Critical patent/CN106158974A/en
Publication of CN106158974A publication Critical patent/CN106158974A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • H01L29/7854Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection with rounded corners

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)

Abstract

The present invention provides fin formula field effect transistor of a kind of Ω type top gate structure and preparation method thereof, belongs to super large-scale integration manufacturing technology field.Rectangle top-gated is changed into Ω type top-gated by the present invention, owing to the grid-control ability of Ω type grid structure is close to enclosing structure, therefore the grid-control ability at Ω type top-gated FinFET upper for Fin 1/3 is necessarily greater than rectangular top gate FinFET, and this makes the Ω type top-gated FinFET Leakage Current can be less compared with traditional F inFET;And the channels cross-section at the Fin upper 1/3 of Ω type top-gated FinFET amasss and does not have reduction.The present invention, compared with traditional fin FET, can obtain higher ON state current.And the present invention is with traditional integrated circuit manufacturing technology compatibility mutually, technique is simple, cost price is little.

Description

A kind of fin formula field effect transistor of Ω type top gate structure and preparation method thereof
Technical field
The invention belongs to super large-scale integration manufacturing technology field, relate to a kind of Ω type top gate structure fin field effect Transistor and preparation method thereof.
Background technology
After semiconductor device enters 22nm technology generation, fin formula field effect transistor (FinFET) is short with its outstanding suppression Ditch effect capability, high density of integration, the advantage such as compatible with traditional cmos process, become the main flow of semiconductor device.Preferably The Fin structure of FinFET should be rectangle or the square of standard, yet with reliability hidden danger and the technique of sharp corner The restriction of condition, the Fin of actual FinFET will not be preferable shape.If Intel is at 22nm technology node, have employed one Up-small and down-big class triangle Fin, and when issuing the FinFET of 14nm technology node of future generation, it is used as the Fin knot of raceway groove Structure uses the approximate rectangular shape of the round and smooth process of a kind of wedge angle.For triangle Fin, owing to top Fin is relatively thin, its tool Having less equivalent Fin thickness, grid-control ability is relatively strong, thus has less leakage current, but it lacks top-gated and channels cross-section Long-pending little, drive electric current the least;Rectangle Fin is then contrary, and equivalence Fin thickness is relatively large, and grid-control ability is weak compared with triangle Fin, lets out Leakage current is big, but owing to rectangle Fin exists top-gated, and top-gated can contribute significant component of driving electric current, and square for device The channels cross-section of shape Fin is long-pending the biggest, therefore drives electric current can be far above triangle Fin.
Therefore, on the basis of the FinFET of Intel 14nm, need badly and Fin pattern is optimized research, to obtain low letting out Keep driving the inconspicuous degeneration of electric current while dew electric current.
Summary of the invention
For problem above, the invention provides the preparation side of the fin formula field effect transistor of a kind of Ω type top gate structure Method, to improve existing known technology.Traditional rectangular FinFET drives the 2/3 of electric current all to concentrate at upper the 1/3 of Fin, if The rectangle top-gated at originally upper 1/3 can be changed into Ω type top-gated, owing to the grid-control ability of Ω type grid structure is close to enclosing structure, because of Grid-control ability at this Ω type top-gated FinFET upper for Fin 1/3 is necessarily greater than rectangular top gate FinFET (the grid-control energy of three grid Power), this makes the Ω type top-gated FinFET Leakage Current can be less compared with traditional F inFET.On the other hand, Ω type top-gated FinFET Channels cross-section at Fin upper 1/3 is long-pending reduction, therefore, can't bring the serious degradation of ON state current.
It is an object of the present invention to provide the preparation method of the fin formula field effect transistor of a kind of Ω type top gate structure.
The preparation method of the fin formula field effect transistor of the Ω type top gate structure of the present invention, comprises the following steps:
A., semi-conductive substrate is provided;
B. forming the mask pattern of channel region, mask live width is for defining the live width at Ω type Fin top;
B1. one layer of dielectric material of deposit is as mask layer 1;
B2. by the line thickness at photoetching technique definition Ω type Fin top;
B3. utilize photoresist for sheltering, anisotropic etching mask layer 1, formed rectangle Fin mask, rectangle Fin mask Line
Width is the line thickness at Ω type Fin top;
B4. remove photoresist;
C. source region, drain region, preliminary Fin channel region and device isolation are formed;
C1. one layer of dielectric material of deposit is covered by mask layer 2 as mask layer 2, mask layer 1, carries out smooth to mask layer 2 Change;
C2. by photoetching technique definition source region and drain region;
C3. anisotropic etching mask layer 2 and backing material, the backing material thickness etched away is Ω type Fin top Height
Degree, now due to the masking action of photoresist, mask layer 2 and backing material below will not be etched, and by In covering
The masking action of film layer 1, backing material below also will not be etched;
C4. remove photoresist;
C5. one layer of dielectric material identical with mask layer 1 of deposit time quarter, side wall mask is formed;
C6. anisotropic etching backing material, the backing material thickness etched away is the height below Ω type Fin, this Shi You
In mask layer 2 and the masking action of side wall mask, backing material below will not be etched, and forms source region, leakage District,
And due to mask layer 1 and the masking action of side wall mask, backing material below also will not be etched, at the beginning of formation Step
Fin channel region;
C7. the isolation between device is formed;
D. the Fin channel region of Ω type is formed;
D1. thermal oxidation technology is used to cut down preliminary Fin channel region, owing to having side wall mask and the protection of mask layer 1, just The top of step Fin channel region can't be oxidized, and originally preliminary Fin channel region below side wall mask and mask layer 1 can quilt Oxidation is cut down and is formed the Fin more narrower than top, so far defines complete Ω type Fin channel region, and the time of oxidation determines Ω type Fin channel region is gone to the bottom live width;
D2. mask layer 2 is removed;
E. source and drain is injected and prepares gate electrode;
E1. by ion implantation technique, source and drain is carried out heavy doping, and activate annealing;
E2. remove mask layer 1, side wall mask and oxidation and cut down the silicon oxide formed;
E3. one layer of gate electrode layer is formed;
E4. by the figure of photoetching technique definition gate electrode;
E5. with photoresist for sheltering, anisotropic etching gate electrode layer, the grid line bar and the grid that are formed across channel region are drawn District, grid line bar covers at the top of Ω type Fin channel region and sidewall;
E6. remove photoresist;
F. the metal contact of each end is formed;
F1. inter-level dielectric is deposited;
F2. planarization is realized by chemically mechanical polishing;
F3. the contact hole respectively held by photoetching technique definition source, leakage, grid;
F4. anisotropic etching inter-level dielectric, exposes grid draw-out area and source, the upper surface in drain region;
F5. remove photoresist;
F6. filler metal Metal 0 in each contact hole;
F7. by metal Metal 0 is carried out chemical-mechanical planarization, it is achieved the conductive layers apart between device, reach The effect of device isolation;
It is follow-up that to complete device by published backend process integrated.
Further, Semiconductor substrate described in A, including body silicon substrate, SOI substrate, body germanium substrate, GOI substrate etc.;
Further, device isolation described in C, for body substrate (body silicon, body germanium etc.), can use trap isolation add shallow slot every From (Shallow Trench Isolation, STI);For substrates such as SOI, GOI, can only use shallow-trench isolation or island isolation;
Further, it is lithographically beamwriter lithography or 193nm liquid immersion lithography etc. described in B, E and can form nanoscale wire The advanced photolithography techniques of bar;
Further, (Atomic Layer Deposition, atomic layer forms sediment to deposit optional ALD described in step B, C, F Long-pending), LPCVD (Low Pressure Chemical Vapor Deposition, low-pressure chemical vapor phase deposition), PECVD (Plasma Enhanced Chemical Vapor Deposition, plasma enhanced CVD), ICPECVD (Inductively Coupled Plasma Enhance Chemical Vapor Deposition, inductively coupled plasma Body strengthens chemical vapor deposition) or sputtering etc..
Further, the dielectric material of the mask layer 2 described in C, it is desirable to different from mask layer 1, and it is to mask layer 1 Anisotropic corrosion rate is more than 5:1, it is ensured that the when that in C3, anisotropic etching forming preliminary Fin channel region, do not damage The mask layer 1 at preliminary Fin channel region top;
Further, the dielectric material of the mask layer 1 described in B, it is desirable to identical with side wall mask material in C, and with lining Bottom material is different;
Further, using thermal oxidation process in D can be dry-oxygen oxidation, wet-oxygen oxidation, Oxidation Process By Hydrogen Oxygen Synthesis etc..
Further, in E, annealing way uses rapid thermal annealing (Rapid Thermal Annealing), spike annealing In (Spike Annealing), annealing of glittering (Flash Annealing) and laser annealing (Laser Annealing) one Kind.
Further, the gate electrode layer formed described in E, when substrate is silicon-based substrate, can be gate oxide collocation Polysilicon gate forms gate electrode layer, now uses dry-oxygen oxidation to prepare gate oxide, uses LPCVD to prepare polysilicon gate;Also may be used To be that high-K gate dielectric collocation metal gate forms gate electrode layer, now use ALD to prepare high-K gate dielectric, use PVD to prepare metal Grid;When substrate is germanio substrate, gate electrode layer can only be formed with high-K gate dielectric collocation metal gate, now use ALD to prepare high K Gate medium, uses PVD to prepare metal gate;
Further, in B, C, E and F, anisotropic etching uses such as reactive ion etching (Reactive Ion Etching, RIE) or inductively coupled plasma (Inductively Coupled Plasma, ICP) etc..
Further, as the filler metal Metal 0 of conductive layer described in F, it is desirable to possess low resistivity and lead to Hole filling capacity, optional W, Cu, Al, Ti, Pt and composition metal lamination thereof.
Further, in F, filler metal employing is evaporated, sputters, is electroplated and chemical vapor deposition (Chemical Vapor Deposition, CVD) in one.
A kind of Ω type top gate structure fin formula field effect transistor of offer is provided.
The Ω type top gate structure fin formula field effect transistor of the present invention includes: Semiconductor substrate, device isolation, Ω type Fin Channel region, source region, drain region, gate electrode layer, inter-level dielectric, contact hole, Metal 0;Wherein, source is formed on a semiconductor substrate District, drain region and connect the two Ω type Fin channel region and device isolation in addition;On the part surface of device isolation Forming gate electrode layer, gate electrode layer includes grid line bar and grid draw-out area, two sides of grid line bar covering part Ω type Fin channel region Wall and upper surface, grid draw-out area connects grid line bar;Inter-level dielectric cover source region, drain region, Ω type Fin channel region, gate electrode layer and In addition device isolation;In inter-level dielectric, form contact hole, expose the upper table of fractional source regions, drain region and grid draw-out area Face;Filler metal Metal 0 in the contact hole.
Advantages of the present invention and good effect are as follows:
1) the grid-control ability of Ω type grid structure that the present invention proposes is close to enclosing structure, therefore Ω type top-gated FinFET for Grid-control ability at Fin upper 1/3 is necessarily greater than traditional rectangular top gate FinFET (the grid-control abilities of three grid), and this makes Ω type top Gate FinFET Leakage Current can be less compared with traditional F inFET;
2) channels cross-section at the Fin of Ω type top-gated FinFET upper 1/3 is long-pending does not reduce, and therefore, can't bring ON state The serious degradation of electric current;
3) by controlling the etching time of HNA corrosive liquid, the Fin width below Ω type Fin channel region can be controlled, when Ω type Fin width below Fin channel region is more hour, although slightly have the reduction of ON state current, but the short-channel effect of device controls Ability is the best, and threshold voltage is the biggest, is more suitable as low energy-consumption electronic device and applies;
4) the device source drain region that prepared by the present invention is the active island of monocrystalline, has less source-drain series resistance, with traditional The fin FET using lifting source drain structure is compared, it is not necessary to epitaxy technique is prepared lifting source and drain and can be obtained higher ON state current;
5) complete and mutually compatible with traditional integrated circuit manufacturing technology, technique is simple, and cost price is little.
Accompanying drawing explanation
Fig. 1-13 is the showing of each joint technique preparing N-type Ω type top gate structure fin formula field effect transistor in SOI substrate It is intended to.In each figure, (a) is top view, and (b) is the profile in (a) along A-A ', and (c) is the profile in (a) along B-B '.
Wherein:
Fig. 1 deposits mask layer 1 on soi substrates;
Fig. 2 forms the figure of mask layer 1, as the mask of Ω type Fin;
Fig. 3 deposits mask layer 2, planarization;
Fig. 4 lithographic definition source-drain area, anisotropic etching mask layer 2 and certain thickness monocrystal silicon;
Fig. 5 deposits silicon dioxide and returns quarter, forms side wall mask;
Fig. 6 anisotropic etching monocrystal silicon, exposes the upper surface of oxygen buried layer;
Fig. 7 dry-oxygen oxidation cuts down preliminary Fin channel region, forms the Fin channel region of Ω type;
Fig. 8 removes mask layer 2, and source and drain is injected, and activates;
Fig. 9 removes mask layer 1, side wall mask and oxidation and cuts down the silicon oxide formed, and obtains source and drain and the connection source of device The Fin raceway groove of the Ω type of leakage;
Figure 10 dry-oxygen oxidation forms gate oxide;
Figure 11 depositing polysilicon, ion implanting regulation polysilicon work function, photoetching also etches formation polysilicon gate;
Figure 12 deposits silicon dioxide as inter-level dielectric, planarization;
Figure 13 photoetching also etches each termination contact hole of formation, filler metal tungsten, planarization;
Figure 14 is the legend of Fig. 1~Figure 13.
Detailed description of the invention
The present invention is described in detail with instantiation below in conjunction with the accompanying drawings.
According to the following step can realize in SOI substrate prepare N-type Ω type top gate structure fin formula field effect transistor:
1) will utilize HNA solution that top silicon surface is thinned to 250nm, LPCVD in p-type (100) SOI substrate SiO2100nm is as mask layer 1, as shown in Figure 1;
2) long 100nm, wide 50nm channel region mask pattern, the i.e. lines at Ω type Fin top are defined by beamwriter lithography Width is 50nm, utilize photoresist for sheltering, ICP etching mask layer 1, formed rectangle Fin mask, the live width of rectangle Fin mask 50nm is the line thickness at Ω type Fin top, removes photoresist, as shown in Figure 2;
3) LPCVD 300nm silicon nitride is covered by mask layer 2 as mask layer 2, mask layer 1, carries out smooth to mask layer 2 Change, as shown in Figure 3;
4) by photoetching technique definition source region and drain region, ICP etching mask layer 2, ICP etches monocrystal silicon 80nm, etches away Monocrystal silicon 80nm be the height at Ω type Fin top, now due to the masking action of photoresist, mask layer 2 He below Monocrystal silicon will not be etched, and due to the masking action of mask layer 1, monocrystal silicon below also will not be etched, and removes photoresist, such as figure Shown in 4;
5) LPCVD 100nm silicon nitride time quarter, side wall mask is formed, as shown in Figure 5;
6) ICP etches monocrystal silicon 170nm, exposes the upper surface of oxygen buried layer, and the monocrystal silicon 170nm etched away is Ω type Height below Fin, now due to mask layer 2 and the masking action of side wall mask, monocrystal silicon below will not be etched, shape Become source region, drain region, and due to mask layer 1 and the masking action of side wall mask, monocrystal silicon below also will not be etched, formed Preliminary Fin channel region, between device due to bury the existence of oxide layer realize island isolation, as shown in Figure 6;
7) live width is to 20nm, owing to having side wall mask and mask to use dry-oxygen oxidation to cut down below preliminary Fin channel region The protection of layer 1, the top of preliminary Fin channel region can't be oxidized, and originally preliminary below side wall mask and mask layer 1 Fin channel region can oxidized reduction and form the Fin more narrower than top, so far define the Ω type Fin channel region of monocrystal silicon, as Shown in Fig. 7;
8) utilizing concentrated phosphoric acid to remove silicon nitride mask layer 2, corrosion temperature is 170 DEG C, As+Inject and source and drain is carried out weight Doping, injects in three times, and Implantation Energy is respectively 30KeV, 45KeV, 65KeV, implantation dosage 5E15cm-2, and annealed by RTA 1000 DEG C, 10s, activator impurity makes source and drain impurity diffuse into source drain extension district, as shown in Figure 8 simultaneously;
9) HF:H is utilized2O=1:40 solution large area is removed mask layer 1, side wall mask and oxidation and is cut down the oxygen formed SiClx, rinsing time 200s, exposes source region, drain region and connects both Ω type Fin channel regions, as shown in Figure 9;
10) dry-oxygen oxidation forms gate oxide 2nm, as shown in Figure 10;
11) LPCVD 250nm polysilicon, As+Inject regulation polysilicon work function, Implantation Energy 50KeV, implantation dosage 1E15cm-2, define grid line bar, with photoresist for sheltering, ICP etches polycrystalline silicon 250nm by beamwriter lithography, remove photoresist, formed Striding across grid line bar and the grid draw-out area of channel region, grid line thickness is a length of 22nm of grid of 22nm, i.e. device, as shown in figure 11;
12) 400nm SiO is deposited by PECVD2As inter-level dielectric, and realize planarization by chemically mechanical polishing, As shown in figure 12;
13) form device gate, source, the contact hole of each end of leakage by photoetching, ICP etching, remove photoresist;
14) sputtering 500nm tungsten, device gate, source, the contact hole of each end of leakage are filled by tungsten;
15) by tungsten is chemically-mechanicapolish polished, it is achieved the conductive layers apart between device, device isolation is reached Effect, as shown in figure 13;
It is 16) follow-up that to complete device by published backend process integrated.
The embodiment of the present invention is not limited to the present invention.Any those of ordinary skill in the art, without departing from this Under bright technical scheme ambit, technical solution of the present invention is made many by the method and the technology contents that all may utilize the disclosure above Possible variation and modification, or it is revised as the Equivalent embodiments of equivalent variations.Therefore, every without departing from technical solution of the present invention Content, the technical spirit of the foundation present invention, to any simple modification made for any of the above embodiments, equivalent variations and modification, the most still belongs to In the range of technical solution of the present invention is protected.

Claims (13)

1. a Ω type top gate structure fin formula field effect transistor, it is characterised in that include Semiconductor substrate, device isolation, Ω Type Fin channel region, source region, drain region, gate electrode layer, inter-level dielectric, contact hole and Metal 0;Wherein, shape on a semiconductor substrate Become source region, drain region and connect the two Ω type Fin channel region and device isolation;The part surface of device isolation is formed grid Electrode layer, gate electrode layer includes grid line bar and grid draw-out area, two sidewalls of grid line bar covering part Ω type Fin channel region and upper Surface, grid draw-out area connects grid line bar;Inter-level dielectric cover source region, drain region, Ω type Fin channel region, gate electrode layer and device every From;In inter-level dielectric, form contact hole, expose fractional source regions, drain region and the upper surface of grid draw-out area;Fill out in the contact hole Fill metal Metal 0.
2. the preparation method of the fin formula field effect transistor of Ω type top gate structure as claimed in claim 1, its step includes:
A., semi-conductive substrate is provided;
B. forming the mask pattern of channel region, mask live width is for defining the live width at Ω type Fin top;
B1. one layer of dielectric material of deposit is as the first mask layer;
B2. by the line thickness at photoetching technique definition Ω type Fin top;
B3. utilize photoresist for sheltering, anisotropic etching the first mask layer, formed rectangle Fin mask, rectangle Fin mask Live width is the line thickness at Ω type Fin top;
B4. remove photoresist;
C. source region, drain region, preliminary Fin channel region and device isolation are formed;
C1. one layer of dielectric material of deposit is covered by the second mask layer as the second mask layer, the first mask layer, to the second mask layer Planarize;
C2. by photoetching technique definition source region and drain region;
C3. anisotropic etching the second mask layer and backing material, the backing material thickness etched away is Ω type Fin top Highly;
C4. remove photoresist;
C5. one layer of dielectric material identical with the first mask layer of deposit time quarter, side wall mask is formed;
C6. anisotropic etching backing material, the backing material thickness etched away is the height below Ω type Fin;
C7. the isolation between device is formed;
D. the Fin channel region of Ω type is formed;
D1. using thermal oxidation technology to cut down preliminary Fin channel region, form complete Ω type Fin channel region, the time of oxidation is certainly Determine Ω type Fin channel region to go to the bottom live width;
D2. the second mask layer is removed;
E. source and drain is injected and prepares gate electrode;
E1. by ion implantation technique, source and drain is carried out heavy doping, and activate annealing;
E2. remove the first mask layer, side wall mask and oxidation and cut down the silicon oxide formed;
E3. one layer of gate electrode layer is formed;
E4. by the figure of photoetching technique definition gate electrode;
E5. with photoresist for sheltering, anisotropic etching gate electrode layer, it is formed across grid line bar and the grid draw-out area of channel region, Grid line bar covers at the top of Ω type Fin channel region and sidewall;
E6. remove photoresist;
F. the metal contact of each end is formed;
F1. inter-level dielectric is deposited;
F2. planarization is realized by chemically mechanical polishing;
F3. the contact hole respectively held by photoetching technique definition source, leakage, grid;
F4. anisotropic etching inter-level dielectric, exposes grid draw-out area and source, the upper surface in drain region;
F5. remove photoresist;
F6. filler metal Metal 0 in each contact hole;
F7. by metal Metal 0 is carried out chemical-mechanical planarization, it is achieved the conductive layers apart between device, device is reached The effect of isolation;
G. finally enter conventional backend technique, complete device and prepare.
3. preparation method as claimed in claim 2, it is characterised in that Semiconductor substrate described in step A, serves as a contrast including body silicon The end, SOI substrate, body germanium substrate or GOI substrate.
4. preparation method as claimed in claim 2, it is characterised in that in step C, trap is used for body silicon substrate, body germanium substrate Isolation adds shallow-trench isolation;Shallow-trench isolation or island isolation are used for SOI substrate, GOI substrate.
5. preparation method as claimed in claim 2, it is characterised in that be lithographically described in step B, E beamwriter lithography or 193nm liquid immersion lithography.
6. preparation method as claimed in claim 2, it is characterised in that deposit described in step B, C, F is atomic layer deposition ALD, low-pressure chemical vapor phase deposition LPCVD, plasma enhanced CVD PECVD, inductively coupled plasma strengthen Chemical vapor deposition ICPECVD or sputtering.
7. preparation method as claimed in claim 2, it is characterised in that the dielectric material pair of the second mask layer 2 described in step C The anisotropic corrosion rate of the first mask layer is more than 5:1.
8. preparation method as claimed in claim 2, it is characterised in that using thermal oxidation process in step D is dry-oxygen oxidation, wet Oxygen oxidation or Oxidation Process By Hydrogen Oxygen Synthesis.
9. preparation method as claimed in claim 2, it is characterised in that in step E, annealing way uses rapid thermal annealing, spike Annealing, the one glittered in annealing and laser annealing.
10. preparation method as claimed in claim 2, it is characterised in that in step E, when substrate is silicon-based substrate, be gate oxidation Layer collocation polysilicon gate forms gate electrode layer, now uses dry-oxygen oxidation to prepare gate oxide, uses LPCVD to prepare polysilicon Grid;Or high-K gate dielectric collocation metal gate forms gate electrode layer, now use ALD to prepare high-K gate dielectric, use PVD preparation gold Belong to grid;If substrate is germanio substrate, uses high-K gate dielectric collocation metal gate to form gate electrode layer, now use ALD to prepare high K Gate medium, uses PVD to prepare metal gate.
11. preparation methoies as claimed in claim 2, it is characterised in that in step B, C, E and F, anisotropic etching uses such as Reactive ion etching RIE or inductively coupled plasma ICP.
12. preparation methoies as claimed in claim 2, it is characterised in that as the filler metal of conductive layer described in step F Metal 0 is W, Cu, Al, Ti, Pt and composition metal lamination thereof.
13. preparation methoies as claimed in claim 2, it is characterised in that in step F, filler metal employing is evaporated, sputters, electroplated With the one in chemical vapor deposition.
CN201610756154.2A 2016-08-29 2016-08-29 A kind of fin formula field effect transistor of Ω type top gate structure and preparation method thereof Pending CN106158974A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201610756154.2A CN106158974A (en) 2016-08-29 2016-08-29 A kind of fin formula field effect transistor of Ω type top gate structure and preparation method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201610756154.2A CN106158974A (en) 2016-08-29 2016-08-29 A kind of fin formula field effect transistor of Ω type top gate structure and preparation method thereof

Publications (1)

Publication Number Publication Date
CN106158974A true CN106158974A (en) 2016-11-23

Family

ID=57343943

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610756154.2A Pending CN106158974A (en) 2016-08-29 2016-08-29 A kind of fin formula field effect transistor of Ω type top gate structure and preparation method thereof

Country Status (1)

Country Link
CN (1) CN106158974A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111697048A (en) * 2019-03-13 2020-09-22 北京大学 Method for improving total dose irradiation resistance of FinFET device
WO2023078083A1 (en) * 2021-11-03 2023-05-11 International Business Machines Corporation Integrated short channel omega gate finfet and long channel finfet

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004281845A (en) * 2003-03-18 2004-10-07 Toshiba Corp Semiconductor device and method for manufacturing the same
CN101068029A (en) * 2007-06-05 2007-11-07 北京大学 Double-fin type channel double-grid multifunction field effect transistor and producing method thereof
US20090072279A1 (en) * 2007-08-29 2009-03-19 Ecole Polytechnique Federale De Lausanne (Epfl) Capacitor-less memory and abrupt switch based on hysteresis characteristics in punch-through impact ionization mos transistor (PI-MOS)
US20150279927A1 (en) * 2014-03-31 2015-10-01 Flosfia Inc. Crystalline multilayer structure and semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004281845A (en) * 2003-03-18 2004-10-07 Toshiba Corp Semiconductor device and method for manufacturing the same
CN101068029A (en) * 2007-06-05 2007-11-07 北京大学 Double-fin type channel double-grid multifunction field effect transistor and producing method thereof
US20090072279A1 (en) * 2007-08-29 2009-03-19 Ecole Polytechnique Federale De Lausanne (Epfl) Capacitor-less memory and abrupt switch based on hysteresis characteristics in punch-through impact ionization mos transistor (PI-MOS)
US20150279927A1 (en) * 2014-03-31 2015-10-01 Flosfia Inc. Crystalline multilayer structure and semiconductor device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111697048A (en) * 2019-03-13 2020-09-22 北京大学 Method for improving total dose irradiation resistance of FinFET device
WO2023078083A1 (en) * 2021-11-03 2023-05-11 International Business Machines Corporation Integrated short channel omega gate finfet and long channel finfet

Similar Documents

Publication Publication Date Title
US9202864B2 (en) Integrated circuit having back gating, improved isolation and reduced well resistance and method to fabricate same
US9059203B2 (en) Semiconductor-on-insulator (SOI) structure with selectivity placed sub-insulator layer void(s) and method of forming the SOI structure
TWI298519B (en) Nonplanar device with stress incorporation layer and method of fabrication
US8120073B2 (en) Trigate transistor having extended metal gate electrode
US20060113590A1 (en) Method of forming a recess structure, recessed channel type transistor and method of manufacturing the recessed channel type transistor
CN103928333B (en) Semiconductor devices and its manufacturing method
US20070018273A1 (en) Reduced electric field DMOS using self-aligned trench isolation
CN103928334B (en) Semiconductor devices and its manufacture method
CN104779292B (en) Tunneling field-effect transistor and preparation method thereof
TWI281257B (en) Quasi-planar and FinFET-like transistors on bulk silicon
CN102376551A (en) Manufacturing method for structure of semiconductor device and structure of semiconductor device
TW201140835A (en) Electronic device including a buried insulating layer and a vertical conductive structure extending therethrough and a process of forming the same
CN106298934B (en) A kind of vertical nano-wire device of sheaths channel structure and preparation method thereof
CN104167393B (en) Method, semi-conductor device manufacturing method
CN103515283B (en) Method, semi-conductor device manufacturing method
CN104167359B (en) Method, semi-conductor device manufacturing method
CN105762190B (en) Semiconductor devices and its manufacturing method
CN106158974A (en) A kind of fin formula field effect transistor of Ω type top gate structure and preparation method thereof
CN104124164A (en) Semiconductor device and manufacturing method thereof
CN106298936A (en) A kind of inverted trapezoidal top gate structure fin formula field effect transistor and preparation method thereof
CN103681275B (en) A kind of semiconductor device with highly controllable fin and preparation method
CN106098783B (en) A kind of fin formula field effect transistor and preparation method thereof
CN104733609B (en) Semiconductor structure and forming method thereof, Memister
CN100392859C (en) Fish fin shape FET structure and preparing method
CN104167358B (en) Method, semi-conductor device manufacturing method

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20161123