CN105677932A - Statistical timing analysis method used for post-silicon adjustable register circuits - Google Patents

Statistical timing analysis method used for post-silicon adjustable register circuits Download PDF

Info

Publication number
CN105677932A
CN105677932A CN201410663517.9A CN201410663517A CN105677932A CN 105677932 A CN105677932 A CN 105677932A CN 201410663517 A CN201410663517 A CN 201410663517A CN 105677932 A CN105677932 A CN 105677932A
Authority
CN
China
Prior art keywords
silicon
timing analysis
clock cycle
adjustable register
statistical timing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201410663517.9A
Other languages
Chinese (zh)
Inventor
曾璇
杨帆
朱恒亮
周海
杨运峰
周星宝
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fudan University
Original Assignee
Fudan University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fudan University filed Critical Fudan University
Priority to CN201410663517.9A priority Critical patent/CN105677932A/en
Publication of CN105677932A publication Critical patent/CN105677932A/en
Pending legal-status Critical Current

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

The invention belongs to the technical field of integrated circuits, in particular to a statistical timing analysis method used for post-silicon adjustable register circuits.The method comprises following steps: compressing a principal component to obtain N independent random variables; generating sparse grid collocation points; calculating the minimum clock cycle of each collocation point; calculating the generalized polynomial chaos coefficient of the minimum clock cycle; and calculating the yield rate of post-silicon adjustable register circuits.The statistical timing analysis method used for post-silicon adjustable register circuits has following beneficial effects: the method is high in feasibility and helps greatly reduce program operation time while obtaining precision comparable to the conventional method; and the statistical timing analysis method can be used for solving the large-scale statistical timing analysis problem of post-silicon adjustable register circuits.

Description

For with after the statistical timing analysis method of the adjustable register circuit of silicon
Technical field
The invention belongs to technical field of integrated circuits, relate to the statistical timing analysis method of the adjustable register circuit of silicon after being with.
Technical background
Along with integrated circuit feature is reduced in size to below 100nm, process deviation has become as one of significant challenge of circuit sequence performance. Rear silicon adjustable clock tree is sequential fault technology [1,2] that a kind of strong reparation causes due to process deviation. In rear silicon adjustable clock tree, rear silicon is adjustable, and depositor is inserted into clock trees in the design phase. The time delay of rear silicon is adjustable depositor can adjust by changing control signal. One the typical rear adjustable register architecture of silicon [1] as shown in Figure 2. After chip production, it is possible to balance the argin of buffer status by the time delay of the adjustable depositor of silicon after changing, this process is referred to as the tuning of rear silicon. In rear silicon tuning process, more argin is assigned in critical path and repairs sequential breaks rules. Rear silicon adjustable clock tree technology has been widely applied to the clock network [3-6] in Intel processor, and this technology can be obviously improved yield and performance. But, it also can introduce extra cost, for instance area and power consumption.
Therefore, in past many decades, various methods are suggested and optimize the circuit with the adjustable depositor of rear silicon. These optimization methods be conceived to when ensure yield reduce after the adjustable depositor overall dimension of silicon. Such as, document [2] proposes a kind of perturbation motion method comprehensive based on rear silicon adjustable clock tree. In the method, the circuit statistical timing analysis with the adjustable depositor of rear silicon is called in each iteration. Then, the overall dimension of the adjustable depositor of rear silicon can the result of Corpus--based Method Time-Series analysis be optimized. In such a kind of optimization process, the circuit statistical timing analysis with the adjustable depositor of rear silicon can be executed more than 1000 times. Therefore, the efficiency of statistical timing analysis is for most important rear silicon adjustable optimization of registers algorithm. In document [2], a kind of linear programming method based on Monte Carlo is used to carry out statistical timing analysis. Due to the performance that the method is poor, this optimization process needs consuming more than 30 hours for some test cases in ISCAS89.
The statistical timing analysis method of some relative efficiencies is suggested for statistical timing analysis [7-15] with the adjustable register circuit of rear silicon in technological fluctuation situation in the several years in past.These methods use single order or quadratic polynomial to carry out the statistical time lag of combinational circuit between Approximation Register. But, the statistical timing analysis with the adjustable register circuit of rear silicon is different from traditional statistical timing analysis problem. For conventional statistics Time-Series analysis, between depositor, combinational circuit is modeled as acyclic figure. Conventional statistics Time Series Analysis Method finds the statistics polynomial approximation of combinational circuit time delay by traveling through acyclic figure. For the statistical timing analysis with the adjustable register circuit of rear silicon, combinational circuit and after in clock trees the adjustable depositor of silicon considered to determine the time yield of circuit simultaneously. Therefore, this circuit can be modeled as ring figure. Statistical timing analysis is conceived to from there being ring figure to find statistics minimum average B configuration ring (circuit statistics minimum clock cycle). This problem and transparent latch circuit statistical timing analysis much like [16]. The where the shoe pinches of this problem is in that the number exponent function relation on number and the limit having ring figure medium ring.
Owing to direct model's Caro method runs overlong time, recently propose in the document [17] a kind of quickly for after the statistical timing analysis method of the adjustable register circuit of silicon. In order to avoid exhaustive go out all of ring, the summit in figure by one by one abatement until figure in only have a summit. In order to safeguard the balance of figure after abatement, some extra limits are added in figure. Being suggested at a kind of heuristic of document [17], the method reduces the number on limit by removing the limit of minimal weight. But, efficiency and the precision of this method are highly dependent on circuit structure.
Prior art related to the present invention has following list of references:
[1]J.-L.Tsai,D.Baik,C.C.-P.Chen,andK.K.Saluja,“Ayieldimprovementmethodologyusingpre-andpost-siliconstatisticalclockscheduling,”inProceedingsofthe2004IEEE/ACMInternationalconferenceonComputer-aideddesign.IEEEComputerSociety,2004,pp.611–618.
[2]J.-L.Tsai,L.Zhang,andC.C.-P.Chen,“Statisticaltiminganalysisdrivenpost-silicon-tunableclock-treesynthesis,”inComputer-AidedDesign,2005.ICCAD-2005.IEEE/ACMInternationalConferenceon.IEEE,2005,pp.575–581.
[3]S.Tam,S.Rusu,U.NagarjiDesai,R.Kim,J.Zhang,andI.Young,“Clockgenerationanddistributionforthefirstia-64microprocessor,”Solid-StateCircuits,IEEEJournalof,vol.35,no.11,pp.1545–1552,2000.
[4]N.A.Kurd,J.Barkarullah,R.O.Dizon,T.D.Fletcher,andP.D.Madland,“Amultigigahertzclockingschemeforthepentium(r)4microprocessor,”Solid-StateCircuits,IEEEJournalof,vol.36,no.11,pp.1647–1653,2001.
[5]S.Tam,R.D.Limaye,andU.N.Desai,“Clockgenerationanddistributionforthe130-nmitanium2processorwith6-mbon-diel3cache,”Solid-StateCircuits,IEEEJournalof,vol.39,no.4,pp.636–642,2004.
[6]P.Mahoney,E.Fetzer,B.Doyle,andS.Naffziger,“Clockdistributiononadual-core,multi-threadeditanium-familyprocessor,”inSolid-StateCircuitsConference,2005.DigestofTechnicalPapers.ISSCC.2005IEEEInternational.IEEE,2005,pp.292–599.
[7]J.SinghandS.Sapatnekar,“Statisticaltiminganalysiswithcorrelatednon-gaussianparametersusingindependentcomponentanalysis,”inProceedingsofthe43rdannualDesignAutomationConference.ACM,2006,pp.155–160.
[8]H.Chang,V.Zolotov,S.Narayan,andC.Visweswariah,“Parameterizedblock-basedstatisticaltiminganalysiswithnon-gaussianparameters,nonlineardelayfunctions,”inProceedingsofthe42ndannualDesignAutomationConference.ACM,2005,pp.71–76.
[9]Z.Feng,P.Li,andY.Zhan,“Fastsecond-orderstatisticalstatictiminganalysisusingparameterdimensionreduction,”inDesignAutomationConference,2007.DAC’07.44thACM/IEEE.IEEE,2007,pp.244–249.
[10]L.Zhang,W.Chen,Y.Hu,J.A.Gubner,andC.C.-P.Chen,“Correlation-preservednon-gaussianstatisticaltiminganalysiswithquadratictimingmodel,”inProceedingsofthe42ndannualDesignAutomationConference.ACM,2005,pp.83–88.
[11]Y.Zhan,A.J.Strojwas,X.Li,L.T.Pileggi,D.Newmark,andM.Sharma,“Correlation-awarestatisticaltiminganalysiswithnon-gaussiandelaydistributions,”inDesignAutomationConference,2005.Proceedings.42nd.IEEE,2005,pp.77–82.
[12]V.KhandelwalandA.Srivastava,“Ageneralframeworkforaccuratestatisticaltiminganalysisconsideringcorrelations,”inProceedingsofthe42ndannualDesignAutomationConference.ACM,2005,pp.89–94.
[13]C.Visweswariah,K.Ravindran,K.Kalafala,S.G.Walker,S.Narayan,D.K.Beece,J.Piaget,N.Venkateswaran,andJ.G.Hemmett,“First-orderincrementalblock-basedstatisticaltiminganalysis,”Computer-AidedDesignofIntegratedCircuitsandSystems,IEEETransactionson,vol.25,no.10,pp.2170–2180,2006.
[14]K.Kang,B.C.Paul,andK.Roy,“Statisticaltiminganalysisusinglevelizedcovariancepropagation,”inDesign,AutomationandTestinEurope,2005.Proceedings.IEEE,2005,pp.764–769.
[15]H.ChangandS.S.Sapatnekar,“Statisticaltiminganalysisconsideringspatialcorrelationsusingasinglepert-liketraversal,”inProceedingsofthe2003IEEE/ACMinternationalconferenceonComputer-aideddesign.IEEEComputerSociety,2003,p.621.
[16]R.ChenandH.Zhou,“Statisticaltimingverificationfortransparentlylatchedcircuits,”Computer-AidedDesignofIntegratedCircuitsandSystems,IEEETransactionson,vol.25,no.9,pp.1847–1855,2006.
[17]B.Li,N.Chen,andU.Schlichtmann,“Faststatisticaltiminganalysisforcircuitswithpost-silicontunableclockbuffers,”inComputer-AidedDesign(ICCAD),2011IEEE/ACMInternationalConferenceon.IEEE,2011,pp.111–117.
[18]H.Zhu,X.Zeng,W.Cai,J.Xue,andD.Zhou,“Asparsegridbasedspectralstochasticcollocationmethodforvariations-awarecapacitanceextractionofinterconnectsundernanometerprocesstechnology,”inDATE.IEEE,2007,pp.1–6.
[19]H.Zhu,X.Zeng,X.Luo,andW.Cai,“Generalizedstochasticcollocationmethodforvariation-awarecapacitanceextractionofinterconnectsconsideringarbitraryrandomprobability,”IEICEtransactionsonelectronics,vol.92,no.4,pp.508–516,2009.
[20]R.A.Howard,DynamicProgrammingandMarkovProcess.TheMITpress,1960.
[21]S.Bhardwaj,P.Ghanta,andS.Vrudhula,“Aframeworkforstatisticaltiminganalysisusingnon-lineardelayandslewmodels,”inProceedingsofthe2006IEEE/ACMinternationalconferenceonComputer-aideddesign.ACM,2006,pp.225–230.
[21]D.XiuandG.E.Karniadakis,“Thewiener–askeypolynomialchaosforstochasticdifferentialequations,”SIAMJournalonScientificComputing,vol.24,no.2,pp.619–644,2002.
[23]M.Gong,H.Zhou,J.Tao,andX.Zeng,“Binningoptimizationbasedonsstafortransparently-latchedcircuits,”inProceedingsofthe2009InternationalConferenceonComputer-AidedDesign.ACM,2009,pp.328–335.。
Summary of the invention
It is an object of the invention to provide a kind of brand-new based on random arrangement for the adjustable register circuit statistical timing analysis method of silicon after band. The inventive method adopts Howard algorithm clock cycle of counting circuit on the sparse grid collocation point determined less than 100. Then calculate the yield of circuit according to random arrangement theory from these clock cycle tried to achieve. Comparing with existing method, the present invention under obtaining the precise manner comparable with existing method, can substantially reduce program runtime, improves the efficiency of the statistical timing analysis of the adjustable register circuit of silicon after being with.
The present invention propose for after the statistical timing analysis method of the adjustable register circuit of silicon, comprise the steps, flow process is as shown in Figure 1.
The compression of step 1. pivot obtains N number of independent random variable.
The present invention uses broad sense random arrangement method to process technological fluctuation [18,19]. For relevant technological parameter, the present invention can first carry out a pivot analysis (PCA) or independent component analysis (ICA) obtains one group of N number of stochastic variableFor the adjustable register circuit of silicon after band, from depositor FFi to FFjLogical path time delay maximum, minimumIt it is all independent random variableFunction. In the present invention, Wo MenyongRepresent the time delay in technological fluctuation situation. Statistics minimum clock cycle TminAlso it is the function of these independent random variables, and T can be similar to the extension of generalized polynomial chaosmin,
T min ( ξ → ) ≈ T ~ min ( ξ → ) = Σ i 1 + . . . + i N = 0 M t i 1 , . . . , i N H N i 1 , . . . , i N ,
Wherein, M is multinomial highest price,Represent that N ties up generalized polynomial chaos, i1+…+iNIt it is generalized polynomial chaos exponent number. CoefficientCan pass through to make clock cycle T on one group of collocation pointminWith corresponding polynomial chaos is equal obtains.It it is exact valueIt it is approximation.
Step 2. generates sparse grid collocation point.
Generate sparse grid collocation pointP is collocation point number. Generalized polynomial chaotic parameter can obtain high-precision numerical solution by Gauss-Hermite integration. The l rank precision Gauss-Hermite integration of the one-dimensional integration of generalized form is shown below,
∫ - ∞ + ∞ f ( ξ ) ρ ( ξ ) dξ ≈ Σ i = 1 l f ( ξ i l ) w i l ,
Wherein, f (ξ) is integrand, and ρ (ξ) d ξ is Guass measure,It is the collocation point taking the one-dimensional Hermite polynomial i-th real root in l rank,It is corresponding weight [18,19].
In order to solve multidimensional integral, document [22] proposes tensor product method and builds multidimensional collocation point. Order Θ 1 l = { ξ 1 l , . . . , ξ l l } Represent one-dimensional collocation point, W 1 l = { ξ 1 l , . . . , ξ l l } Represent respective weights. Then multidimensional collocation point and respective weights can form turn to,
T d l = Θ 1 i 1 ⊗ . . . ⊗ Θ l i d , - - - ( 2 )
W d l = W 1 i 1 ⊗ . . . ⊗ W l i d , - - - ( 3 )
Wherein, ij=l, j=1,2 ..., d. Multidimensional Gauss-Hermite integration collocation point number is (l+1)d, namely it is exponentially increased with dimension size d. Therefore need to reduce the number of collocation point in actual applications.
The present invention uses sparse grid technology [18,19] to reduce the number of multidimensional integral collocation point, orderRepresent one-dimensional ijValency precision Gauss integration collocation point,For respective weights, then can calculate as follows and obtain:
2.1 calculate multidimensional collocation point
D-for a k-rank precision ties up integration, and the one group of collocation point generated with sparse grid isThe linear combination of tensor product, be given by,
Θ d l = ∪ l + 1 ≤ | l → | ≤ d + l Θ 1 i 1 × . . . × Θ l i d ,
Wherein, | l → | = i 1 + . . . + i d .
2.2 calculate the corresponding weight of multidimensional collocation point
Corresponding to collocation pointWeight be given by,
w j i 1 , . . . , j i d = ( - 1 ) d + l - | l → | d - 1 d + l - | l → | ( Θ → j i 1 i 1 . . . Θ → j i d i d ) . - - - ( 5 ) i 1 , . . . , i d
May certify that, all d-variable exponent numbers being at most to the multinomial of (2l+1), sparse grid is accurate. Sparse grid collocation point number can be calculated by following formula and obtain:
N sg = dim ( Θ d l ) ~ 2 l l 1 d l ~ 2 l dim ( π d l ) , d > > 1 , - - - ( 6 )
Wherein,Represent that all d-tie up exponent number and are at most the generalized polynomial chaos of l. Compare with tensor product strategy, sparse grid technology avoid relevant with dimension size be exponentially increased assess the cost.
Step 3. calculates the minimum clock cycle of each collocation point.
For each collocation point, the inventive method uses efficient minimum ring ratio algorithm to calculate minimum clock cycle. This process comprises following four sub-steps.
3.1 build cum rights constraints graph, comprise circuit logic and circuit clock tree simultaneously.
Building and comprise circuit logic and the cum rights constraints graph of circuit clock tree information, the weight definition of each edge is as follows:
ω ij = T init - . D ij , ∀ ( i , j ) ∈ E s , d ij , ∀ ( j , i ) ∈ E h , R ij , ∀ ( i , j ) ∈ E pst , 0 , ∀ ( i , j ) ∈ E t , - - - ( 7 )
Wherein, EpstRepresent and gather with rear silicon adjustable register clock tree limit, RijRepresent corresponding to clock trees limit (i, the adjustable depositor delay adjustment scope of rear silicon j), EtRepresent the set of normal clock tree limit,Rij=Rji。TinitBeing clock cycle initial value, this value must be large enough to ensure to be absent from negative ring in weighted graph. The inventive method is by TinitIt is set to DijMaximum. The time delay of rear silicon is adjustable depositor can be defined as aij=ti-tj. The constraint of the adjustable depositor of silicon after then | aij|≤RijCan be turned to by form:
t i - t j ≤ R ij , t j - t i ≤ R ij .
3.2 calculate the corresponding δ of each edge.
The δ that each edge is correspondingijCan be calculated by equation below and obtain:
δ ij = 1,0 ∀ ( i , j ) ∈ E s , 0 , ∀ ( j , i ) ∈ E h , 0 , ∀ ( i , j ) ∈ E pst , 0 , ∀ ( i , j ) ∈ E t . - - - ( 8 )
3.3 application Howard Algorithm for Solving minimum ring ratio problems.
Each rear silicon is adjustable depositor time delay aijBy its adjustable extent RijConstraint, namely | aij|≤Rij. Then after band, the time-constrain of the adjustable register circuit of silicon can form turn to:
C 0 ( T ) = t i - t j - T ≤ - D ~ ij , ∀ ( i , j ) ∈ E s , t j - t i ≤ d ~ ij , ∀ ( j , i ) ∈ E h , t i = Σ ∀ ( s , t ) ∈ P i a st + d i 0 , ∀ i ∈ N , | a st | ≤ R st , ∀ buf st ∈ Buf , - - - ( 9 )
Wherein, Buf represents the adjustable set of registers of silicon after in clock trees, PiRepresent from clock trees root node to depositor FFiClock path,Represent clock path PiOn initial time delay, astThe adjustable depositor buf of silicon after expressionstTime delay, RstThe adjustable depositor buf of silicon after expressionstAdjustable extent.Represent from FFiTo FFjMinimum and maximum time delay. For the sake of simplicity, it is assumed that
The inventive method adopts minimum ring ratio algorithm to calculate minimum clock cycle. In the present invention, Howard algorithm [20] is used to solve minimum ring ratio problem. The optimization problem calculating minimum clock cycle can be expressed as follows,
Maximize λ , Subjectto t i - t j ≤ ω ij - λ · δ ij , ∀ ( i , j ) ∈ E , Varibles λ , t . - - - ( 10 )
Constraint in the problems referred to above and the constraint in formula (9) are of equal value. It can be seen that setup time constraint and retention time constraint do not change after the conversion, difference is only that the adjustable depositor constraint of rear silicon. Present invention assumes that in clock trees that each summit clock time of advent is ti. For every rising edge in clock trees, (i, j), the time delay of rear silicon is adjustable depositor is aij=ti-tj. For the adjustable depositor buf of each rear siliconij, it is at EpstHave two corresponding limits (i, j) and (j, i). Therefore, constraint | aij|≤Rij, Rij=Rji,It is equivalent to ti-tj≤Rij, ∀ ( i , j ) ∈ E pst .
3.4 calculate minimum clock cycle.
After having solved above-mentioned minimum ring ratio problem, it is possible to obtain the maximum of λ, be designated as λ *. Then minimum clock cycle is Tmin=Tinit-λ*。
Step 4. calculates minimum clock cycle generalized polynomial chaos expansion coefficient.
Unknowm coefficientGalerkin method [21] can be adopted, by minimizingWithBetween error obtain, even,
< T min ( &xi; &RightArrow; ) - T ~ min ( &xi; &RightArrow; ) , H N i 1 , . . . , i N ( &xi; &RightArrow; ) > = 0 , - - - ( 11 )
For all (i1+…+iN)=0,1 ..., M. Here <, > represent inner product [19,20]. By utilizing the orthogonality of polynomial chaos, unknowm coefficient can be computed [18,19],
t i 1 , . . . , i N = < T min ( &xi; &RightArrow; ) , H N i 1 , . . . , i N ( &xi; &RightArrow; ) > . - - - ( 12 )
Formula (12) is multidimensional integral, it is possible to by being similar in the numerical integration at collocation point place, it may be assumed that
t i 1 , . . . , i N = &Sigma; k = 1 P w k T min ( &xi; &RightArrow; k ) H N i 1 , . . . , i N ( &xi; &RightArrow; k ) , - - - ( 13 )
Wherein,It is kth collocation point,It it is collocation pointPlace's minimum clock cycle, this value calculates in step 3 and obtains.
Step 5. calculates the yield of the adjustable register circuit of silicon after being with.
After band, the statistical timing analysis of the adjustable register circuit of silicon is defined as finding yield y (T),
Y (T)=Prob (T≤T0), (14)
Wherein, Prob represents probability function.
Obtaining statistics minimum clock cyclePolynomial chaos expression formula after,Cumulative probability function can also be computed. After band, the yield of the adjustable register circuit of silicon just can basisCumulative probability function calculate out.
By above step, the present invention can obtain the final result of the adjustable register circuit statistical timing analysis of silicon after band. The present invention has the advantage that for the adjustable register circuit statistical timing analysis method of silicon after band
1. the method that the present invention applies random arrangement first after band in the adjustable register circuit statistical timing analysis of silicon, by using sparse grid technology to reduce the collocation point number of multidimensional integral, it is to avoid relevant with dimension size be exponentially increased assess the cost.
2. this method is comparatively efficient, it is possible to is obtaining and under the comparable precise manner of existing method, is substantially reducing program runtime, can be used for solving the statistical timing analysis problem of the adjustable register circuit of silicon after extensive band.
Accompanying drawing explanation
Fig. 1 is the inventive method flow chart;
Fig. 2 is a typical rear adjustable register architecture schematic diagram of silicon;
Fig. 3 (a) is first embodiment of the invention sequence circuit schematic diagram;
Fig. 3 (b) is first embodiment of the invention temporal constraint figure schematic diagram;
Fig. 3 (c) is first embodiment of the invention cum rights constraints graph schematic diagram;
Fig. 3 (d) calculates schematic diagram for the corresponding δ of first embodiment of the invention.
Detailed description of the invention
Understandable for enabling the above-mentioned purpose of the present invention, feature and advantage to become apparent from, further illustrate the present invention below by specific embodiments and the drawings.It needs to be noted, specific embodiments and the drawings are merely to explanation, the present invention according to illustrating herein, can be made various amendment and change by obvious those of ordinary skill in the art within the scope of the present invention, and these are revised and change and also include in the scope of the invention. It addition, the present invention refer to open source literature, these documents are to more clearly describe the present invention, and their entire contents is all incorporated herein carrying out reference, are equivalent to their full text repeated description mistake in this article.
Embodiment 1 is used for the inventive method key step is described.
The present invention realizes step as shown in Figure 1 for the adjustable register circuit statistical timing analysis method of silicon after band.
Fig. 3 (a) is a sequence circuit example with logical path time delay. Fig. 3 (b) is its corresponding temporal constraint. A depositor in each summit representative graph 3 (a) in Fig. 3 (b). In Fig. 3 (a), each logical path is corresponding in Fig. 3 (b) two temporal constraint limits. Such as, from FF in Fig. 3 (a)1To FF2Logical path time delay be 3. From FF1To FF2Maximum delay and minimum time delay are all 3. So, Fig. 3 (b) should increase by two corresponding temporal constraint limits. Limit (1,2) corresponding to setup time constraint is:
ti-tj≤T-3。
Limit (1,2) was constrained to corresponding to the retention time:
ti-tj≤3。
This circuit statistical timing analysis process is as follows:
The compression of step 1. pivot obtains N number of independent random variable.
Step 2. generates sparse grid collocation point.
Collocation point is:
&Theta; d l = &cup; l + 1 &le; | l &RightArrow; | &le; d + l &Theta; 1 i 1 &times; . . . &times; &Theta; l i d ,
Wherein, | l &RightArrow; | = i 1 + . . . + i d . Respective weights is:
w j i 1 , . . . , j i d = ( - 1 ) d + l - | l &RightArrow; | d - 1 d + l - | l &RightArrow; | ( &Theta; &RightArrow; j i 1 i 1 . . . &Theta; &RightArrow; j i d i d ) . i 1 , . . . , i d
Step 3. calculates the minimum clock cycle of each collocation point, and step is as follows:
Step 3.1: build cum rights constraints graph, comprise circuit logic and circuit clock tree simultaneously. Fig. 3 (c) show cum rights constraints graph, comprises Fig. 3 (a) circuit and a clock trees simultaneously. Solid line represents that logical path, dotted line represent clock trees limit.
Step 3.2: calculate the corresponding δ of each edge. The corresponding δ of constraints graph shown in Fig. 3 (c) is shown in Fig. 3 (d).
Step 3.3: application Howard Algorithm for Solving minimum ring ratio problem. Solve minimum ring ratio problem and obtain optimal value λ *.
Step 3.4: calculate minimum clock cycle. After having solved above-mentioned minimum ring ratio problem, we can obtain the maximum of λ, is designated as λ *. Then minimum clock cycle is Tmin=Tinit-λ*。
Step 4. calculates minimum clock cycle generalized polynomial chaos expansion coefficient.
t i 1 , . . . , i N = &Sigma; k = 1 P w k T min ( &xi; &RightArrow; k ) H N i 1 , . . . , i N ( &xi; &RightArrow; k ) ,
Wherein,It is kth collocation point,It it is collocation pointPlace's minimum clock cycle, this value calculates in step 3 and obtains.
Step 5. calculates the yield of the adjustable register circuit of silicon after being with.
After band, the statistical timing analysis of the adjustable register circuit of silicon is defined as finding yield y (T),
Y (T)=Prob (T≤t0),
Wherein, Prob represents probability function.
Embodiment 2 is used for showing that the statistical timing analysis method that the present invention proposes obtaining and while the comparable precision of existing method, can significantly reduce program runtime.
In the present embodiment, the setting similar with document [17] is adopted. By the monte carlo method acquired results of 10000 sampled points standard of comparison as judgment accuracy. Test circuit is from ISCAS89, and the elementary cell door in test circuit is mapped to SMIC's 65nm cell library. In the present embodiment, each depositor have one after the adjustable depositor of silicon, each depositor range of accommodation is set to 0.3 times of circuit longest path time delay. In monte carlo method and the inventive method, 6 stochastic variables (transistor length, transistor width, the threshold voltage of NMOSFET and PMOSFET) are used technological fluctuation is modeled.The standard deviation of these independent random variables is set to the 10% of nominal value. In this example, use secondary delay model, and obtain logical path secondary delay expression formula [23] by the SSTA method based on random arrangement point. In this SSTA engine, definitiveness STA engine is used to derive the time delay of each collocation point logical path. Finally, theoretical according to random arrangement, derive secondary delay expression formula. Test case and result are as shown in table 1. #Nodes and #Edges represents the number on summit and limit in temporal constraint figure. Err (μ) represents minimum clock cycle meansigma methods relative error, and Err (σ) represents minimum clock cycle standard deviation relative error. Merge represents the method in document [17]. The operation time includes random arrangement point and generates, and minimum clock cycle calculates and yield calculates.
The meansigma methods of the inventive method minimum clock cycle meansigma methods relative error is 0.16%, hence it is evident that less than the method in document [17]. The meansigma methods of the inventive method minimum clock cycle standard deviation relative error is 0.48%, slightly above the method in document [17], but remains sufficiently accurate. Therefore, the accuracy of the inventive method can be comparable with the method in document [17].
It will be seen that for small scale in circuitry from form 1, the inventive method runs time and document [17] quite or longer. But, the speed-up ratio more than 10 times can be obtained for large-scale circuit the inventive method. Method in document [17] is highly dependent on circuit structure, for instance, test circuit s1423 scale is much smaller than s13207, but the operation time of s1423 is but longer than s13207. The scale of S15850 is about 4 times of s13207, but the operation time of S15850 is but 36 times of s13207. Comparing, the quantity on the inventive method and temporal constraint limit is linear approximate relationship. The operation time of the inventive method is predictable, and and circuit structure relatedness more weak, this is very good characteristic in actual applications.
Table 1 the inventive method and existing method comparative result

Claims (5)

1. one kind for after the statistical timing analysis method of the adjustable register circuit of silicon, it is characterised in that: comprise the steps:
The compression of step 1. pivot obtains N number of independent random variable;
Step 2. generates sparse grid collocation point;
Step 3. calculates the minimum clock cycle of each collocation point;
Step 4. calculates minimum clock cycle generalized polynomial chaos expansion coefficient;
Step 5. calculates the yield of the adjustable register circuit of silicon after being with.
2. as claimed in claim 1 for after the statistical timing analysis method of the adjustable register circuit of silicon, it is characterised in that step 2 generates sparse grid collocation point, comprises following two sub-steps:
Step 2.1: calculate multidimensional collocation point;
Step 2.2: calculate the corresponding weight of multidimensional collocation point.
3. as claimed in claim 1 for after the statistical timing analysis method of the adjustable register circuit of silicon, it is characterised in that step 3 calculates the minimum clock cycle of each collocation point, comprises following 4 sub-steps:
Step 3.1: building cum rights constraints graph, comprise circuit logic and circuit clock tree simultaneously, wherein the definition of each edge is as follows:
&omega; ij = T init - D ij , &ForAll; ( i , j ) &Element; E s , d ij , &ForAll; ( j , i ) &Element; E h , R ij , &ForAll; ( i , j ) &Element; E pst , 0 , &ForAll; ( i , j ) &Element; E t .
Step 3.2: calculate the corresponding δ of each edge,
&delta; ij = 1 , &ForAll; ( i , j ) &Element; E s , 0 , &ForAll; ( j , i ) &Element; E h , 0 , &ForAll; ( i , j ) &Element; E pst , 0 , &ForAll; ( i , j ) &Element; E t .
Step 3.3: application Howard Algorithm for Solving minimum ring ratio problem.
Step 3.4: calculate minimum clock cycle.
4. as claimed in claim 1 for after the statistical timing analysis method of the adjustable register circuit of silicon, it is characterised in that step 4 calculates minimum clock cycle generalized polynomial chaos expansion coefficient, is calculated by following formula and obtain:
t i 1 , . . . , i N = &Sigma; k = 1 p w k T min ( &xi; &RightArrow; k ) H N i 1 , . . . , i N ( &xi; &RightArrow; k ) ,
Wherein,It is kth collocation point,It it is collocation pointPlace's minimum clock cycle,Represent that N ties up generalized polynomial chaos.
5. as claimed in claim 1 for after the statistical timing analysis method of the adjustable register circuit of silicon, it is characterised in that after calculating band in step 5, the yield process of the adjustable register circuit of silicon is: obtaining statistics minimum clock cyclePolynomial chaos expression formula after,Cumulative probability function be computed, after band the yield of the adjustable register circuit of silicon according toCumulative probability function calculate obtain.
CN201410663517.9A 2014-11-19 2014-11-19 Statistical timing analysis method used for post-silicon adjustable register circuits Pending CN105677932A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201410663517.9A CN105677932A (en) 2014-11-19 2014-11-19 Statistical timing analysis method used for post-silicon adjustable register circuits

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201410663517.9A CN105677932A (en) 2014-11-19 2014-11-19 Statistical timing analysis method used for post-silicon adjustable register circuits

Publications (1)

Publication Number Publication Date
CN105677932A true CN105677932A (en) 2016-06-15

Family

ID=56944909

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410663517.9A Pending CN105677932A (en) 2014-11-19 2014-11-19 Statistical timing analysis method used for post-silicon adjustable register circuits

Country Status (1)

Country Link
CN (1) CN105677932A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110175391A (en) * 2018-12-05 2019-08-27 中国航空工业集团公司西安飞行自动控制研究所 One kind being based on the polynomial accelerometer Uncertainty Analysis Method of any type chaos

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102361449A (en) * 2004-05-19 2012-02-22 阿尔特拉公司 Apparatus and methods for adjusting performance of integrated circuits
US8490045B2 (en) * 2007-10-09 2013-07-16 International Business Machines Corporation Method and device for selectively adding timing margin in an integrated circuit
CN103515380A (en) * 2012-06-27 2014-01-15 三星电子株式会社 Semiconductor integrated circuit, method of designing the same, and method of fabricating the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102361449A (en) * 2004-05-19 2012-02-22 阿尔特拉公司 Apparatus and methods for adjusting performance of integrated circuits
US8490045B2 (en) * 2007-10-09 2013-07-16 International Business Machines Corporation Method and device for selectively adding timing margin in an integrated circuit
CN103515380A (en) * 2012-06-27 2014-01-15 三星电子株式会社 Semiconductor integrated circuit, method of designing the same, and method of fabricating the same

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
BING LI等: ""Fast statistical timing analysis for circuits with Post-Silicon Tunable clock buffers"", 《COMPUTER-AIDED DESIGN (ICCAD), 2011 IEEE/ACM INTERNATIONAL CONFERENCE ON》 *
XINGBAO ZHOU等: ""Efficient statistical timing analysis for circuits with post-silicon tunable buffers"", 《IEICE TRANSACTION ON FUNDAMENTALS OF ELECTRONICS,COMMUNICATIONS AND COMPUTER SCIENCES》 *
聂笔剑等: """Path-Based静态时序分析方法"", 《电子器件》 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110175391A (en) * 2018-12-05 2019-08-27 中国航空工业集团公司西安飞行自动控制研究所 One kind being based on the polynomial accelerometer Uncertainty Analysis Method of any type chaos

Similar Documents

Publication Publication Date Title
Li et al. Top quark pair production at small transverse momentum in hadronic collisions
US10192015B2 (en) Method of estimating a yield of an integrated circuit and method of optimizing a design for an integrated circuit
CN103207941A (en) Transient analysis method and transient analysis system under integrated circuit power supply network full-parameter model
Li et al. Parameterized interconnect order reduction with explicit-and-implicit multi-parameter moment matching for inter/intra-die variations
Cheng et al. Non-linear statistical static timing analysis for non-Gaussian variation sources
Gong et al. Stochastic analog circuit behavior modeling by point estimation method
Yu et al. Hierarchical analog/mixed-signal circuit optimization under process variations and tuning
CN102915385B (en) A kind of Interconnect model order reducing method based on time domain trapezoidal method difference
CN104849647A (en) Method and device for simulating reliability aging of circuit
CN105677932A (en) Statistical timing analysis method used for post-silicon adjustable register circuits
Li et al. Mori-Zwanzig reduced models for uncertainty quantification
Koh et al. Pre-layout clock tree estimation and optimization using artificial neural network
CN110738014B (en) Method for determining key process fluctuation in time sequence circuit statistical analysis
CN102054089A (en) Velocity staging method for optimizing digital integrated circuit with transparent latch
Jones A noisy-influence regularity lemma for Boolean functions
Gertsbakh et al. D-spectrum and reliability of a binary system with ternary components
El-Hadbi et al. An accurate time-to-digital converter based on a self-timed ring oscillator for on-the-fly time measurement
Vijaykumar et al. Statistical static timing analysis using a skew-normal canonical delay model
Gong et al. Fast non-monte-carlo transient noise analysis for high-precision analog/RF circuits by stochastic orthogonal polynomials
Xie et al. Adjustment-based modeling for statistical static timing analysis with high dimension of variability
Ramprasath et al. Statistical criticality computation using the circuit delay
Fu et al. A fast reduction method for path process variations without time-consuming training
Afzalian et al. 2019 Index IEEE Transactions on Very Large Scale Integration Systems (VLSI) Vol. 27
Sinha Computation of the statistics of forced response of a mistuned bladed disk assembly via polynomial chaos
Wang et al. Work-in-progress: Hierarchical ensemble learning for resource-aware FPGA computing

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20160615