CN105676592B - Shrink-down material and pattern forming method - Google Patents

Shrink-down material and pattern forming method Download PDF

Info

Publication number
CN105676592B
CN105676592B CN201510893749.8A CN201510893749A CN105676592B CN 105676592 B CN105676592 B CN 105676592B CN 201510893749 A CN201510893749 A CN 201510893749A CN 105676592 B CN105676592 B CN 105676592B
Authority
CN
China
Prior art keywords
methyl
acid
butyl
ester
ethyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201510893749.8A
Other languages
Chinese (zh)
Other versions
CN105676592A (en
Inventor
熊木健太郎
渡边聪
长谷川幸士
土门大将
山田健司
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shin Etsu Chemical Co Ltd
Original Assignee
Shin Etsu Chemical Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shin Etsu Chemical Co Ltd filed Critical Shin Etsu Chemical Co Ltd
Publication of CN105676592A publication Critical patent/CN105676592A/en
Application granted granted Critical
Publication of CN105676592B publication Critical patent/CN105676592B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)

Abstract

The present invention provides shrink-down material comprising specific polymer and the solvent containing anti-deorienting solvent.By will include that the anti-corrosion agent composition of base resin and acid agent is applied on substrate to form resist film, exposure, negative resist pattern is developed in organic solvent developer, which is applied on the pattern and is removed excessive shrink-down material thus to make the hole in the pattern and/or the dimensional contraction of slit, to form pattern with organic solvent.

Description

Shrink-down material and pattern forming method
Cross reference to related applications
This non-provisional application was required at 35 U.S.C. § 119 (a) respectively on December 8th, 2014 and on April 6th, 2015 Japan submit patent application No.2014-248080 and 2015-077690 priority, from there through reference by they Full content is incorporated herein.
Technical field
The present invention relates to the shrink-down material for making the dimensional contraction of the feature in resist pattern and use the contraction material The pattern forming method of material.
Background technique
As the effort for reducing pattern dimensions is rapidly developed to meet the more highly integrated horizontal and running speed to LSI recently Needs, photoetching process is widely used.Photoetching process has the substantial limit of the resolution ratio determined by the wavelength of light source.One kind is super The micro-pattern method of the more limit of the resolution ratio is by ArF excimer laser immersion lithography process and double patterning group It closes.The typical version of one of double patterning is photoetching-etching-photoetching-etching (LELE) method comprising is formed via exposure The pattern is transferred to the hard mask on substrate by etching by pattern, implements the second exposure in the position of half spacing displacement, and will Hard mask etching.This method has the problem of there are two misalignment between exposure or aliasing errors.Double patterning another Version is autoregistration double patterning (SADP) method comprising following step: being needed on hard mask for resist pattern, is covering firmly The two sides of modular character grow film, and remain the side wall of film thus double pattern dimension.SADP method needs only exposure one It is secondary and the problem of alleviate aliasing error.In order to simplify this method, it was also proposed that the modified version of following SADP method: passing through The side wall of the resist pattern feature of development rather than on the side wall of hard mask feature formed silicon oxide film thus to make pattern ruler It is very little to double.It, can by the way that SADP method to be repeated twice since SADP method successfully makes the spacing of line pattern be reduced to half Spacing is set to be reduced to 1/4.
The contraction of line pattern is not only needed, but also needs the contraction of sectional hole patterns.If shrinking sectional hole patterns, entire core The contraction of on piece is incomplete.A kind of known method for shrinking sectional hole patterns is described in patent document 1Method.This method is intended to by being coated with developed resist pattern with the water-soluble material containing crosslinking agent And the coating is baked to form the layer of crosslinking on resist surface so that resist pattern thickens, to reduce sectional hole patterns Size.Patent document 2 describes the shrink-down material comprising the polymer containing amino or polyamine, via with resist surface On carboxyl neutralization reaction and with resist surface bond, thus resist film is made to thicken.In non-patent literature 1 it is also proposed that By shrinking sectional hole patterns using the direct self assembly (DSA) of block copolymer.
UsingThe contraction of method has a problem in that due to being crosslinked in the presence of acid catalyst in resist Agent becomes active, so if acid diffusion is uneven, then the size for shrinking metapore is uneven.Based on amino polymer In bonding and the shrinkage method neutralized, as direct reflection concave-convex on resist surface, pattern is set to thicken so that developed is anti- The dimensional variations for losing agent pattern are identical with the dimensional variations after contraction.Shrinkage method using the DSA function of block copolymer has Advantage comprising the smallest dimensional variations after increased shrinkage and contraction, but there are some problems.That is, if DSA is applied Contraction cannot be induced for the hole of the size of those opposition assemblings for causing block copolymer in various sizes of hole.If will DSA is applied to channel patterns, and shape distortion becomes problem, for example, forming multiple sectional hole patterns.
Following shrink-down material is needed, sectional hole patterns can be made to shrink under the shape for not changing resist pattern, and The dimensional variations and edge roughness (LWR) of resist pattern after improving development.
Reference listing
Patent document 1:JP-A H10-073927 (USP 6579657)
Patent document 2:JP-A 2008-275995 (US 20100119717)
Patent document 3:JP-A 2007-293294
The 83230W-1 pages (2012) of volume 8323 of non-patent literature 1:Proc.SPIE
Summary of the invention
As discussed above, cross-linking type or neutralization reaction are mediated into bonding typeMaterial is applied to anti- Method on erosion agent pattern does not cause pattern deformation, but reduces the dimensional variations of resist pattern.Patent document 3 mentions The shrink-down material for being applied to the aqueous alkali processing-type of the positive-workingresist pattern generated by alkali development is gone out.About with narrow Away from sectional hole patterns contraction, which there is no enough shrinkages and does not reduce dimensional variations.
The object of the present invention is to provide shrink-down material and using its pattern forming method, the shrink-down material be applied to through Pore size can be made to shrink when in the hole resist pattern of development, while improve dimensional variations.
Seek the shrink-down material that can effectively make developed resist pattern shrink, the inventor has discovered that passing through shape At the resist film of base resin and acid agent based on the carboxyl replaced with acid-unstable group, via exposure and organic molten Agent development forms negative resist pattern by it, with the contraction material of the solvent comprising particular polymers and containing anti-deorienting solvent Material is coated with the resist pattern, bakes, and removed excessive shrink-down material with organic solvent, can make to resist in a controlled manner Lose the hole in agent pattern and/or the dimensional contraction of slit.
On the one hand, the present invention provides the shrink-down material comprising polymer and solvent, which contains anti-deorienting solvent, should Anti- deorienting solvent does not cause the resist pattern after development to disappear, which includes selected from the list with formula (1a) and (1b) The repetitive unit of at least one of member.
Wherein A is singly-bound or can be in C of the midway of chain containing ether oxygen atom1-C10Alkylidene;R1For hydrogen, fluorine, methyl or Trifluoromethyl;R2It is each independently hydrogen, halogen, optional halogen replaces, straight chain, branching or cricoid C2-C8Acyloxy is appointed Selecting that halogen replaces, straight chain, branching or cricoid C1-C6Alkyl, or optional halogen substitution, straight chain, branching or cricoid C1-C6Alkoxy;L is hydrogen, straight chain, branching or it is cricoid, ether oxygen atom, carbonyl moieties or carbonyl can be contained in the midway of chain The C of oxygroup structure division1-C10Monovalent aliphatic alkyl, or optionally replace, group of the monovalence containing aromatic ring;Z and carbon atom bonding To form C5-C15Alicyclic group;RxAnd RyBe each independently hydrogen or straight chain, branching or it is cricoid, can be by hydroxyl or alcoxyl The C that based moiety replaces1-C15Alkyl, RxAnd RyAt least one be cricoid C5-C15Alkyl;F is the integer of 1-3, s 0- 2 integer, a is equal to (5+2s-f) and m is 0 or 1.
Preferably, which also includes the repetitive unit with formula (2).
Wherein B is singly-bound or can be in C of the midway of chain containing ether oxygen atom1-C10Alkylidene;R1As defined above;R3Respectively From independently being hydrogen, halogen, optional halogen replaces, straight chain, branching or cricoid C2-C8Acyloxy, that optional halogen replaces, Straight chain, branching or cricoid C1-C6Alkyl, or optionally halogen replace, straight chain, branching or cricoid C1-C6Alkoxy;G is The integer of 0-3, t are the integer of 0-2, and b is equal to (5+2t-g) and n is 0 or 1.
Preferably, which also includes the repetitive unit with formula (3).
Wherein C is singly-bound or can be in C of the midway of chain containing ether oxygen atom1-C10Alkylidene;R1As defined above;R4Respectively It independently is hydrogen, halogen, what optional halogen replaced, straight chain, branching or cricoid C2-C8Acyloxy is that optional halogen replaces, straight Chain, branching or cricoid C1-C6Alkyl, or optionally halogen replace, straight chain, branching or cricoid C1-C6Alkoxy;D is single Key or straight chain, branching or it is cricoid, can be in C of the midway of chain containing ether oxygen atom, carbonyl group or carbonyloxy group group1-C10 (v+1) valency alkyl, wherein some or all hydrogen atoms of bond with carbon can be replaced by fluorine;Rf1And Rf2It is each independently containing extremely The C of a few fluorine atom1-C6Alkyl, Rf1It can be bonded with D and ring is formed with the carbon atom in conjunction with them;R is 0 or 1, h 1-3 Integer, u is the integer of 0-2, and it is 1 or 2 that c, which is equal to (5+2u-h) and v,.
Preferably, which also includes the repetitive unit selected from least one of the unit with formula (4) and (5).
Wherein R5And R6It is each independently hydrogen, halogen, what optional halogen replaced, straight chain, branching or cricoid, C2-C8Acyl Oxygroup, what optional halogen replaced, straight chain, branching or cricoid, C1-C6Alkyl, or optionally halogen replace, straight chain, branching or It is cricoid, C1-C6Alkoxy;I and j is each independently the integer of 0-2, and d is equal to (6-i) and e is equal to (4-j).
Preferably, which also includes the repetitive unit selected from least one of the unit with formula (A)-(E).
Wherein R1As defined above;XAFor acid-unstable group;XBAnd XCIt is each independently singly-bound or straight chain or branching C1-C4Bivalent hydrocarbon radical;XDFor straight chain, branching or cricoid, C1-C16Aliphatic hydrocarbyl of the divalent to pentavalent, any of them ingredient- CH2It can be substituted by-O- or-C (=O)-;XEFor acid-unstable group;YAFor taking with lactone, sultone or structural carbonate Dai Ji;ZAFor hydrogen, C1-C30Fluoroalkyl or C1-C15Substituent group containing fluorinated alcohols;k1AFor the integer and k of 1-31BFor the integer of 1-4.
Preferably, which also includes the repetitive unit with formula (F).
Wherein R101For hydrogen or methyl;X is singly-bound ,-C (=O)-,-C (=O)-O- or-C (=O)-NH-;R102For singly-bound Or straight chain, branching or it is cricoid containing ether structure part, ester structure part, carbonyl moieties ,-N=or-S- C1-C10 Alkylidene or phenylene or naphthylene;R103And R104It is each independently the C of hydrogen, straight chain or branching1-C4Alkyl, or acid Unstable group or R103And R104It can bond together and ring is formed with the nitrogen-atoms in conjunction with them, which optionally contains ether Key or R103And R104Either one or two of can be with R102Bonding forms ring with the nitrogen-atoms in conjunction with them;And k1CIt is 1 or 2.
The shrink-down material can further include the salt with formula (9):
R11-CO2 -M+ (9)
Wherein R11For straight chain, branching or cricoid C1-C20Alkyl, straight chain, branching or cricoid C2-C20Alkenyl or C6- C20Monovalence group containing aromatic ring, wherein some or all hydrogen atoms of bond with carbon can by fluorine, the structure division containing lactonic ring, contain interior acyl The structure division or hydroxyl moiety of amine ring replace, and wherein ether, ester or carbonyl group can be between carbon-carbon bonds, and M+For sulfonium, iodine or ammonium cation.
The shrink-down material can further include the salt with formula (10):
R12-SO3 -M+ (10)
Wherein R12For straight chain, branching or the cricoid C containing oxygen atom1-C35Monovalent hydrocarbon, some or all of them carbon The hydrogen atom of bonding can be replaced by fluorine, and condition is that the hydrogen atom of the carbon atom bonding relative to sulfonic acid and alpha-position is not taken by fluorine Generation, and M+For sulfonium, iodine or ammonium cation.
In preferred embodiment, which can further include selected from least one of the group being made up of alkalization Close object: aliphatic primary, secondary and tertiary aminess, mixed amine, aromatic amine, heterocyclic amine, the nitrogenous compound with carboxyl, containing with sulfonyl Nitrogen compound, the nitrogenous compound with hydroxyl, the nitrogenous compound with hydroxy phenyl, alcohol type nitrogenous compound, amide spread out Biology, imide derivative, and carbamate (salt).
Preferably, which is the ketone solvent or 4- of the ester solvent of 7-16 carbon atom, 8-16 carbon atom The alcoholic solvent of 10 carbon atoms.
Specifically, which is selected from least one of the group being made up of solvent:
The ester solvent of 7-16 carbon atom, including amyl acetate, isoamyl acetate, acetic acid 2- methylbutyl butenoate, capryl acetate, Acetic acid 2- ethylhexyl, cyclohexyl acetate, methyl cyclohexanol acetate, hexyl formate, ethyl valerate, propyl valerate, valeric acid isopropyl Ester, butyl valerate, isobutyl isovalerate, pentanoate, amyl valerate, isoamyl isovalerate, ethyl isovalerate, propyl isovalerate, Isopropyl isovalerate, butyl iso valerate, isobutyl isovalerate, isoamyl tert-butyl acrylate, iso-amyl iso-valeriate, 2 methyl valeric acid second Ester, 2 methyl valeric acid butyl ester, neopentanoic acid ethyl ester, neopentanoic acid propyl ester, neopentanoic acid isopropyl ester, neopentanoic acid butyl ester, the neopentanoic acid tert-butyl ester, Pentenoic acid ethyl ester, amylene propyl propionate, amylene isopropyl propionate, amylene acid butyl ester, amylene tert-butyl acrylate, crotons propyl propionate, crotonic acid Isopropyl ester, butyl crotonate, crotons tert-butyl acrylate, butyl propionate, isobutyl propionate, propanoic acid tert-butyl ester, benzyl propionate, caproic acid second Ester, allyl hexanoate, propyl butyrate, butyl butyrate, isobutyl isobutyrate (IBIB), butyric acid 3- methylbutyl butenoate, tert-butyl acetate, 2- methyl fourth Acetoacetic ester, 2-Methyl Butyric Acid isopropyl ester, methyl benzoate, ethyl benzoate, propyl benzoate, butyl benzoate, phenylacetate, Benzyl acetate, phenyl methyl acetate, benzyl formate, formic acid phenyl chlorocarbonate, 3- phenylpropionic acid methyl ester, phenyl ethyl acetate, and vinegar Sour 2- phenyl chlorocarbonate,
The ketone solvent of 8-16 carbon atom, including methyln-hexyl ketone, 3- octanone, 4- octanone, methyl n-heptyl ketone, 3- nonanone, 4- nonanone, 5- Nonanone, diisobutyl ketone, ethyl cyclohexanone, ethyl acetophenone, ethyl normal-butyl ketone, di-n-butyl ketone, and diisobutyl ketone, and
The alcoholic solvent of 4-10 carbon atom, including n-butyl alcohol, 2- butanol, isobutanol, the tert-butyl alcohol, 1- amylalcohol, 2- amylalcohol, 3- Amylalcohol, tert-pentyl alcohol, neopentyl alcohol, 2-methyl-1-butene alcohol, 3- methyl-1-butanol, 3- methyl -3- amylalcohol, cyclopentanol, 1- hexanol, 2- Hexanol, 3- hexanol, 2,3- dimethyl -2- butanol, 3,3- dimethyl-1-butanol, 3,3- dimethyl -2- butanol, 2,2- diethyl - N-butyl alcohol, 2- methyl-1-pentene alcohol, 2- methyl -2- amylalcohol, 2- methyl -3- amylalcohol, 3- methyl-1-pentene alcohol, 3- methyl -2- amylalcohol, 3- methyl -3- amylalcohol, 4- methyl-1-pentene alcohol, 4- methyl -2- amylalcohol, 4- methyl -3- amylalcohol, cyclohexanol, and 1- octanol
Preferably, which contains anti-deorienting solvent and other solvent, and the other solvent is selected from by following The group of composition: methyln-hexyl ketone, methyl n-heptyl ketone, 2-HEPTANONE, 3- heptanone, 4- heptanone, methyl-n-butyl ketone, 3- hexanone, diisobutyl ketone, methyl cyclohexane Ketone, acetophenone, methyl acetophenone, propyl acetate, butyl acetate, isobutyl acetate, amyl acetate, isoamyl acetate, acetic acid fourth Enester, propyl formate, butyl formate, iso-butyl formate, amyl formate, isoamyl formate, methyl valerate, amylene-4 acid methyl ester, bar Beans acid methyl esters, ethyl crotonate, methyl propionate, ethyl propionate, 3- ethoxyl ethyl propionate, methyl lactate, ethyl lactate, lactic acid Propyl ester, butyl lactate, isobutyl lactate, amyl lactate, isoamyl lactate, 2- hydroxy-methyl isobutyl acid, 2- hydroxy-iso-butyric acid second Ester, methyl benzoate, ethyl benzoate, phenylacetate, benzyl acetate, phenyl methyl acetate, benzyl formate, formic acid phenyl second Ester, 3- phenylpropionic acid methyl ester, benzyl propionate, phenyl ethyl acetate, and acetic acid 2- phenyl chlorocarbonate.
On the other hand, the present invention provides pattern forming method, includes the following steps: anti-corrosion agent composition being applied to substrate On, which includes the base resin of the repetitive unit of the carboxyl comprising replacing with acid-unstable group, produces acid Agent and organic solvent, prebake is to form resist film;The resist film is exposed to high-energy irradiation, which is baked;Having The resist film of exposure is set to be developed to negative resist pattern in solvent system developer;The contraction material that will be defined herein Material is applied in the negative resist pattern, is baked;Excessive shrink-down material is removed with organic solvent.
Typically, the base resin in anti-corrosion agent composition include it is being indicated by formula (11), take with acid-unstable group The repetitive unit (a) of the carboxyl in generation.
Wherein R21For hydrogen or methyl, R22For acid-unstable group, Z is singly-bound or-C (=O)-O-R23, and R23It is straight Chain, branching or cricoid C1-C10Alkylidene, wherein ether or ester bond can be between carbon-carbon bonds or naphthylene.
In pattern forming method, developer includes selected from least one of the group being made up of organic solvent: 2- is pungent Ketone, methyl n-heptyl ketone, 2-HEPTANONE, 3- heptanone, 4- heptanone, methyl-n-butyl ketone, 3- hexanone, diisobutyl ketone, methyl cyclohexanone, acetophenone, first Benzoylformaldoxime, propyl acetate, butyl acetate, isobutyl acetate, amyl acetate, isoamyl acetate, acetic acid butene esters, formic acid third Ester, butyl formate, iso-butyl formate, amyl formate, isoamyl formate, methyl valerate, amylene-4 acid methyl ester, ethyl crotonate, bar Beans acetoacetic ester, methyl propionate, ethyl propionate, 3- ethoxyl ethyl propionate, methyl lactate, ethyl lactate, propyl lactate, lactic acid fourth Ester, isobutyl lactate, amyl lactate, isoamyl lactate, 2- hydroxy-methyl isobutyl acid, 2- ethyl butyrate of hydroxyl iso, benzoic acid first Ester, ethyl benzoate, phenylacetate, benzyl acetate, phenyl methyl acetate, benzyl formate, formic acid phenyl chlorocarbonate, 3- phenylpropionic acid Methyl esters, benzyl propionate, phenyl ethyl acetate, and acetic acid 2- phenyl chlorocarbonate.
Preferably, the step of excessive shrink-down material being removed has using selected from least one of the group being made up of Solvent: propyl acetate, butyl acetate, isobutyl acetate, acetic acid butene esters, amyl acetate, isoamyl acetate, acetic acid 2- methyl Butyl ester, capryl acetate, acetic acid 2- ethylhexyl, cyclohexyl acetate, methyl cyclohexanol acetate, propyl formate, butyl formate, formic acid Isobutyl ester, amyl formate, isoamyl formate, hexyl formate, methyl valerate, ethyl valerate, propyl valerate, isopropyl isovalerate, penta Acid butyl ester, isobutyl isovalerate, pentanoate, amyl valerate, isoamyl isovalerate, ethyl isovalerate, propyl isovalerate, isovaleric acid Isopropyl ester, butyl iso valerate, isobutyl isovalerate, isoamyl tert-butyl acrylate, iso-amyl iso-valeriate, 2 methyl valeric acid ethyl ester, 2- first Base butyl valerate, ethyl crotonate, ethyl crotonate, crotons propyl propionate, crotons isopropyl propionate, butyl crotonate, crotonic acid uncle Butyl ester, methyl propionate, ethyl propionate, pentenoic acid ethyl ester, amylene propyl propionate, amylene isopropyl propionate, amylene acid butyl ester, penetenoic acid uncle Butyl ester, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, amyl lactate, isoamyl lactate, 2- hydroxyl Base methyl isobutyrate, 2- ethyl butyrate of hydroxyl iso, neopentanoic acid ethyl ester, neopentanoic acid propyl ester, neopentanoic acid isopropyl ester, neopentanoic acid butyl ester, The neopentanoic acid tert-butyl ester, butyl propionate, isobutyl propionate, propanoic acid tert-butyl ester, benzyl propionate, 3- ethoxyl ethyl propionate, caproic acid second Ester, allyl hexanoate, propyl butyrate, butyl butyrate, isobutyl isobutyrate (IBIB), butyric acid 3- methylbutyl butenoate, tert-butyl acetate, 2- methyl fourth Acetoacetic ester, 2-Methyl Butyric Acid isopropyl ester, methyl benzoate, ethyl benzoate, propyl benzoate, butyl benzoate, phenylacetate, Benzyl acetate, phenyl methyl acetate, benzyl formate, formic acid phenyl chlorocarbonate, 3- phenylpropionic acid methyl ester, phenyl ethyl acetate, acetic acid 2- phenyl chlorocarbonate, 2-HEPTANONE, 3- heptanone, 4- heptanone, methyl-n-butyl ketone, 3- hexanone, methyln-hexyl ketone, 3- octanone, 4- octanone, methyl n-heptyl ketone, 3- Nonanone, 4- nonanone, butyl ketone, methyl cyclohexanone, ethyl cyclohexanone, acetophenone, methyl acetophenone, ethyl acetophenone, ethyl is just Butyl ketone, di-n-butyl ketone, diisobutyl ketone, n-butyl alcohol, 2- butanol, isobutanol, the tert-butyl alcohol, 1- amylalcohol, 2- amylalcohol, 3- penta Alcohol, tert-pentyl alcohol, neopentyl alcohol, 2-methyl-1-butene alcohol, 3- methyl-1-butanol, 3- methyl -3- amylalcohol, cyclopentanol, 1- hexanol, 2- oneself Alcohol, 3- hexanol, 2,3- dimethyl -2- butanol, 3,3- dimethyl-1-butanol, 3,3- dimethyl -2- butanol, 2,2- diethyl -1- Butanol, 2- methyl-1-pentene alcohol, 2- methyl -2- amylalcohol, 2- methyl -3- amylalcohol, 3- methyl-1-pentene alcohol, 3- methyl -2- amylalcohol, 3- Methyl -3- amylalcohol, 4- methyl-1-pentene alcohol, 4- methyl -2- amylalcohol, 4- methyl -3- amylalcohol, cyclohexanol, and 1- octanol.
Typically, high-energy irradiation is the i line of wavelength 364nm, the KrF excimer laser of wavelength 248nm, wavelength 193nm The EUV or EB of ArF excimer laser, wavelength 13.5nm.
The advantageous effects of invention
Resist film, right including forming base resin and acid agent based on the carboxyl replaced with acid-unstable group It, which is exposed, is developed to negative resist pattern with organic solvent and shrink-down material of the invention is coated on resist The method of pattern successfully makes the dimensional contraction in the hole and/or slit in resist pattern in a controlled manner.
Detailed description of the invention
The step of Fig. 1 (A)-Fig. 1 (F) indicates pattern formation according to the present invention or contraction method with cross-sectional view;Fig. 1 (A) resist film formed on the substrate is indicated;Fig. 1 (B) indicates the resist film in exposure process;Fig. 1 (C) indicates resist The PEB and the pattern after development of film are formed;Fig. 1 (D) indicates the shrink-down material being coated in resist pattern;Fig. 1 (E) indicates logical Cross the resist pattern for baking and excessive shrink-down material being removed from and made its gap constrictions;Pass through work with Fig. 1 (F) expression For the dry ecthing of the substrate of the pattern through shrinking of mask.
Specific embodiment
"one" is not offered as the limitation of quantity with "an" to term herein, but indicates that there are described at least one Project." optionally " or " optionally " mean that then described event or situation may occur or may not occur, and And the record includes the event or the situation happened and its situation not occurred.Label (C used hereinn-Cm) meaning Each group contain the group of n to m carbon atom.Term " film " used herein can interchangeably make with " coating " or " layer " With.
Abbreviation and acronym have following meanings.
EB: electron beam
Mw: weight average molecular weight
Mn: number-average molecular weight
Mw/Mn: molecular weight distribution or dispersion degree
GPC: gel permeation chromatography
PEB: it is baked after exposure
PAG: photo-acid generator
In chemical formula, Me indicates that methyl, Ac indicate acetyl group;Dotted line indicates valence link.
Shrink-down material
The present invention provides shrink-down material, and it includes polymer and solvent, which contains the resist figure after not making development The anti-deorienting solvent that case disappears.The polymer is defined as comprising selected from at least one of formula (1a) and the unit of (1b) Repetitive unit.It should be noted that ground is, which is sometimes referred to as " shrink-down material polymer ".
In formula (1a) and (1b), " A " is singly-bound or can contain the C of ether oxygen atom in the half-way of chain1-C10Alkylene Base.Suitable alkylidene includes methylene, ethylidene, propylidene, trimethylene, tetramethylene, pentamethylene, hexa-methylene, Cyclopentylene, cyclohexylidene, and with its of branching or cyclic structure constitutional isomer.Wherein, A is preferably singly-bound, methylene, Ethylidene, propylidene or trimethylene.When A is the group containing ether oxygen atom, in formula (1) in the case where m=1, ether oxygen is former Son may include on any position that will be excluded between alpha-position and β-position carbon relative to ester oxygen.In the case where m=0, ether oxygen Atom becomes the atom that is bonded with main chain, and the second ether oxygens atom may include will be relative to ether oxygen atom in alpha-position and β-position Carbon between on any position for excluding.
In formula (1a) and (1b), R1For hydrogen, fluorine, methyl or trifluoromethyl.R2It is each independently hydrogen, halogen, optional halogen Substituted straight chain, branching or cricoid C2-C8Acyloxy, straight chain, branching or the cricoid C that optional halogen replaces1-C6Alkyl, or Straight chain, branching or the cricoid C that the optional halogen of person replaces1-C6Alkoxy.
The example of halogen is fluorine, chlorine, bromine and iodine.Suitable acyloxy includes acetoxyl group, propionyloxy, butyryl acyloxy, New pentane acyloxy and cyclohexyl carbonyloxy group.Suitable alkyl includes methyl, ethyl, n-propyl, isopropyl, normal-butyl, Zhong Ding Ice drops in base, tert-butyl, amyl, cyclopenta, hexyl, cyclohexyl, heptyl, octyl, nonyl, decyl, undecyl, dodecyl Chip base and adamantyl.Suitable alkoxy includes methoxyl group, ethyoxyl, propoxyl group, isopropoxy, n-butoxy, Zhong Ding oxygen Base, tert-butoxy, amoxy, hexyloxy, cyclopentyloxy, cyclohexyloxy, 1- methyl-1-cyclopentyloxy, penta oxygen of 1- ethyl-1- ring Base, 1- methyl-1-cyclohexyloxy, and 1- ethyl-1- cyclohexyloxy.
In formula (1a) and (1b), L is hydrogen, straight chain, branching or cricoid can contain ether oxygen atom, carbonyl in the half-way of chain The C of based moiety or carbonyloxy group structure division1-C10Monovalent aliphatic alkyl, or group of the monovalence containing aromatic ring optionally replaced. Suitable monovalent aliphatic alkyl is straight chain, branching or cricoid alkyl, alkenyl and alkynyl.Suitable alkyl includes foregoing illustrative Group, but there is 1-10 carbon atom.Suitable alkenyl includes vinyl, allyl, acrylic, cyclopropanyl, cyclobutenyl, ring Cyclobutenyl, pentenyl, cyclopentenyl, hexenyl, cyclohexenyl group, heptenyl, cycloheptenyl, methyl cyclohexane alkenyl, octenyl, two Methyl cyclohexane alkenyl, and cyclo-octene base.Suitable alkynyl includes acetenyl, propinyl, butynyl, pentynyl, hexin base, heptyne Base, and octynyl.Group of the suitable monovalence containing aromatic ring includes phenyl, naphthalene, phenanthryl, anthryl, pyrenyl, xenyl, acenaphthenyl, and Fluorenyl.Preferably, L is hydrogen, methyl, ethyl, propyl, isopropyl, cyclopenta, cyclohexyl, adamantyl, methyl carbonyl or benzene Base.
In formula (1a), Z and carbon atom bonding are to form C5-C15Alicyclic group.Suitable alicyclic group includes following Group, but it is not limited to this.
In formula (1b), RxAnd RyIt is each independently hydrogen or straight chain, branching or cricoid, it can be by hydroxyl or alcoxyl base junction The partially substituted C of structure1-C15Alkyl, RxAnd RyAt least one be ring-type C5-C15Alkyl.Preferably, RxAnd RySelected from methyl, second Base, propyl, butyl, cyclopenta, cyclohexyl, suberyl, cyclooctyl, adamantyl, norborny, and with hydroxyl or alcoxyl base junction The partially substituted above-mentioned group of structure.
In formula (1a) and (1b), f is the integer of 1-3, and s is the integer of 0-2, and a is equal to (5+2s-f) and m is 0 or 1.
In the repetitive unit of formula (1a) and (1b), the preferred repetitive unit of following formula (1a') and (1b').
Wherein R1、Rx、Ry, L and f it is as defined above.
The preferred embodiment of the repetitive unit of formula (1a) described below and (1b), but it is not limited to this.
Preferably, in order to provide adequately with the adhesiveness of resist pattern and with the adhesiveness of substrate, shrink-down material is poly- Close the repetitive unit that object also includes formula (2) and/or (3).The repetitive unit of formula (2) or (3) allows the heat appropriate of the polymer Vibration advantageously facilitates as the result that the acid-unstable group in the repetitive unit of formula (1a) or (1b) is eliminated and keeps this poly- Closing object becomes insolubleization being not dissolved in shrink-down material remover reaction.Particularly, the repetitive unit of more preferable formula (2).
In formula (2), B is singly-bound or can contain the C of ether oxygen atom in the half-way of chain1-C10Alkylidene.Suitable Asia Alkyl is with more than to identical illustrated by " A ".
In formula (2), R1As defined above.R3It is each independently hydrogen, halogen, straight chain, branching or the ring that optional halogen replaces The C of shape2-C8Acyloxy, straight chain, branching or the cricoid C that optional halogen replaces1-C6Alkyl;Or optionally halogen replace, it is straight Chain, branching or cricoid C1-C6Alkoxy.The example of acyloxy, alkyl and alkoxy is with more than to R2Illustrated by it is identical.
In formula (2), g is the integer of 0-3, and t is the integer of 0-2, and b is equal to (5+2t-g) and n is 0 or 1.Here, g is indicated The number for the hydroxyl being bonded with aromatic ring.Preferably, in order to by unstable to the acid in the repetitive unit as formula (1a) or (1b) Determine the result of group elimination and so that the polymer is become insolubleization being not dissolved in remover reaction and high activity is provided, thus Enough shrinkages are obtained, g is at least 1.It is highly preferred that those of formula (2) that wherein g is at least 1 unit accounts for the unit of formula (2) At least 50 moles of %.Although the adjusting of the admissibility of the thermal vibration of adjusting and polymer for rate of dissolution is effective, take Certainly in specific design, the unit of the formula (2) of wherein g=0 may not include.
In the repetitive unit of formula (2), the repetitive unit without linker, that is, wherein g is at least 1, n=0 and B are singly-bound , i.e., those of the formula (2) that is bonded aromatic ring directly with main polymer chain repetitive unit be from having the virtue replaced with hydroxyl The unit typically hydroxystyrene unit of the monomer of the substituted or unsubstituted vinyl of 1- of ring connection.Suitable unit includes From those of 3- hydroxy styrenes, 4-Vinyl phenol, 5- hydroxyl -2- vinyl-naphthalene and 6- hydroxyl -2- vinyl naphthalene.It is excellent Be selected as from those of 3- hydroxy styrenes or 4-Vinyl phenol unit, as following formula (2') shown in.
Wherein R1As defined above and k is the integer of 1-3.
In the repetitive unit of formula (2), the repetitive unit with linker, that is, wherein n=1, that is, there is ester structure connection Those of the formula (2) of base repetitive unit is the vinyl monomer replaced from carbonyl, the unit of typically (methyl) acrylate.
In the repetitive unit of formula (2) with the linker (- CO-O-B-) from (methyl) acrylate, with shown below Out wherein g be at least Unit those of 1 preferred embodiment, but it is not limited to this.
In the repetitive unit of formula (2), wherein those of g=0 unit be from styrene, vinyl naphthalene, vinyl anthracene and The unit for the above compound that its aromatic ring is replaced by halogen, acyloxy, alkyl, alkoxy or similar structure division.Wherein g= 0 and to have those of the linker (- CO-O-B-) unit from (methyl) acrylate include that wherein hydroxyl therefrom disappears It removes, the hydrogen of wherein hydroxyl is by the preferred embodiment of acyl group or alkyl-substituted the g unit for being at least 1.
In formula (3), C is singly-bound or can contain the C of ether oxygen atom in the half-way of chain1-C10Alkylidene.Suitable Asia Alkyl is above to as illustrated in " A ".
In formula (3), R1As defined above.R4It is each independently hydrogen, halogen, optional halogen replaces, straight chain, branching or ring The C of shape2-C8Acyloxy, optional halogen replaces, straight chain, branching or cricoid C1-C6Alkyl, or optional halogen substitution, Straight chain, branching or cricoid C1-C6Alkoxy.The example of acyloxy, alkyl and alkoxy is as above to R2It illustrates such.
In formula (3), D be singly-bound or straight chain, branching or it is cricoid, ether oxygen atom, carbonyl can be contained in the half-way of chain The C of based moiety or carbonyloxy group structure division1-C10(v+1) valency alkyl, wherein some or all hydrogen atoms of bond with carbon can quilt Fluorine replaces.Preferred alkyl is aliphatic hydrocarbyl, and the example is identical as group illustrated by the monovalent aliphatic alkyl above for L Group, wherein the number " v " of hydrogen atom is eliminated.
In formula (3), Rf1And Rf2It is each independently the C containing at least one fluorine atom1-C6Alkyl.Rf1It can be bonded with D Ring is formed with carbon atom in connection.The suitable alkyl containing at least one fluorine atom includes a methyl fluoride, difluoro first Base,
Trifluoromethyl, 2,2,2- trifluoroethyls,
1,1,2,2,2- pentafluoroethyl group,
2,2,2- tri- fluoro- 1- (trifluoromethyl) ethyl, perfluoroisopropyl,
Heptafluoropropyl, tetra- fluoropropyl of 2,2,3,3-,
2,2,3,3,3- pentafluoropropyl group,
3,3,3- tri- fluoro- 2- (trifluoromethyl) propyl, nona-fluoro butyl group,
1H, 1H, 5H- octafluoro amyl, nine fluorine amyl group of 1H, 1H-,
Perfluoropentyl, 1H, 1H-4- trifluoromethyl perfluoropentyl,
Perfluoro hexyl, 4- pentafluoroethyl group perfluorocyclohexyl,
1H, 1H, 2H, 2H- perfluoro hexyl, and perfluorocyclohexyl.
In formula (3), h is the integer of 1-3, and the integer and c that u is 0-2 are equal to (5+2u-h).
In formula (3), r is 0 or 1.In the case where r=1, aromatic ring between main polymer chain and hydroxyl, the hydroxyl and with With the neighbouring bond with carbon of the carbon of fluoro-containing group substitution.In this case, indicate that the v of the number of the substituent group on D is 1 or 2.If D is not singly-bound, and D has one or two hydroxyl, each hydroxyl and the bond with carbon neighbouring with the carbon that is replaced with fluoro-containing group, that is, v It is 1 or 2.
In the case where r=0, h 1 and C are singly-bound, but D is not singly-bound.In this case, D via carbonyloxy group with polymerize The bonding of owner's chain.In this case and D has one or two hydroxyl, each hydroxyl and with the carbon that is replaced with fluoro-containing group Neighbouring bond with carbon.
The preferred embodiment of repetitive unit described below with formula (3), but it is not limited to this.
The unit of formula (2) or (3) can individually or with mixing (that is, more than one or two kinds of) use.
Shrink-down material polymer can be also comprising the repetition list selected from least one of the unit with following formula (4) and (5) Member is used as main composition unit.In the present embodiment, in addition to the aromatic ring intrinsic elching resistant the advantages of other than, which can have Have following another advantage: the combination of cyclic structure and main chain assigns dry etching resistance to the resist pattern of contraction.
Wherein R5And R6It is each independently hydrogen, halogen, optional halogen replaces, straight chain, branching or cricoid C2-C8Acyl Oxygroup, optional halogen replaces, straight chain, branching or cricoid C1-C6Alkyl, or optionally halogen replace, straight chain, branching or Cricoid C1-C6Alkoxy.The example of acyloxy, alkyl and alkoxy is with more than for R2What is illustrated is identical.
In formula (4) and (5), i and j are each independently the integer of 0-2, and d is equal to (6-i) and e is equal to (4-j).
The unit of formula (4) or (5) can individually or with mixing (that is, more than one or two kinds of) use.
In order to improve the elching resistant of polymer, relative to other repetitive units of polymer, select wherein i or j for extremely When the unit of few 1 formula (4) or (5), it can be readily available and following units compound (4') or (5') and right is obtained by it Effect needed for realizing is effective.
Shrink-down material polymer can be also comprising the repetition list selected from least one of the unit with following formula (A)-(E) Member.These units can be used as polymer can be made more tacky together in resist pattern or for adjusting polymer in a solvent molten The auxiliary unit of Xie Du.
Wherein R1As defined above.XAFor acid-unstable group.XBAnd XCIt is each independently singly-bound or straight chain or branching C1-C4Bivalent hydrocarbon radical.XDFor straight chain, branching or cricoid, any of them ingredient-CH2The C that can be substituted by-O- or-C (=O)-1- C16Divalent to pentavalent aliphatic hydrocarbyl.XEFor acid-unstable group.YAFor the substitution with lactone, sultone or structural carbonate Base.ZAFor hydrogen, C1-C30Fluoro-alkyl or C1-C15Substituent group containing fluorinated alcohols, k1AFor the integer of 1-3, and k1BFor the whole of 1-4 Number.
The repetitive unit of formula (A) is decomposed to generate carboxylic acid under the action of an acid.By introducing the unit, it is adjusted and shrinks The solubility of material polymers in organic solvent.By XAThe acid-unstable group of expression can be selected from various such groups.Acid The example of unstable group includes the following general formula (L1)-(L4) group, 4-20 carbon atom, preferably 4-15 carbon atom Tertiary alkyl, wherein each Alliyl moieties have the trialkylsilkl of 1-6 carbon atom, and the oxygen of 4-20 carbon atom Substituted alkyl.
Wherein RL01And RL02Be each independently hydrogen or 1-18 carbon atom, preferably 1-10 carbon atom straight chain, Branching or cyclic alkyl.RL03For the monovalence of the 1-18 carbon atom containing hetero atom such as oxygen, preferably 1-10 carbon atom Alkyl, the example include straight chain, branching or cricoid alkyl, and some of hydrogen atoms are by hydroxyl, alkoxy, oxo, amino, alkane The substitution form of substituted such alkyl such as base amino, and the identical group separated by ether oxygen atom.RL04For 4-20 carbon The tertiary alkyl of atom, preferably 4-15 carbon atom, wherein each Alliyl moieties have the trialkyl first of 1-6 carbon atom Silylation, the oxoalkyl group of 4-20 carbon atom, or the group of formula (L1).RL05Optionally replace, straight chain, branching or ring The C of shape1-C10Alkyl or the C optionally replaced6-C20Aryl.RL06Optionally replace, straight chain, branching or cricoid C1-C10Alkane Base or the C optionally replaced6-C20Aryl.RL07-RL16Independently indicate the monovalence of hydrogen or the 1-15 carbon atom optionally replaced Alkyl.Alphabetical x is the integer of 0-6, and y is equal to 0 or 1, and z is equal to 0,1,2 or 3 and 2y+z and is equal to 2 or 3.
In formula (L1), RL01And RL02Illustration group include methyl, ethyl, propyl, isopropyl, normal-butyl, sec-butyl, uncle Butyl, cyclopenta, cyclohexyl, 2- ethylhexyl, n-octyl, and adamantyl.
By RL03The example of the monovalent hydrocarbon of expression is with more than to by RL01And RL02The alkyl of expression illustrates identical, but simultaneously It is without being limited thereto.By RL03The example of the substituted alkyl of expression is as follows.
RL01And RL02A pair, RL01And RL03A pair or RL02And RL03A pair can bond together in conjunction with them Carbon atom and oxygen atom form ring.When they form ring, each R for forming ringL01、RL02And RL03For 1-18 carbon atom, preferably The straight chain of ground 1-10 carbon atom or the alkylidene of branching.
In formula (L2), RL04Tertiary alkyl example be tert-butyl, tertiary pentyl, 1,1- diethylpropyl, 2- cyclopenta third Alkane -2- base, 2- cyclohexyl propane -2- base,
2- (bicyclic [2.2.1] heptane -2- base) propane -2- base,
2- (adamantane -1- base) propane -2- base, 1- ethylcyclopentyl,
1- butyl cyclopenta, 1- ethylcyclohexyl, 1- butylcyclohexyl,
1- ethyl -2- cyclopentenyl, 1- ethyl -2- cyclohexenyl group, 2- methyl -2- adamantyl, and 2- ethyl -2- Buddha's warrior attendant Alkyl.The example of trialkylsilkl is trimethyl silyl, triethylsilyl, and dimethyl-tert-butyl first silicon Alkyl.The example of oxoalkyl group is 3- oxocyclohexyl, 4- methyl -2- oxo oxane -4- base, and 5- methyl -2- oxo oxa- Pentamethylene -5- base.
In formula (L3), RL05The example of alkyl include straight chain, branching or cricoid alkyl such as methyl, ethyl, propyl, Isopropyl, normal-butyl, sec-butyl, tert-butyl, n-pentyl, tertiary pentyl, n-hexyl, cyclopenta, cyclohexyl, and bicyclic [2.2.1] Heptyl, and some of hydrogen atoms are by hydroxyl, alkoxy, carboxyl, alkoxy carbonyl group, oxo, amino, alkyl amino, cyano, mercapto The substitution shape for these groups that base, alkylthio group, sulfo group etc. replace or wherein methylene moiety is substituted by oxygen or sulphur atom Formula.RL05The example of aryl include phenyl, aminomethyl phenyl, naphthalene, anthryl, phenanthryl, and pyrenyl.
In formula (L4), RL06Alkyl and aryl example with for RL05Illustrated by it is identical.RL07-RL16C1-C15One The example of valency alkyl includes straight chain, branching or cricoid alkyl such as methyl, ethyl, propyl, isopropyl, normal-butyl, sec-butyl, Tert-butyl, n-pentyl, tertiary pentyl, n-hexyl, n-octyl, n-nonyl, positive decyl, cyclopenta, cyclohexyl, cyclopentyl-methyl, ring Amyl ethyl, cyclopentylbutyl, cyclohexyl methyl, cyclohexyl-ethyl and cyclohexylbutyl, and some of hydrogen atom is by hydroxyl, Alkoxy, carboxyl, alkoxy carbonyl, oxo, amino, alkyl amino, cyano, sulfydryl, alkylthio group, these substituted bases such as sulfo group The substitution form of group.Alternatively, RL07-RL16In two can bond together with carbon atom (one or more) shape in conjunction with them Cyclization is (for example, RL07And RL08A pair, RL07And RL09A pair, RL08And RL10A pair, RL09And RL10A pair, RL11With RL12A pair or RL13And RL14A pair of form ring).RL07-RL16Each expression C1-C15Bivalent hydrocarbon radical, typically alkylene Base, they formed rings when, the example be above for illustrated by monovalent hydrocarbon those, one of hydrogen atom is eliminated.With The R that ortho position carbon atom combinesL07-RL16In two can be bonded directly with one another to form double bond (for example, RL07And RL09A pair, RL09And RL15A pair or RL13And RL15A pair).
In the acid-unstable group of formula (L1), the group of straight chain and branching is illustrated by following radicals, and but it is not limited to this.
In the acid-unstable group of formula (L1), cyclic group is such as tetrahydrofuran -2- base,
2- methyltetrahydrofuran -2- base, oxinane -2- base, and
2- methyl oxinane -2- base.
The example of the acid-unstable group of formula (L2) includes tert-butoxycarbonyl, tert-Butoxycarbonyl-methyl,
Tert-pentyloxy carbonyl, tert-pentyloxy carbonyl methyl,
1,1- diethyl propoxycarbonyl,
1,1- diethyl propoxycarbonyl methyl,
1- ethyl cyclopentyloxy carbonyl,
1- ethyl cyclopentyloxy carbonvlmethyl,
1- ethyl -2- cyclohexenoxycarbonyl,
1- ethyl -2- cyclohexenoxycarbonyl methyl,
1- ethoxy ethoxy carbonvlmethyl,
2- THP trtrahydropyranyl Epoxide carbonyl methyl, and
2- tetrahydrofuran base Epoxide carbonyl methyl.
The example of the acid-unstable group of formula (L3) includes 1- methylcyclopentyl, 1- ethylcyclopentyl,
1- n-propyl cyclopenta, 1- isopropyl cyclopentyl,
1- normal-butyl cyclopenta, 1- sec-butyl cyclopenta,
1- cyclohexyl ring amyl, 1- (4- methoxyl group-normal-butyl) cyclopenta,
1- (bicyclic [2.2.1] heptane -2- base) cyclopenta,
1- (7- oxabicyclo [2.2.1] heptane -2- base) cyclopenta,
1- methylcyclohexyl, 1- ethylcyclohexyl,
3- methyl-1-cyclopentene-3- base, 3- ethyl-1- cyclopentene-3- base,
3- methyl-1-cyclohexene-3- base, and 3- ethyl-1- cyclohexene-3- base.
In the acid-unstable group of formula (L4), preferred following formula (L4-1) to those of (L4-4) group.
For formula (L4-1) into (L4-4), dotted line indicates bonding position and direction.RL41It is each independently monovalent hydrocarbon, allusion quotation Type ground straight chain, branching or cricoid C1-C10Alkyl, such as methyl, ethyl, propyl, isopropyl, normal-butyl, sec-butyl, tertiary fourth Base, n-pentyl, tertiary pentyl, n-hexyl, cyclopenta and cyclohexyl.
For formula (L4-1) to (L4-4), enantiomter and diastereoisomer can have.Formula (L4-1) is to (L4- Each of 4) all such stereoisomers are universally indicated.XAFor formula (L4) acid-unstable group when, it may include it is multiple Stereoisomer.
For example, formula (L4-3) indicates one or two in the group with following formula (L4-3-1) and (L4-3-2) Mixture.
It should be noted that ground is, RL41As defined above.
Similarly, formula (L4-4) indicates one or two in the group with following formula (L4-4-1)-(L4-4-4) A above mixture.
It should be noted that ground is, RL41As defined above.
Each of formula (L4-1) to (L4-4), (L4-3-1) and (L4-3-2) and (L4-4-1) to (L4-4-4) is unified Indicate the mixture of its enantiomter and enantiomter.
It should be noted that ground is, above-mentioned formula (L4-1) to (L4-4), (L4-3-1) and (L4-3-2) and (L4-4-1)-(L4-4- 4) in, bonding direction is in the outside relative to bicyclic [2.2.1] heptane ring, this ensures anti-for the height of acid catalysis elimination reaction Answering property (referring to JP-A 2000-336121).It is taken preparing these outside-tertiary alkyls conducts with bicyclic [2.2.1] heptane structure In the monomer of Dai Ji, containing has with interior-alkyl-substituted monomer indicated by following formula (in L4-1-)-(in L4-4-).For Good reactivity, the outer ratio of preferably at least 50 moles %, the outer ratio of more preferably at least 80 moles %.
It should be noted that ground is, RL41As defined above.
The illustrated example of the acid-unstable group of formula (L4) is given below.
By XAThe tertiary C of expression4-C20Alkyl, wherein each Alliyl moieties have the trialkyl first silicon of 1-6 carbon atom Alkyl, and C4-C20The example of oxoalkyl group with for RL04Illustrated by it is identical.
The illustrated example of the repetitive unit with formula (A) is given below, but it is not limited to this.Wherein R1As defined above.
The illustrated example of the repetitive unit with formula (B) is given below, but it is not limited to this.Wherein R1As defined above.
The illustrated example of the repetitive unit with formula (C) is given below, but it is not limited to this.Wherein R1As defined above.
The illustrated example of the repetitive unit with formula (D) is given below, but it is not limited to this.Wherein R1As defined above.
Decompose the polymer of the repetitive unit comprising formula (E) to generate hydroxyl so that it is various molten Solubility in agent is alterable.In formula (E), acid-unstable group XEIt can be selected from various such groups.Acid-unstable group XE's Example is the group of formula (L1)-(L4), the tertiary alkyl of 4-20 carbon atom, wherein each alkyl group has 1-6 carbon atom Trialkylsilkl and 4-20 carbon atom oxoalkyl group, such as above-mentioned acid-unstable group XALike that.
The illustrated example of the repetitive unit with formula (E) is given below, but it is not limited to this.Wherein R1As defined above.
In formula (A)-(E) repetitive unit, those of preferred formula (A)-(C) unit is thus to easily adjust poly- Close the solvent solubility and adhesiveness of object.
Shrink-down material polymer can further include the repetitive unit of following formula (F).The unit of formula (F) can induce and be coated with receipts The neutralization reaction of carboxyl present on the surface of the resist pattern film of compression material.As a result, the shrink-down material attachment of polymers in Resist film surface shows increased adhesiveness.
Wherein R101For hydrogen or methyl.X is singly-bound ,-C (=O)-,-C (=O)-O- or-C (=O)-NH-.R102For singly-bound Or straight chain, branching or it is cricoid containing ether structure part, ester structure part, carbonyl moieties ,-N=or-S- C1- C10Alkylidene or phenylene or naphthylene.R103And R104It is each independently the C of hydrogen, straight chain or branching1-C4Alkyl, or acid Unstable group, or R103And R104It can bond together and ring is formed with the nitrogen-atoms in conjunction with them, which optionally contains ether Key or R103And R104Any of can be with R102Bonding forms ring and k with the nitrogen-atoms in conjunction with them1CIt is 1 or 2.
The repetitive unit of formula (F) may be from the monomer with following formula (Fa).
Wherein R101-R104, X and k1CAs defined above.
The example of monomer described below with formula (Fa), but it is not limited to this.
Wherein R101-R104As defined above.
Shrink-down material polymer can be used alone or as a mixture.When the polymer is used alone, there is required function by selection The repetitive unit of energy, it is appropriate to provide the shrink-down material prepared by it to be designed to determine the composition of the repetitive unit Contraction and dimensional homogeneity.
By in a standardized manner combining suitable monomer and they can be copolymerized, will protect if necessary reaction with Deprotection reaction combines to prepare shrink-down material polymer.Copolyreaction is preferably free radical polymerization, although not limited to this.
In shrink-down material polymer, based on whole repetitive units, preferably rubbed at least 5 moles of %, more preferably at least 10 That amount introduction-type (1a) of % and/or the repetitive unit of (1b).
Based on whole repetitive units, preferably with the repetitive unit of the amount introduction-type (2) of 0-90 moles of %.In order to it is more with it is anti- The adhesiveness and substrate adherence of agent pattern are lost, the amount of the unit of formula (2) is more preferably 5-85 moles of %, further preferred 10- 80 moles of %.
Based on whole repetitive units, preferably with the repetitive unit of the amount introduction-type (3) of 0-90 moles of %.In order to more with The amount of the adhesiveness and substrate adherence of resist pattern, the unit of formula (3) is more preferably 5-85 moles of %, further preferably 10-80 moles of %.
Based on whole repetitive units, preferably with the amount introduction-type (4) of 0-30 moles of % or the repetitive unit of (5).In order to more The amount of more elching resistants, the unit of formula (4) or (5) is more preferably 5-30 moles of %, further preferably 5-20 moles of %.
Based on whole repetitive units, preferably with amount introduction-type (A)-(E) repetitive unit of 0-30 moles of %.In order to more Substrate adherence and solubility adjust, the amount of formula (A)-(E) unit is more preferably 1-30 moles of %, further preferably 5- 20 moles of %.
Based on whole repetitive units, preferably with the repetitive unit of the amount introduction-type (F) of 0-30 moles of %.In order to more glue Attached property, the amount of the unit of formula (F) are more preferably 1-30 moles of %, further preferably 1-20 moles of %.
In shrink-down material polymer, the repetitive unit of formula (1a) and/or (1b) and the list selected from formula (2), (4) and (5) Repetitive unit in member preferably accounts at least 60 moles of % based on whole repetitive units, and reason is that this ensures to prepare with required The shrink-down material of performance.It is highly preferred that based on whole repetitive units, the repetitive unit and formula (2) of formula (1a) and/or (1b), (4) and the repetitive unit of (5) accounts at least 70 moles of %, more preferably at least 85 moles of %.
Whole Component units of shrink-down material polymer be formula (1a) and/or (1b) repetitive unit and selected from formula (2), (4) and when the repetitive unit of (5) high elching resistant and high-resolution, can get in compatible mode.In shrink-down material polymer, It can repetitive unit other than the repetitive unit of introduction-type (1a), (1b), (2), (4) and (5).For example, the acid of usable routine (methyl) acrylic ester unit of unstable radical protection and/or (methyl) propylene with adhesiveness group such as lactone structure Acid esters unit.Although other repetitive unit be it is optional, by introducing such other repetitive unit, can subtly adjust Save the characteristic of shrink-down material film.
Shrink-down material polymer preferably have 1,000-500,000, more preferably 2,000-100,000, further preferably The weight average molecular weight (Mw) on ground 2,000-20,000 is surveyed by using the GPC of tetrahydrofuran solvent relative to styrene standard specimen It is fixed.If Mw is too low, sour diffusion length may be made to extend and may make to shrink to increase and become uncontrollable.If Mw is too Height, the solubility in remover solvent may be decreased strip step at the end of dross is remained in the journey in gap Degree, leads to undercutting (footing) phenomenon.
If polymer has wide molecular weight distribution or dispersion degree (Mw/Mn), indicates lower and higher molecular weight is poly- Close the presence of object fraction, it is likely that foreign matter remains on pattern or deteriorates pattern contour.As pattern dimensions become more Finely, the influence of molecular weight and dispersion degree becomes stronger.Therefore, in order to provide suitable micro-patternization arrive small characteristic size receipts Compression material, multicomponent copolymer, that is, shrink-down material polymer should preferably have the narrow dispersion of 1.0-2.0, particularly 1.0-1.5 It spends (Mw/Mn).
The blend of ratio of components, molecular weight or the different two or more polymer of dispersion degree can be used.
Other than above-mentioned polymer, shrink-down material includes solvent, which contains the resist figure after not making development The anti-deorienting solvent that case disappears.The solvent for including in anti-deorienting solvent is ether solvents, the 6-12 carbon of 6-12 carbon atom The hydrocarbon solvent of atom, the ester solvent of 7-16 carbon atom, the ketone solvent of 8-16 carbon atom, 4-10 carbon atom alcoholic solvent, And water.As long as anti-deorienting solvent accounts for at least 50 weight % of whole solvents, contains the resist pattern after making development and disappear Other solvents lost.
Although having been presented for many water system shrink-down materials, due to the surface tension of high water, they are difficult to promptly answer For major diameter chip.Especially via minus develop the fine sectional hole patterns to be formed in the case where, lead to the problem of.Pass through spin coating When filling hole with the shrink-down material, the aqueous solvent with high surface tension prevents the shrink-down material to be embedded to bottom in hole.It is right Than under, when applying the shrink-down material dissolved in the organic solvent with the surface tension lower than water, hole is filled or is embedded to The ability of bottom is improved.And organic solvent used in shrink-down material must dissolve shrink-down material polymer.
It is excellent since they are for the high dissolving power of shrink-down material polymer as solvent used in shrink-down material Select the alcoholic solvent of the ester solvent of 7-16 carbon atom, the ketone solvent of 8-16 carbon atom and 4-10 carbon atom.
The example of the ester solvent of 7-16 carbon atom includes amyl acetate, isoamyl acetate, acetic acid 2- methylbutyl butenoate, acetic acid Own ester, acetic acid 2- ethylhexyl, cyclohexyl acetate, methyl cyclohexanol acetate, hexyl formate, ethyl valerate, propyl valerate, valeric acid Isopropyl ester, butyl valerate, isobutyl isovalerate, pentanoate, amyl valerate, isoamyl isovalerate, ethyl isovalerate, isovaleric acid third Ester, isopropyl isovalerate, butyl iso valerate, isobutyl isovalerate, isoamyl tert-butyl acrylate, iso-amyl iso-valeriate, 2 methyl valeric acid Ethyl ester, 2 methyl valeric acid butyl ester, neopentanoic acid ethyl ester, neopentanoic acid propyl ester, neopentanoic acid isopropyl ester, neopentanoic acid butyl ester, the tertiary fourth of neopentanoic acid Ester, pentenoic acid ethyl ester, amylene propyl propionate, amylene isopropyl propionate, amylene acid butyl ester, amylene tert-butyl acrylate, crotons propyl propionate, crotons Isopropyl propionate, butyl crotonate, crotons tert-butyl acrylate, butyl propionate, isobutyl propionate, propanoic acid tert-butyl ester, benzyl propionate, caproic acid Ethyl ester, allyl hexanoate, propyl butyrate, butyl butyrate, isobutyl isobutyrate (IBIB), butyric acid 3- methylbutyl butenoate, tert-butyl acetate, 2- methyl Ethyl butyrate, 2-Methyl Butyric Acid isopropyl ester, methyl benzoate, ethyl benzoate, propyl benzoate, butyl benzoate, acetic acid benzene Ester, benzyl acetate, phenyl methyl acetate, benzyl formate, formic acid phenyl chlorocarbonate, 3- phenylpropionic acid methyl ester, phenyl ethyl acetate, and Acetic acid 2- phenyl chlorocarbonate.
The example of the ketone solvent of 8-16 carbon atom includes methyln-hexyl ketone, 3- octanone, 4- octanone, methyl n-heptyl ketone, 3- nonanone, 4- nonyl Ketone, butyl ketone, diisobutyl ketone, ethyl cyclohexanone, ethyl acetophenone, ethyl normal-butyl ketone, di-n-butyl ketone, and diisobutyl Ketone.
The example of the alcoholic solvent of 4-10 carbon atom includes n-butyl alcohol, 2- butanol, isobutanol, the tert-butyl alcohol, 1- amylalcohol, 2- penta Alcohol, 3- amylalcohol, tert-pentyl alcohol, neopentyl alcohol, 2-methyl-1-butene alcohol, 3- methyl-1-butanol, 3- methyl -3- amylalcohol, cyclopentanol, 1- oneself Alcohol, 2- hexanol, 3- hexanol, 2,3- dimethyl -2- butanol, 3,3- dimethyl-1-butanol, 3,3- dimethyl -2- butanol, 2,2- bis- Ethyl-n-butyl alcohol, 2- methyl-1-pentene alcohol, 2- methyl -2- amylalcohol, 2- methyl -3- amylalcohol, 3- methyl-1-pentene alcohol, 3- methyl -2- Amylalcohol, 3- methyl -3- amylalcohol, 4- methyl-1-pentene alcohol, 4- methyl -2- amylalcohol, 4- methyl -3- amylalcohol, cyclohexanol, and 1- octanol. These solvents can be used alone or be used in mixed way.
Shrink-down material and resist pattern are mutually mixed in order to prevent, can be by C8-C12Ether, C6-C12Alkane, alkene, alkynes Any solvent in hydrocarbon and arsol is mixed with anti-deorienting solvent.
The ether compound of 8-12 suitable carbon atom includes di-n-butyl ether, and diisobutyl ether, di-sec-butyl ether, two just Amyl ether, diisoamyl ether, di-sec-amyl ether, two tert-amyl ethers, and di-n-hexyl ether.The alkane of 6-12 suitable carbon atom Including hexane, heptane, octane, nonane, decane, hendecane, dodecane, methyl cyclopentane, dimethylcyclopentane, hexamethylene, first Butylcyclohexane, dimethyl cyclohexane, cycloheptane, cyclooctane, and cyclononane.The alkene of 6-12 suitable carbon atom includes for oneself Alkene, heptene, octene, cyclohexene, methylcyclohexene, dimethylcyclohexenes, cycloheptene, and cyclo-octene.6-12 suitable carbon is former The alkynes of son includes hexin, heptyne, and octyne.Suitable arsol includes toluene, dimethylbenzene, ethylo benzene, cumene, uncle Butyl benzene, 1,3,5- trimethylbenzene and anisole.These solvents can be used alone or as a mixture.
In addition to anti-deorienting solvent, shrink-down material contains other solvent.The other solvent is selected from methyln-hexyl ketone, 2- nonyl Ketone, 2-HEPTANONE, 3- heptanone, 4- heptanone, methyl-n-butyl ketone, 3- hexanone, diisobutyl ketone, methyl cyclohexanone, acetophenone, methylbenzene second Ketone, propyl acetate, butyl acetate, isobutyl acetate, amyl acetate, isoamyl acetate, acetic acid butene esters, propyl formate, formic acid Butyl ester, iso-butyl formate, amyl formate, isoamyl formate, methyl valerate, amylene-4 acid methyl ester, ethyl crotonate, crotonic acid second Ester, methyl propionate, ethyl propionate, 3- ethoxyl ethyl propionate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, cream Sour isobutyl ester, amyl lactate, isoamyl lactate, 2- hydroxy-methyl isobutyl acid, 2- ethyl butyrate of hydroxyl iso, methyl benzoate, benzene Ethyl formate, phenylacetate, benzyl acetate, phenyl methyl acetate, benzyl formate, formic acid phenyl chlorocarbonate, 3- phenylpropionic acid methyl ester, Benzyl propionate, phenyl ethyl acetate, and acetic acid 2- phenyl chlorocarbonate.If used, it is preferred that be less than 50 weight % of whole solvents Amount mix the other solvent.
In shrink-down material, relative to the polymer of 100 parts by weight, preferably with 100-100,000 parts by weight, more preferably The amount of 200-50,000 parts by weight uses solvent.
Salt, alkali compounds and surfactant can be added into shrink-down material if necessary.The salt that can be added is typical Ground is selected from the sulfonium salt and salt compounded of iodine that are typically added in anti-corrosion agent composition and ammonium salt.The alkali compounds that can be added It those of can be selected from being typically added in anti-corrosion agent composition alkali compounds, for example, primary, secondary and tertiary aliphatic amine, mixed amine, Aromatic amine, heterocyclic amine, the nitrogenous compound with carboxyl, the nitrogenous compound with sulfonyl, the nitrogenous chemical combination with hydroxyl Object, the nitrogenous compound with hydroxy phenyl, alcohol type nitrogenous compound, amide derivatives, imide derivative and carbamic acid Ester (salt).The addition of salt or alkali compounds is for inhibiting acid from the excess diffusion in resist film and for controlling shrinkage Effectively.The surfactant that can be added those of can be selected from being typically added in anti-corrosion agent composition surfactant.
As salt, preferably with the carboxylate of formula (9).
R11-CO2 -M+ (9)
Wherein R11For straight chain, branching or ring-type C1-C20Alkyl, straight chain, branching or ring-type C2-C20Alkenyl or C6-C20One Valence group containing aromatic ring, wherein some or all hydrogen atoms of bond with carbon can by fluorine, the group containing lactonic ring, containing the base of lactam nucleus Group or hydroxyl group replace, and wherein ether, ester or carbonyl group can be between carbon-to-carbon alkali.M+For sulfonium, iodine or ammonium sun from Son.
Alkyl, the example of alkenyl and the monovalence group containing aromatic ring are as previously described.
Preferred sulfonium, iodine and ammonium cation have following formula (P1)-(P3).There is the carboxylic of such cation by adding Hydrochlorate can efficiently control sour diffusion.
Wherein R101-R109It is each independently straight chain, branching or cricoid C1-C12Alkyl or oxoalkyl group, straight chain, branching Or cricoid C2-C12Alkenyl or oxo alkenyl, C6-C20Monovalence group containing aromatic ring, or C7-C12Aralkyl or aryl oxolanyl alkane Base, some or all of them hydrogen atom can be replaced by halogen, alkyl, alkoxy etc..R101And R102A pair or R106And R107 A pair can bond together with them ining conjunction with sulphur or nitrogen-atoms formation ring.When they form ring, they are formed together C1-C10 Alkylidene or arlydene and the ring can contain ether, ester, sultone or amino group wherein.
Alkyl, alkenyl and monovalence group containing aromatic ring are as previously described.Suitable oxoalkyl group and oxo alkenyl includes wherein oxygen For the above-mentioned alkyl and alkenyl of group and carbon atom bonding.Suitable aralkyl includes benzyl, 1- phenylethyl and 2- phenyl Ethyl.Suitable aryl oxoalkyl group is 2- aryl -2- oxoethyl, including 2- phenyl -2- oxoethyl, 2- (1- naphthalene) - 2- oxoethyl and 2- (2- naphthalene) -2- oxoethyl.
The preferred example of the anion of above-mentioned carboxylate includes carboxylate anion described in JP 3991462 and following Those of shown, but it is not limited to this.
The preferred example of the cation of above-mentioned carboxylate includes those shown below, and but it is not limited to this.
Sulfonate with formula (10) is it is also preferred that as the salt.
R12-SO3 -M+ (10)
Wherein M+As defined above.R12For straight chain, branching or the cricoid C containing oxygen atom1-C35Monovalent hydrocarbon, wherein Some or all hydrogen atoms of bond with carbon can be replaced by fluorine, and condition is the hydrogen atom of the carbon atom bonding relative to sulfonic acid and alpha-position Do not replaced by fluorine.
Those of (10') in sulfonate with formula (10), preferably there is formula.
Wherein M+As defined above, R110And R111Hydrogen or trifluoromethyl and l are each independently as the integer of 1-3.
In shrink-down material, relative to the polymer of 100 parts by weight, preferably with 0-50 parts by weight, more preferably 0.1-20 weight The amount for measuring part uses the salt.
The alkali compounds of illustration includes primary, secondary and tertiary amine compounds, especially has hydroxyl, ether, ester group, lactone The amine compounds of base, cyano or sulfonate group, such as JP-A 2008-111103, paragraph [0146]-[0164] (USP 7,537, 880) described in, and the compound with carbamate groups, as described in JP 3790649.Wherein, preferred tertiary amine compound, Amine compounds especially with hydroxyl, ether, ester group or lactonic ring, and the compound with carbamate groups.
In shrink-down material, relative to the polymer of 100 parts by weight, preferably with 0-30 parts by weight, more preferably 0.1-20 weight The amount for measuring part uses the alkali compounds.
Those of suitable surfactant includes JP-A 2008-111103, recorded in paragraph [0165]-[0166].Phase For the shrink-down material polymer of 100 parts by weight, table is preferably used with 0-10 parts by weight, the amount of more preferably 0.1-5 parts by weight Face activating agent.
Anti-corrosion agent composition
Anti-corrosion agent composition used in pattern forming method of the invention be defined as include base resin, acid agent (or Person can generate the compound of acid in response to high-energy irradiation) and organic solvent.Optionally, anti-corrosion agent composition further includes alkalization Close object, dissolution regulator, surfactant, acetylene alcohol and other additives.
Base resin used herein is defined as comprising having the carboxyl of acid-unstable group substitution, preferably by formula (11) repetitive unit (a) indicated.
Wherein R21For hydrogen or methyl.R22For acid-unstable group.Z is singly-bound or-C (=O)-O-R23, wherein R23For Straight chain, branching or cricoid C1-C10Alkylidene, wherein ehter bond or ester bond can be between carbon-to-carbons or naphthylene.
Suitable alkylidene is as illustrated above.Suitable acid-unstable group is JP-A 2014-088557, paragraph Those of [0039]-[0044] recorded in (USP 9,017,918).
In order to improve substrate adherence to prevent pattern collapse, base resin can also be selected from hydroxyl, lactonic ring comprising having The repetitive unit (b) of adhesiveness group in group, ether, ester group, carbonyl and cyano.Base resin can also comprising from Indenes, acenaphthylene, chromone, cumarin and Norbornadiene derivatives repetitive unit (c) (such as JP-A 2012-037867, paragraph [0085] described in);From the repetition of styrene, vinyl naphthalene, vinyl anthracene, vinylpyrene and methylene indan derivative Unit (d) (as described in paragraph [0088]);And/or from functioning with polymerism alkene and as acid agent The repetitive unit (e) of salt (as described in paragraph [0089]-[0091]).
In base resin, based on whole repetitive units, rubbed with being greater than 0 mole of % and 100 mole of % or less, preferably 1 The amount of your more than and less than 100 moles % of %, more preferably 20-90 moles of % introduces repetitive unit (a).List is repeated based on whole Member introduces repetitive unit (b) with the amount of more than and less than 100 moles % of %, preferably 10-80 moles of 0 mole of %.Repetitive unit (a) and the total of (b) is preferably 30-100 moles of %.When introducing repetitive unit (c)-(e), it is preferable that with 0-40 moles of %'s Amount introduces repetitive unit (c), introduces repetitive unit (d) with the amount of 0-20 moles of %, and it is single to introduce repetition with the amount of 0-30 moles of % First (e), and repetitive unit (c)-(e) adds up to 0-70 moles of %.
Base resin should preferably have the Mw in the range of 1,000-500,000, more preferably 2,000-100,000, It is measured relative to polystyrene standards by GPC.If Mw is too low, the diffusion length of the acid generated by acid agent may be made to extend To incur the reduction of resolution ratio.If Mw is too high, the solubility of polymer in a developer may be made to reduce to incur resolution ratio Reduction.
If polymer has wide molecular weight distribution or dispersion degree (Mw/Mn), lower and higher molecular weight is indicated The presence of polymer fractions, it is likely that foreign matter remains on pattern or deteriorates pattern contour.As pattern dimensions become Finer, the influence of molecular weight and dispersion degree becomes stronger.Therefore, small characteristic size is arrived in order to provide suitable micro-patternization Anti-corrosion agent composition, base resin should preferably have the narrow dispersion degree (Mw/Mn) of 1.0-2.0, special 1.0-1.5.
The blend of ratio of components, molecular weight or the different two or more polymer of dispersion degree can be used as base resin.
Anti-corrosion agent composition contains acid agent so that it can be used as chemical amplification positive anti-corrosion agent composition functions. Acid agent is typically the compound that acid can be generated in response to actinic light or irradiation for being known as photo-acid generator (PAG).Relatively In the base resin of 100 parts by weight, the suitable amount of the PAG used is 0.5-30 parts by weight, more preferably 1-20 parts by weight.PAG For any compound that can generate acid when being exposed to high-energy irradiation.Suitable PAG includes sulfonium salt, salt compounded of iodine, sulphonyl base weight N-formyl sarcolysine alkane, N- sulfonyloxy imides and oxime-O- sulphonic acid ester acid agent.Acid agent can be used alone or two or more mixing It uses.Example by the PAG acid generated is sulfonic acid, imidic acid and methylates sour (methide acids).In these, most commonly Using in alpha-position by the sulfonic acid of fluoro.It is not total in the fluorination of alpha-position when acid-unstable group is the acetal radical for being easy to be deprotected It is necessary.When base resin has the repetitive unit for the acid agent being wherein copolymerized, acid agent need not be added individually.
The example of organic solvent as used herein includes ketone such as cyclohexanone and methyl -2- n-amyl ketone;Alcohol such as 3- first Oxygroup butanol, 3- methyl -3- methoxybutanol, 1- methoxy-2-propanol, and 1- ethyoxyl -2- propyl alcohol;Ether such as propylene glycol list Methyl ether, ethylene glycol single methyl ether, propylene glycol monoethyl, ethylene glycol monomethyl ether, propylene glycol dimethyl ether, and diethylene glycol (DEG) two Methyl ether;Ester such as propylene glycol monomethyl ether (PGMEA), propylene glycol monoethyl acetic acid esters, ethyl lactate, pyruvic acid Ethyl ester, butyl acetate, 3- methoxy methyl propionate, 3- ethoxyl ethyl propionate, tert-butyl acetate, propanoic acid tert-butyl ester, and the third two Alcohol list tertbutyl ether acetic acid esters;With lactone such as gamma-butyrolacton, and their mixture.It is unstable using the acid of acetal form When group, high-boiling point alcohol solvent such as diethylene glycol (DEG) can be added, propylene glycol, glycerol, 1,4-butanediol or 1,3-BDO are to accelerate The deprotection reaction of acetal.
Relative to the base resin of 100 parts by weight, the suitable amount of organic solvent is 100-10,000 parts by weight, preferably 300-8,000 parts by weight.
The alkali compounds of illustration includes primary, secondary and tertiary amine compounds, especially has hydroxyl, ether, ester group, lactone The amine compounds of base, cyano or sulfonate group described in paragraph [0146]-[0164], and have such as JP-A 2008-111103 The compound of carbamate groups, as described in JP 3790649.Moreover, salt such as sulfonium salt, salt compounded of iodine and not having in alpha-position By the salt of ammonium salt (being recorded in US 2008153030 (JP-A 2008-158339)) and similar carboxylic acid of the sulfonic acid of fluoro (being recorded in JP 3991462 and JP 4226803) can be used as quencher.They may be added in shrink-down material.
When acid-unstable group is the acetal radical very sensitive for acid, the acid for eliminating protecting group may not be in alpha-position Sulfonic acid, imidic acid or the methylation acid being fluorinated.Even if being used in the sulfonic acid that alpha-position is not fluorinated, deprotection reaction under some cases It can also occur.Since the salt of sulfonic acid in this case cannot act as quencher, the preferred salt that imidic acid is used alone.
Relative to the base resin of 100 parts by weight, the suitable amount of alkali compounds is 0.0001-30 parts by weight, preferably 0.001-20 parts by weight.
The surfactant of illustration is recorded in JP-A 2008-111103, in paragraph [0165]-[0166].The dissolution of illustration Regulator is recorded in JP-A 2008-122932 (US 2008090172), in paragraph [0155]-[0178], the acetylene alcohol of illustration It is recorded in paragraph [0179]-[0182].Depending on their adding purpose, surface-active can be used with arbitrary suitable amount Agent, dissolution regulator and acetylene alcohol.
The water repellency on surface in order to improve the resist film of rotary coating, can also add polymeric additive.In nothing Water repellency modifier can be used in finishing coat immersion lithography process.Water repellency modifier, which has, has 1,1,1,3,3,3- hexafluoro- It the specific structure of 2- propyl alcohol residue and is recorded in JP-A 2007-297590 and JP-A 2008-111103.It is added to against corrosion Water repellency modifier in agent composition should be solvable in the organic solvent as developer.With 1,1,1,3,3,3- hexafluoro- The water repellency modifier of the specific structure of 2- propyl alcohol residue can be well dissolved in developer.With what is be copolymerized as repetitive unit The polymer of amine salt or amino can be used as water repellency additive and for preventing the evaporation of acid during PEB and avoiding developing It is effective that any sectional hole patterns opening is bad afterwards.Relative to the base resin of 100 parts by weight, the suitable amount of water repellency modifier is 0.1-20 parts by weight, preferably 0.5-10 parts by weight.
Pattern forming method
Another embodiment is pattern forming method comprising following steps: anti-corrosion agent composition is applied on substrate, Prebake is to form resist film;The resist film is exposed to high-energy irradiation, which is baked;By the exposed resist Film is developed to negative resist pattern in organic solvent system developer;Shrink-down material is applied to the negative resist figure In case, bake;Excessive shrink-down material is removed with organic solvent.
(A)-Fig. 1 (F) referring to Fig.1 is illustrated pattern shrinkage method of the invention.Firstly, as shown in Fig. 1 (A), By on processability substrate 20 that chemical amplification positive anti-corrosion agent composition is applied on substrate 10 to be formed on resist Film 30.If desired, hard mask layer (not shown) can be between resist film 30 and processability substrate 20.Pass through the skill of standard Art is exposed (Fig. 1 (B)), PEB and organic solvent to resist film 30 and is developed to negative resist pattern 30a (Fig. 1 (C)).Shrink-down material 40 is applied on negative resist pattern 30a to cover pattern, as shown in Fig. 1 (D).It will shrink Material coating bakes, and heat, which plays a role, in this process removes and make sour from resist pattern 30a diffusion to evaporate solvent Into shrink-down material coating 40.Under the action of an acid, the polymer in shrink-down material coating undergoes deprotection reaction.Then, it applies Solubilizer is remained in shrink-down material film on resist pattern 30a with removing excessive shrink-down material 40.This means that making to resist Erosion agent pattern 30a thickens, that is, the shrinkage in width for making the gap in resist pattern, as shown in Fig. 1 (E).Use the figure of contraction Case carries out dry ecthing as mask, to processability substrate 20, as shown in Fig. 1 (F).
Substrate 10 used herein is usually silicon substrate.Processability substrate (or target film) 20 used herein includes SiO2, SiN, SiON, SiOC, p-Si, α-Si, TiN, WSi, BPSG, SOG, Cr, CrO, CrON, MoSi, low dielectric film, and erosion Carve block film.Hard mask can be SiO2, SiN, SiON or p-Si.Sometimes, can replace hard mask and be arranged carbon film or it is siliceous in Between film form priming coat, and organic anti-reflective coating can be inserted between hard mask and resist film.
As described above directly or is formed on the processability substrate (20) on substrate (10) via interposer chemistry increase When resist film (30) of width type eurymeric anti-corrosion agent composition, resist film preferably has 10-1,000nm and more preferably 20- The thickness of 500nm.Before exposure, preferably 50-180 DEG C at a temperature of by resist film heating or prebake 10-300 seconds, especially Be 60-150 DEG C at a temperature of by resist film heating or prebake 15-200 seconds.
Next, resist film is exposed to wavelength 400nm high-energy irradiation below or EB.High-energy irradiation is typically wave The i line of long 364nm, the KrF excimer laser of wavelength 248nm, the ArF excimer laser of wavelength 193nm or wavelength 13.5nm EUV.Most preferably ArF 193-nm photoetching process.Water can be exposed or passed through in dry atmosphere such as air or nitrogen stream Middle immersion lithography process is exposed.ArF immersion lithography process using deionized water or at least 1 refractive index and to exposure The liquid of optical wavelength highly transparent such as alkane is as submergence solvent.In immersion lithography process, by projecting lens to prebake Resist film exposure, pure water or other liquid are introduced between resist film and projecting lens.Since this can make lens design For 1.0 or higher NA, therefore can be formed compared with fine-feature size pattern.Immersion lithography process is important for ArF photoetching process To reach finer node.In the case where immersion lithography process, deionized water flushing (or rear immersion) can be carried out after exposure Remain in water droplet on resist film to remove, or protective film can be applied to after prebake on resist film with prevent from Any leaching of resist film and improve the water slide in film surface.Resist-protecting membrane used in immersion lithography process It is preferred that by it is insoluble in water but in alkaline developer the soluble polymer with 1,1,1,3,3,3- hexafluoro -2- propyl alcohol residue Solution in the solvent in the ether and their mixture of alcohol, 8-12 carbon atom selected from 4-10 carbon atom is formed.Shape After resist film, deionized water flushing (or then impregnate) can be carried out acid agent etc. is extracted or be removed from film surface (or rear immersion) after grain, or exposure, can be rinsed to remove with the water droplet that will remain on resist film.
It is preferred that with about 1-200mJ/cm2, more preferably about 10-100mJ/cm2Exposure dose be exposed.Then in 50- (PEB) is baked at 150 DEG C on hot plate -5 minutes 30 seconds, is baked -3 minutes 30 seconds preferably at 60-120 DEG C.
Then using any routine techniques for example impregnates, sheathed submergence (puddle) and spraying technique are with by organic solvent group At developer to exposed resist film carry out development 0.1-3 minutes, preferably 0.5-2 minutes.In this way, in base Negative resist pattern is formed on material.Organic solvent as developer is preferably chosen from methyln-hexyl ketone, methyl n-heptyl ketone, 2-HEPTANONE, 3- Heptanone, 4- heptanone, methyl-n-butyl ketone, 3- hexanone, diisobutyl ketone, methyl cyclohexanone, acetophenone, methyl acetophenone, propyl acetate, vinegar Acid butyl ester, isobutyl acetate, amyl acetate, acetic acid butene esters, isoamyl acetate, propyl formate, butyl formate, isobutyl formate Ester, amyl formate, isoamyl formate, methyl valerate, amylene-4 acid methyl ester, ethyl crotonate, ethyl crotonate, methyl propionate, third Acetoacetic ester, 3- ethoxyl ethyl propionate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, lactic acid penta Ester, isoamyl lactate, 2- hydroxy-methyl isobutyl acid, 2- ethyl butyrate of hydroxyl iso, methyl benzoate, ethyl benzoate, acetic acid benzene Ester, benzyl acetate, phenyl methyl acetate, benzyl formate, formic acid phenyl chlorocarbonate, 3- phenylpropionic acid methyl ester, benzyl propionate, phenyl vinegar Acetoacetic ester, and acetic acid 2- phenyl chlorocarbonate, and their mixture.
Development at the end of, resist film can be rinsed.It is preferably miscible with developer and not as flushing liquor Dissolve the solvent of resist film.Suitable solvent includes the alcohol of 3-10 carbon atom, the ether compound of 8-12 carbon atom, 6-12 Alkane, alkene and the alkynes and arsol of a carbon atom.Particularly, the alcohol of 3-10 suitable carbon atom includes positive third Alcohol, isopropanol, n-butyl alcohol, 2- butanol, isobutanol, the tert-butyl alcohol, 1- amylalcohol, 2- amylalcohol, 3- amylalcohol, tert-pentyl alcohol, neopentyl alcohol, 2- first Base-n-butyl alcohol, 3- methyl-1-butanol, 3- methyl -3- amylalcohol, cyclopentanol, 1- hexanol, 2- hexanol, 3- hexanol, 2,3- dimethyl - 2- butanol, 3,3- dimethyl-1-butanol, 3,3- dimethyl -2- butanol, 2- ethyl-n-butyl alcohol, 2- methyl-1-pentene alcohol, 2- first Base -2- amylalcohol, 2- methyl -3- amylalcohol, 3- methyl-1-pentene alcohol, 3- methyl -2- amylalcohol, 3- methyl -3- amylalcohol, 4- methyl-1-pentene Alcohol, 4- methyl -2- amylalcohol, 4- methyl -3- amylalcohol, cyclohexanol, and 1- octanol.The alkane of 6-12 suitable carbon atom includes for oneself Alkane, heptane, octane, nonane, decane, hendecane, dodecane, methyl cyclopentane, dimethylcyclopentane, hexamethylene, methyl cyclohexane Alkane, dimethyl cyclohexane, cycloheptane, cyclooctane, and cyclononane.The alkene of 6-12 suitable carbon atom includes hexene, heptene, Octene, cyclohexene, methylcyclohexene, dimethylcyclohexenes, cycloheptene, and cyclo-octene.The alkynes of 6-12 suitable carbon atom Including hexin, heptyne, and octyne.The ether compound of 8-12 suitable carbon atom includes di-n-butyl ether, diisobutyl ether, and two Sec-butyl ether, two n-pentyl ethers, diisoamyl ether, di-sec-amyl ether, two tert-amyl ethers, and di-n-hexyl ether.Suitable aromatics Solvent includes toluene, dimethylbenzene, ethylo benzene, cumene, tert-butyl benzene, and 1,3,5- trimethylbenzene.These solvents can be independent Or it is used in mixed way.It, can be dry by substrate by rotarily drying and baking after applying flushing liquor.But flushing is not essential. As long as including the steps that substrate being rotarily dried after applying it in developer, can omit rinsing step.
After development, shrink-down material of the invention is applied in resist pattern to form shrink-down material coating, preferably Thickness with 1-150nm, more preferably 30-80nm.40-180 DEG C at a temperature of by the shrink-down material coating bake 5-300 Second.It bakes for solvent to be evaporated removing and induces the sour elimination reaction for being diffused into shrink-down material and acid auxiliary from resist film With the generation alkene in shrink-down material coating or the structure of crosslinking to induce change in polarity, so that the shrink-down material coating exists It is insoluble in organic solvent.
Finally, excessive shrink-down material is removed, it is preferred to use organic solvent.It can be as used herein suitable organic Solvent includes propyl acetate, butyl acetate, isobutyl acetate, acetic acid butene esters, amyl acetate, isoamyl acetate, acetic acid 2- first Base butyl ester, capryl acetate, acetic acid 2- ethylhexyl, cyclohexyl acetate, methyl cyclohexanol acetate, propyl formate, butyl formate, first Sour isobutyl ester, amyl formate, isoamyl formate, hexyl formate, methyl valerate, ethyl valerate, propyl valerate, isopropyl isovalerate, Butyl valerate, isobutyl isovalerate, pentanoate, amyl valerate, isoamyl isovalerate, ethyl isovalerate, propyl isovalerate, isoamyl Isopropyl propionate, butyl iso valerate, isobutyl isovalerate, isoamyl tert-butyl acrylate, iso-amyl iso-valeriate, 2 methyl valeric acid ethyl ester, 2- Methylvaleric acid butyl ester, ethyl crotonate, ethyl crotonate, crotons propyl propionate, crotons isopropyl propionate, butyl crotonate, crotonic acid The tert-butyl ester, methyl propionate, ethyl propionate, amylene-4 acid methyl ester, pentenoic acid ethyl ester, amylene propyl propionate, amylene isopropyl propionate, penetenoic acid Butyl ester, amylene tert-butyl acrylate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, amyl lactate, cream Isoamyl valerate, 2- hydroxy-methyl isobutyl acid, 2- ethyl butyrate of hydroxyl iso, neopentanoic acid ethyl ester, neopentanoic acid propyl ester, neopentanoic acid isopropyl Ester, neopentanoic acid butyl ester, the neopentanoic acid tert-butyl ester, butyl propionate, isobutyl propionate, propanoic acid tert-butyl ester, benzyl propionate, 3- ethoxy-c Acetoacetic ester, ethyl hexanoate, allyl hexanoate, propyl butyrate, butyl butyrate, isobutyl isobutyrate (IBIB), butyric acid 3- methylbutyl butenoate, butyric acid uncle Butyl ester, ethyl 2-methylbutyrate, 2-Methyl Butyric Acid isopropyl ester, methyl benzoate, ethyl benzoate, propyl benzoate, benzoic acid Butyl ester, phenylacetate, benzyl acetate, phenyl methyl acetate, benzyl formate, formic acid phenyl chlorocarbonate, 3- phenylpropionic acid methyl ester, phenyl Ethyl acetate, acetic acid 2- phenyl chlorocarbonate, 2-HEPTANONE, 3- heptanone, 4- heptanone, methyl-n-butyl ketone, 3- hexanone, methyln-hexyl ketone, 3- octanone, 4- are pungent Ketone, methyl n-heptyl ketone, 3- nonanone, 4- nonanone, butyl ketone, methyl cyclohexanone, ethyl acetophenone, acetophenone, methyl acetophenone, ethylo benzene Ethyl ketone, ethyl normal-butyl ketone, di-n-butyl ketone, diisobutyl ketone, n-butyl alcohol, 2- butanol, isobutanol, the tert-butyl alcohol, 1- amylalcohol, 2- Amylalcohol, 3- amylalcohol, tert-pentyl alcohol, neopentyl alcohol, 2-methyl-1-butene alcohol, 3- methyl-1-butanol, 3- methyl -3- amylalcohol, cyclopentanol, 1- Hexanol, 2- hexanol, 3- hexanol, 2,3- dimethyl -2- butanol, 3,3- dimethyl-1-butanol, 3,3- dimethyl -2- butanol, 2,2- Diethyl-n-butyl alcohol, 2- methyl-1-pentene alcohol, 2- methyl -2- amylalcohol, 2- methyl -3- amylalcohol, 3- methyl-1-pentene alcohol, 3- methyl - 2- amylalcohol, 3- methyl -3- amylalcohol, 4- methyl-1-pentene alcohol, 4- methyl -2- amylalcohol, 4- methyl -3- amylalcohol, cyclohexanol, and 1- are pungent Alcohol.
The organic solvent of removing for shrink-down material can be identical as the organic solvent of developer is used as.This means that energy The development of resist film and the removing of shrink-down material are enough carried out using identical organic solvent.Advantageously, the nozzle needed is One.
It is applied over when developing the minus style to be formed (negative tone) resist pattern via organic solvent, uses The pattern forming method of shrink-down material successfully makes the hole of minus style resist pattern and/or the ruler of slit in a controlled manner Very little reduction.
Embodiment
The embodiment of the present invention is provided by limitation below by way of illustrating." pbw " is abridged as parts by weight.For whole Polymer determines Mw and Mn relative to polystyrene standards by GPC.
[1] synthesis of polymer
Synthesis example 1
The synthesis of polymer 1
In nitrogen atmosphere, by the 4- acetoxy-styrene of 37.43g, the acenaphthylene of 5.85g, 21.72g 4- (1- hydroxyl- 1- cyclopropyl) styrene, 7.08g dimethyl 2,2'- azo two (2 Methylpropionic acid ester) (V-601, Wako Pure Chemical Industries, Ltd.) and the methyl ethyl ketone as solvent of 60g be fitted into 200-mL dropping liquid graduated cylinder with shape At monomer solution.It is packed into the methyl ethyl ketone of 48g in 500-mL flask in nitrogen atmosphere, it is heated at 80 DEG C.It is stirring It mixes down, lasts 4 hours and the monomer solution is added drop-wise in the flask.After being added dropwise to complete, which is continuously stirred 18 Hour, while its temperature being maintained at 80 DEG C.The polymeric solution is cooled to room temperature, is added dropwise to 1,000g oneself at this time In alkane.The copolymer of precipitating is collected by filtration and is cleaned twice with the hexane of 200g.It should in the 1-L flask of nitrogen atmosphere Copolymer is dissolved in the mixture of the tetrahydrofuran of 126g and the methanol of 42g, and it is molten that the ethanol amine of 16.9g is added to this In liquid, it is stirred 3 hours at 60 DEG C.The reaction solution is concentrated under reduced pressure.Concentrate is dissolved in the acetic acid of 300g In the mixture of the water of ethyl ester and 80g.The solution is transferred in separatory funnel, and the acetic acid of 8.5g is added to wherein, Then lock out operation is carried out.Lower layer is removed.The water of 80g and the pyridine of 11.3g are added into organic layer, then carry out separation behaviour Make.Lower layer is removed.The water that 80g is added into organic layer, then carries out washing and lock out operation.It will washing and lock out operation weight It is 5 times multiple.Organic layer after separation is concentrated and is dissolved in the acetone of 140g, the acetone soln is added drop-wise to 2,500g at this time Water in.The sediment of crystallization is filtered, is washed with water, and suction strainer 2 hours.Filter cake is dissolved in the acetone of 150g again In, the acetone soln is added drop-wise in the water of 2,800g at this time.The sediment of crystallization is filtered, is washed with water and dries, obtain 45.0g white polymer.Pass through13C-NMR、1H-NMR spectral method and GPC analyze the polymer, as a result following institute Show.
Hydroxy styrenes: acenaphthylene: 4- (1- hydroxyl -1- cyclopropyl) styrene=60.0:10.0:30.0
Mw=4,000
Mw/Mn=1.66
Synthesis example 2-22 and compare synthesis example 1-3
The synthesis of polymer 2-22 and comparative polymers 1-3
Other than changing the type and amount of monomer, polymerizeing in table 1 is prepared using with identical program in synthesis example 1 Object.Table 1 shows the ratio (molar ratio) of the unit introduced in polymer.Table 2-5 shows the structure of repetitive unit.
Table 1
Table 2
Table 3
Table 4
Table 5
Synthesis example 23,24
The synthesis of imaging polymers 1 and water repellency polymer 1
By being crystallized in tetrahydrofuran solvent by suitable monomer combination, implementation copolyreaction, from methanol, repeating to use Hexane cleaning, separation and drying, thus synthetic polymer.Random copolymer has been obtained, imaging polymers 1 and water repellent are labeled as Property polymer 1.Pass through1H-NMR spectral method and GPC analyze polymer.Below with their analysis data to polymer Identified.
Imaging polymers 1
Mw=7,500
Mw/Mn=1.61
Water repellency polymer 1
Mw=7,800
Mw/Mn=1.55
[2] preparation of shrink-down material
Embodiment 1-42 and comparative example 1-6
By according to the formula of table 6 and 7 by the polymer synthesized above (polymer 1-22 or comparative polymers 1-3), Salt, alkali compounds and solvent mixing, pass through the aperture with 0.2 μmFilter filtering, to prepare receipts Compression material.Component shown in table 6 and 7 described below.
Table 6
Table 7
[3] preparation of anti-corrosion agent composition
By according to the formula of table 8 by polymer (imaging polymers 1), acid agent, quencher and water repellency polymer It is dissolved in solvent, adds the surfactant FC-4430 (3M) of 100ppm thereto, and pass through the aperture with 0.2 μm Filter filtering, to prepare the anti-corrosion agent composition of solution form.In table 8, PGMEA is propylene glycol monomethyl ether Ester, PAG1 are as follows.
Table 8
[4] the photolithographic patterning experiment of ArF
It is on silicon wafer, spin coating type (spin-on) carbon film ODL-101 (Shin-Etsu Chemical Co., Ltd.) is heavy Product to 180nm thickness and siliceous spin coating type hard mask SHB-A940 deposits to the thickness of 40nm on it.It is used at this On the substrate of three layer process, then the anti-corrosion agent composition rotary coating in table 8 bakes 60 seconds on hot plate at 100 DEG C To form the resist film of 90nm thickness.Use ArF excimer laser immersion lithography process scanner NSR-610C (Nikon Corp., 1.30 NA, σ 0.90/0.70, ring illumination), pass through 6% half-tone phase shift mask while changing exposure dose Resist film is exposed.After exposure, resist film is baked into (PEB) 60 seconds at 90 DEG C and is revolved in n-butyl acetate It covers immersion and develops 30 seconds to form the sectional hole patterns with the pore size of 50nm and the spacing of 150nm.
Shrink-down material shown in table 6 and 7 is applied in the resist pattern after development to cover the pattern.In table Shrink-down material coating is baked 60 seconds at a temperature of shown in 9 and 10.Then the sheathed immersion development in 4- methyl -2- amylalcohol 10 seconds to remove excessive shrink-down material.After development and after shrink process, all CD-SEM (CG-4000, by Hitachi, Ltd. manufacture) under observe pattern to measure spacing as the size in the hole of 150nm.It shows the result in table 9 and 10.
Table 9
Table 10
Although the present invention is illustrated and has been illustrated in a typical implementation, be not intended to be limited to shown in In details, reason is to be able to carry out various modifications and substitution in the case where absolutely without being detached from purport of the invention.In this way, To those skilled in the art, only use conventional experimental method can be obtained by it is disclosed herein of the invention into one The deformation of step and equivalent composition, all such deformations and equivalent composition are considered in purport of the invention and by following In the range of claim limits.
Japanese patent application No.2014-248080 and 2015-077690 are incorporated herein by quoting.
Although some preferred embodiments are illustrated, many deformations can be carried out to it according to the above instruction And change.It can therefore be understood that this hair can be implemented other than illustrating without departing from the scope of the appended claims It is bright.

Claims (16)

1. shrink-down material, including polymer and solvent, which contains the anti-disappearance that the resist pattern after not making development disappears Property solvent,
The polymer includes the repetitive unit selected from least one of the unit with formula (1a) and (1b):
Wherein A is singly-bound or can be in C of the midway of chain containing ether oxygen atom1-C10Alkylidene,
R1For hydrogen, fluorine, methyl or trifluoromethyl,
R2It is each independently hydrogen, halogen, optional halogen replaces, straight chain, branching or cricoid C2-C8Acyloxy, optional halogen Replace, straight chain, branching or cricoid C1-C6Alkyl, or optionally halogen replace, straight chain, branching or cricoid C1-C6Alkane Oxygroup,
L is hydrogen, straight chain, branching or it is cricoid, ether oxygen atom, carbonyl moieties or carbonyloxy group structure can be contained in the midway of chain Partial C1-C10Monovalent aliphatic alkyl, or optionally replace, group of the monovalence containing aromatic ring,
Z and carbon atom bonding are to form C5-C15Alicyclic group,
RxAnd RyIt is each independently hydrogen or straight chain, branching or the cricoid C that can be replaced by hydroxyl or alkoxy moieties1- C15Alkyl, RxAnd RyAt least one be ring-type C5-C15Alkyl,
F is the integer of 1-3, and s is the integer of 0-2, and a is equal to (5+2s-f) and m is 0 or 1,
The shrink-down material also includes the salt with formula (9):
R11-CO2 -M+ (9)
Wherein R11For straight chain, branching or cricoid C1-C20Alkyl, straight chain, branching or cricoid C2-C20Alkenyl or C6-C20One Group of the valence containing aromatic ring, wherein some or all hydrogen atoms of bond with carbon can by fluorine, the structure division containing lactonic ring, contain lactams The structure division or hydroxyl moiety of ring replace, and wherein ether structure part, ester structure part or carbonyl moieties can be situated between Between carbon-carbon bond and M+For sulfonium, iodine or ammonium cation.
2. the shrink-down material of claim 1, wherein the polymer also includes the repetitive unit with formula (2):
Wherein B is singly-bound or can be in C of the midway of chain containing ether oxygen atom1-C10Alkylidene,
R1As defined above,
R3It is each independently hydrogen, halogen, optional halogen replaces, straight chain, branching or cricoid, C2-C8Acyloxy, optional halogen Element replaces, straight chain, branching or cricoid, C1-C6Alkyl, or optionally halogen replace, straight chain, branching or cricoid, C1- C6Alkoxy,
G is the integer of 0-3, and t is the integer of 0-2, and b is equal to (5+2t-g) and n is 0 or 1.
3. the shrink-down material of claim 1, wherein the polymer also includes the repetitive unit with formula (3):
Wherein C is singly-bound or can be in C of the midway of chain containing ether oxygen atom1-C10Alkylidene,
R1As defined above,
R4It is each independently hydrogen, halogen, optional halogen replaces, straight chain, branching or cricoid, C2-C8Acyloxy, optional halogen Element replaces, straight chain, branching or cricoid, C1-C6Alkyl, or optionally halogen replace, straight chain, branching or cricoid, C1- C6Alkoxy,
D be singly-bound or straight chain, branching or it is cricoid, ether oxygen atom, carbonyl moieties or carbonyl oxygen can be contained in the midway of chain The C of based moiety1-C10(v+1) valency alkyl, wherein some or all hydrogen atoms of bond with carbon can be replaced by fluorine,
Rf1And Rf2It is each independently the C containing at least one fluorine atom1-C6Alkyl, Rf1It can be bonded with D in conjunction with them Carbon atom formed ring,
P is 0 or 1, and r is 0 or 1, and h is the integer of 1-3, and u is the integer of 0-2, and c is equal to (5+2u-h) and v is 1 or 2.
4. the shrink-down material of claim 1, wherein the polymer also includes to be selected from the unit with formula (4) and (5) extremely A kind of few repetitive unit:
Wherein R5And R6It is each independently hydrogen, halogen, optional halogen replaces, straight chain, branching or cricoid C2-C8Acyloxy, Optional halogen replaces, straight chain, branching or cricoid C1-C6Alkyl, or optional halogen substitution, straight chain, branching or cricoid C1-C6Alkoxy, i and j are each independently the integer of 0-2, and d is equal to (6-i) and e is equal to (4-j).
5. the shrink-down material of claim 1, wherein the polymer also includes to be selected from the unit with formula (A)-(E) at least A kind of repetitive unit:
Wherein R1As defined above, XAFor acid-unstable group, XBAnd XCIt is each independently the C of singly-bound or straight chain or branching1-C4 Bivalent hydrocarbon radical, XDFor straight chain, branching or cricoid, C1-C16Aliphatic hydrocarbyl of the divalent to pentavalent, any of them ingredient-CH2It can It is substituted by-O- or-C (=O)-, XEFor acid-unstable group, YAFor the substituent group with lactone, sultone or structural carbonate, ZAFor hydrogen, C1-C30Fluoroalkyl or C1-C15Substituent group containing fluorinated alcohols, k1AFor the integer and k of 1-31BFor the integer of 1-4.
6. shrink-down material, including polymer and solvent, which contains the anti-disappearance that the resist pattern after not making development disappears Property solvent,
The polymer includes the repetitive unit selected from least one of the unit with formula (1a) and (1b):
Wherein A is singly-bound or can be in C of the midway of chain containing ether oxygen atom1-C10Alkylidene,
R1For hydrogen, fluorine, methyl or trifluoromethyl,
R2It is each independently hydrogen, halogen, optional halogen replaces, straight chain, branching or cricoid C2-C8Acyloxy, optional halogen Replace, straight chain, branching or cricoid C1-C6Alkyl, or optionally halogen replace, straight chain, branching or cricoid C1-C6Alkane Oxygroup,
L is hydrogen, straight chain, branching or it is cricoid, ether oxygen atom, carbonyl moieties or carbonyloxy group structure can be contained in the midway of chain Partial C1-C10Monovalent aliphatic alkyl, or optionally replace, group of the monovalence containing aromatic ring,
Z and carbon atom bonding are to form C5-C15Alicyclic group,
RxAnd RyIt is each independently hydrogen or straight chain, branching or the cricoid C that can be replaced by hydroxyl or alkoxy moieties1- C15Alkyl, RxAnd RyAt least one be ring-type C5-C15Alkyl,
F is the integer of 1-3, and s is the integer of 0-2, and a is equal to (5+2s-f) and m is 0 or 1,
Wherein the polymer also includes the repetitive unit with formula (F):
Wherein R101For hydrogen or methyl, X is singly-bound ,-C (=O)-,-C (=O)-O- or-C (=O)-NH-, R102For singly-bound or Straight chain, branching or the cricoid C containing ether, ester, carbonyl moieties ,-N=or-S-1-C10Alkylidene or phenylene or Naphthylene, R103And R104It is each independently the C of hydrogen, straight chain or branching1-C4Alkyl or acid-unstable group or R103With R104It can bond together and ring is formed with the nitrogen-atoms in conjunction with them, which optionally contains ehter bond or R103And R104Any It is a can be with R102Bonding forms ring and k with the nitrogen-atoms in conjunction with them1CIt is 1 or 2.
7. the shrink-down material of claim 1, also comprising the salt with formula (10):
R12-SO3 -M+ (10)
Wherein R12For straight chain, branching or the cricoid C containing oxygen atom1-C35Monovalent hydrocarbon, wherein bond with carbon is some or complete Portion's hydrogen atom can be replaced by fluorine, and condition is that the hydrogen atom of the carbon atom bonding relative to sulfonic acid and alpha-position is not replaced and M by fluorine+For sulfonium, iodine or ammonium cation.
8. the shrink-down material of claim 1, also comprising selected from least one of the group being made up of alkali compounds: the primary, Secondary and tertiary aliphatic amine, mixed amine, aromatic amine, heterocyclic amine, the nitrogenous compound with carboxyl, the nitrogenous chemical combination with sulfonyl Object, the nitrogenous compound with hydroxyl, the nitrogenous compound with hydroxy phenyl, alcohol type nitrogenous compound, amide derivatives, acyl Imine derivative and carbamate.
9. the shrink-down material of claim 1, wherein the anti-deorienting solvent is the ester solvent of 7-16 carbon atom, 8-16 carbon original The ketone solvent of son or the alcoholic solvent of 4-10 carbon atom.
10. the shrink-down material of claim 9, wherein the anti-deorienting solvent is at least one in the group being made up of Kind solvent:
The ester solvent of 7-16 carbon atom, including amyl acetate, isoamyl acetate, acetic acid 2- methylbutyl butenoate, capryl acetate, acetic acid 2- ethylhexyl, cyclohexyl acetate, methyl cyclohexanol acetate, hexyl formate, ethyl valerate, propyl valerate, isopropyl isovalerate, penta Acid butyl ester, isobutyl isovalerate, pentanoate, amyl valerate, isoamyl isovalerate, ethyl isovalerate, propyl isovalerate, isovaleric acid Isopropyl ester, butyl iso valerate, isobutyl isovalerate, isoamyl tert-butyl acrylate, iso-amyl iso-valeriate, 2 methyl valeric acid ethyl ester, 2- first Base butyl valerate, neopentanoic acid ethyl ester, neopentanoic acid propyl ester, neopentanoic acid isopropyl ester, neopentanoic acid butyl ester, the neopentanoic acid tert-butyl ester, penetenoic acid Ethyl ester, amylene propyl propionate, amylene isopropyl propionate, amylene acid butyl ester, amylene tert-butyl acrylate, crotons propyl propionate, crotons isopropyl propionate, Butyl crotonate, crotons tert-butyl acrylate, butyl propionate, isobutyl propionate, propanoic acid tert-butyl ester, benzyl propionate, ethyl hexanoate, caproic acid Allyl ester, propyl butyrate, butyl butyrate, isobutyl isobutyrate (IBIB), butyric acid 3- methylbutyl butenoate, tert-butyl acetate, ethyl 2-methylbutyrate, 2-Methyl Butyric Acid isopropyl ester, methyl benzoate, ethyl benzoate, propyl benzoate, butyl benzoate, phenylacetate, acetic acid benzyl Ester, phenyl methyl acetate, benzyl formate, formic acid phenyl chlorocarbonate, 3- phenylpropionic acid methyl ester, phenyl ethyl acetate and acetic acid 2- benzene Base ethyl ester,
The ketone solvent of 8-16 carbon atom, including methyln-hexyl ketone, 3- octanone, 4- octanone, methyl n-heptyl ketone, 3- nonanone, 4- nonanone, 5- nonyl Ketone, diisobutyl ketone, ethyl cyclohexanone, ethyl acetophenone, ethyl normal-butyl ketone, di-n-butyl ketone and diisobutyl ketone, and
The alcoholic solvent of 4-10 carbon atom, including n-butyl alcohol, 2- butanol, isobutanol, the tert-butyl alcohol, 1- amylalcohol, 2- amylalcohol, 3- penta Alcohol, tert-pentyl alcohol, neopentyl alcohol, 2-methyl-1-butene alcohol, 3- methyl-1-butanol, 3- methyl -3- amylalcohol, cyclopentanol, 1- hexanol, 2- oneself Alcohol, 3- hexanol, 2,3- dimethyl -2- butanol, 3,3- dimethyl-1-butanols, 3,3- dimethyl -2- butanol, 2,2- diethyl -1- Butanol, 2- methyl-1-pentene alcohol, 2- methyl -2- amylalcohol, 2- methyl -3- amylalcohol, 3- methyl-1-pentene alcohol, 3- methyl -2- amylalcohol, 3- Methyl -3- amylalcohol, 4- methyl-1-pentene alcohol, 4- methyl -2- amylalcohol, 4- methyl -3- amylalcohol, cyclohexanol and 1- octanol.
11. the shrink-down material of claim 1, wherein the solvent contains the anti-deorienting solvent and other solvent,
The other solvent is selected from the group being made up of: methyln-hexyl ketone, methyl n-heptyl ketone, 2-HEPTANONE, 3- heptanone, 4- heptanone, methyl-n-butyl ketone, 3- hexanone, diisobutyl ketone, methyl cyclohexanone, acetophenone, methyl acetophenone, propyl acetate, butyl acetate, isobutyl acetate, Amyl acetate, isoamyl acetate, acetic acid butene esters, propyl formate, butyl formate, iso-butyl formate, amyl formate, formic acid isoamyl Ester, methyl valerate, amylene-4 acid methyl ester, ethyl crotonate, ethyl crotonate, methyl propionate, ethyl propionate, 3- ethoxy-propionic acid second Ester, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, amyl lactate, isoamyl lactate, 2- hydroxyl Methyl isobutyrate, 2- ethyl butyrate of hydroxyl iso, methyl benzoate, ethyl benzoate, phenylacetate, benzyl acetate, phenyl acetic acid Methyl esters, benzyl formate, formic acid phenyl chlorocarbonate, 3- phenylpropionic acid methyl ester, benzyl propionate, phenyl ethyl acetate and acetic acid 2- phenyl Ethyl ester.
12. pattern forming method includes the following steps:
Anti-corrosion agent composition is applied on substrate, the anti-corrosion agent composition includes: comprising having acid-unstable group to replace Carboxyl repetitive unit base resin, acid agent and organic solvent,
Prebake to form resist film,
The resist film is exposed to high-energy irradiation,
The film is baked,
The resist film of the exposure is developed to negative resist pattern in organic solvent system developer,
Shrink-down material is applied in the negative resist pattern,
It bakes, and
Excessive shrink-down material is removed with organic solvent,
The shrink-down material includes polymer and solvent, which contains the anti-disappearance that the resist pattern after not making development disappears Property solvent,
The polymer includes the repetitive unit selected from least one of the unit with formula (1a) and (1b):
Wherein A is singly-bound or can be in C of the midway of chain containing ether oxygen atom1-C10Alkylidene,
R1For hydrogen, fluorine, methyl or trifluoromethyl,
R2It is each independently hydrogen, halogen, optional halogen replaces, straight chain, branching or cricoid C2-C8Acyloxy, optional halogen Replace, straight chain, branching or cricoid C1-C6Alkyl, or optionally halogen replace, straight chain, branching or cricoid C1-C6Alkane Oxygroup,
L is hydrogen, straight chain, branching or it is cricoid, ether oxygen atom, carbonyl moieties or carbonyloxy group structure can be contained in the midway of chain Partial C1-C10Monovalent aliphatic alkyl, or optionally replace, group of the monovalence containing aromatic ring,
Z and carbon atom bonding are to form C5-C15Alicyclic group,
RxAnd RyIt is each independently hydrogen or straight chain, branching or the cricoid C that can be replaced by hydroxyl or alkoxy moieties1- C15Alkyl, RxAnd RyAt least one be ring-type C5-C15Alkyl,
F is the integer of 1-3, and s is the integer of 0-2, and a is equal to (5+2s-f) and m is 0 or 1.
13. the pattern forming method of claim 12, wherein the base resin in the anti-corrosion agent composition includes by formula (11) table The repetitive unit (a) of the carboxyl replaced with acid-unstable group shown:
Wherein R21For hydrogen or methyl, R22For acid-unstable group, Z is singly-bound or-C (=O)-O-R23And R23For straight chain, branching Or cricoid C1-C10Alkylidene, wherein ether or ester bond can be between carbon-carbon bonds or naphthylene.
14. the pattern forming method of claim 12, wherein the developer includes at least one in the group being made up of Kind organic solvent: methyln-hexyl ketone, methyl n-heptyl ketone, 2-HEPTANONE, 3- heptanone, 4- heptanone, methyl-n-butyl ketone, 3- hexanone, diisobutyl ketone, methyl ring Hexanone, acetophenone, methyl acetophenone, propyl acetate, butyl acetate, isobutyl acetate, amyl acetate, isoamyl acetate, acetic acid Butene esters, propyl formate, butyl formate, iso-butyl formate, amyl formate, isoamyl formate, methyl valerate, amylene-4 acid methyl ester, Ethyl crotonate, ethyl crotonate, methyl propionate, ethyl propionate, 3- ethoxyl ethyl propionate, methyl lactate, ethyl lactate, cream Propyl propionate, butyl lactate, isobutyl lactate, amyl lactate, isoamyl lactate, 2- hydroxy-methyl isobutyl acid, 2- hydroxy-iso-butyric acid Ethyl ester, methyl benzoate, ethyl benzoate, phenylacetate, benzyl acetate, phenyl methyl acetate, benzyl formate, formic acid phenyl second Ester, 3- phenylpropionic acid methyl ester, benzyl propionate, phenyl ethyl acetate and acetic acid 2- phenyl chlorocarbonate.
15. the pattern forming method of claim 12, wherein the step of removing excessive shrink-down material uses selected from by with the following group At at least one of group organic solvent: propyl acetate, butyl acetate, isobutyl acetate, acetic acid butene esters, amyl acetate, Isoamyl acetate, acetic acid 2- methylbutyl butenoate, capryl acetate, acetic acid 2- ethylhexyl, cyclohexyl acetate, methyl cyclohexanol acetate, Propyl formate, butyl formate, iso-butyl formate, amyl formate, isoamyl formate, hexyl formate, methyl valerate, ethyl valerate, Propyl valerate, isopropyl isovalerate, butyl valerate, isobutyl isovalerate, pentanoate, amyl valerate, isoamyl isovalerate, isovaleric acid Ethyl ester, propyl isovalerate, isopropyl isovalerate, butyl iso valerate, isobutyl isovalerate, isoamyl tert-butyl acrylate, isovaleric acid isoamyl Ester, 2 methyl valeric acid ethyl ester, 2 methyl valeric acid butyl ester, ethyl crotonate, ethyl crotonate, crotons propyl propionate, crotonic acid isopropyl Ester, butyl crotonate, crotons tert-butyl acrylate, methyl propionate, ethyl propionate, pentenoic acid ethyl ester, amylene propyl propionate, penetenoic acid isopropyl Ester, amylene acid butyl ester, amylene tert-butyl acrylate, methyl lactate, ethyl lactate, propyl lactate, butyl lactate, isobutyl lactate, cream Sour pentyl ester, isoamyl lactate, 2- hydroxy-methyl isobutyl acid, 2- ethyl butyrate of hydroxyl iso, neopentanoic acid ethyl ester, neopentanoic acid propyl ester, newly Isopropyl isovalerate, neopentanoic acid butyl ester, the neopentanoic acid tert-butyl ester, butyl propionate, isobutyl propionate, propanoic acid tert-butyl ester, benzyl propionate, 3- Ethoxyl ethyl propionate, ethyl hexanoate, allyl hexanoate, propyl butyrate, butyl butyrate, isobutyl isobutyrate (IBIB), butyric acid 3- methyl fourth Ester, tert-butyl acetate, ethyl 2-methylbutyrate, 2-Methyl Butyric Acid isopropyl ester, methyl benzoate, ethyl benzoate, benzoic acid third Ester, butyl benzoate, phenylacetate, benzyl acetate, phenyl methyl acetate, benzyl formate, formic acid phenyl chlorocarbonate, 3- phenylpropionic acid Methyl esters, phenyl ethyl acetate, acetic acid 2- phenyl chlorocarbonate, 2-HEPTANONE, 3- heptanone, 4- heptanone, methyl-n-butyl ketone, 3- hexanone, methyln-hexyl ketone, 3- Octanone, 4- octanone, methyl n-heptyl ketone, 3- nonanone, 4- nonanone, butyl ketone, methyl cyclohexanone, ethyl cyclohexanone, acetophenone, methylbenzene second Ketone, ethyl acetophenone, ethyl normal-butyl ketone, di-n-butyl ketone, diisobutyl ketone, n-butyl alcohol, 2- butanol, isobutanol, the tert-butyl alcohol, 1- amylalcohol, 2- amylalcohol, 3- amylalcohol, tert-pentyl alcohol, neopentyl alcohol, 2-methyl-1-butene alcohol, 3- methyl-1-butanol, 3- methyl -3- amylalcohol, Cyclopentanol, 1- hexanol, 2- hexanol, 3- hexanol, 2,3- dimethyl -2- butanol, 3,3- dimethyl-1-butanols, 3,3- dimethyl -2- Butanol, 2,2- diethyl-n-butyl alcohol, 2- methyl-1-pentene alcohol, 2- methyl -2- amylalcohol, 2- methyl -3- amylalcohol, 3- methyl-1-pentene Alcohol, 3- methyl -2- amylalcohol, 3- methyl -3- amylalcohol, 4- methyl-1-pentene alcohol, 4- methyl -2- amylalcohol, 4- methyl -3- amylalcohol, hexamethylene Alcohol and 1- octanol.
16. the pattern forming method of claim 12, wherein the high-energy irradiation is the KrF of the i line of wavelength 364nm, wavelength 248nm Excimer laser, the ArF excimer laser of wavelength 193nm, wavelength 13.5nm EUV or EB.
CN201510893749.8A 2014-12-08 2015-12-08 Shrink-down material and pattern forming method Active CN105676592B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2014-248080 2014-12-08
JP2014248080 2014-12-08
JP2015077690A JP6332113B2 (en) 2014-12-08 2015-04-06 Shrink material and pattern forming method
JP2015-077690 2015-04-06

Publications (2)

Publication Number Publication Date
CN105676592A CN105676592A (en) 2016-06-15
CN105676592B true CN105676592B (en) 2019-11-15

Family

ID=56122097

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510893749.8A Active CN105676592B (en) 2014-12-08 2015-12-08 Shrink-down material and pattern forming method

Country Status (4)

Country Link
JP (1) JP6332113B2 (en)
KR (1) KR102015690B1 (en)
CN (1) CN105676592B (en)
TW (1) TWI570138B (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI627220B (en) * 2015-06-03 2018-06-21 羅門哈斯電子材料有限公司 Compositions and methods for pattern treatment
JP6877932B2 (en) * 2015-09-28 2021-05-26 東京応化工業株式会社 Resist pattern forming method and shrink agent composition
TWI746628B (en) * 2016-12-08 2021-11-21 南韓商三星電子股份有限公司 Photoresist composition and a method for forming a fine pattern using the same
JP6886844B2 (en) * 2017-03-16 2021-06-16 東京応化工業株式会社 Resist pattern formation method
JP7223765B2 (en) * 2018-09-05 2023-02-16 富士フイルム株式会社 Actinic ray-sensitive or radiation-sensitive resin composition, resist film, pattern forming method, and electronic device manufacturing method

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201411286A (en) * 2012-04-26 2014-03-16 Shinetsu Chemical Co Monomer, polymer, positive resist composition and patterning process

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3203995B2 (en) * 1993-12-24 2001-09-04 ジェイエスアール株式会社 Radiation-sensitive resin composition
JP2004086203A (en) * 2002-08-07 2004-03-18 Renesas Technology Corp Fine pattern forming material and method for manufacturing electronic device
JP4893402B2 (en) * 2006-03-27 2012-03-07 Jsr株式会社 Fine pattern forming method
KR101242332B1 (en) * 2006-10-17 2013-03-12 신에쓰 가가꾸 고교 가부시끼가이샤 Resist Composition and Patterning Process
JP4355725B2 (en) * 2006-12-25 2009-11-04 信越化学工業株式会社 Positive resist material and pattern forming method
JP5069494B2 (en) 2007-05-01 2012-11-07 AzエレクトロニックマテリアルズIp株式会社 Water-soluble resin composition for forming fine pattern and fine pattern forming method using the same
JP5228995B2 (en) * 2008-03-05 2013-07-03 信越化学工業株式会社 Polymerizable monomer compound, pattern forming method and resist material used therefor
US7745077B2 (en) * 2008-06-18 2010-06-29 Az Electronic Materials Usa Corp. Composition for coating over a photoresist pattern
JP5639755B2 (en) * 2008-11-27 2014-12-10 富士フイルム株式会社 Pattern forming method using developer containing organic solvent and rinsing solution used therefor
US20100159392A1 (en) * 2008-12-22 2010-06-24 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
JP2010250263A (en) * 2009-03-25 2010-11-04 Jsr Corp Method for forming resist pattern and resin composition for insolubilizing resist pattern used for the method
JP4950252B2 (en) * 2009-07-01 2012-06-13 信越化学工業株式会社 Positive resist composition and pattern forming method
KR20110058128A (en) * 2009-11-25 2011-06-01 제일모직주식회사 Polymer for protective layer of resist, and polymer composition including same
JP5664509B2 (en) * 2011-09-16 2015-02-04 信越化学工業株式会社 Pattern formation method
JP2013117710A (en) * 2011-10-31 2013-06-13 Tokyo Ohka Kogyo Co Ltd Method for forming fine pattern, and coating forming agent for pattern fining
US9244348B2 (en) * 2012-02-13 2016-01-26 Shin-Etsu Chemical Co., Ltd. Chemically amplified negative resist composition and pattern forming process
JP5821862B2 (en) * 2013-01-29 2015-11-24 信越化学工業株式会社 Negative resist material and pattern forming method using the same
JP5803957B2 (en) * 2013-03-05 2015-11-04 信越化学工業株式会社 Pattern forming method and resist composition
JP6531397B2 (en) * 2014-03-07 2019-06-19 Jsr株式会社 Pattern forming method and composition used therefor
JP6398848B2 (en) * 2014-12-08 2018-10-03 信越化学工業株式会社 Shrink material and pattern forming method

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201411286A (en) * 2012-04-26 2014-03-16 Shinetsu Chemical Co Monomer, polymer, positive resist composition and patterning process

Also Published As

Publication number Publication date
JP6332113B2 (en) 2018-05-30
TWI570138B (en) 2017-02-11
JP2016110051A (en) 2016-06-20
CN105676592A (en) 2016-06-15
TW201625702A (en) 2016-07-16
KR102015690B1 (en) 2019-08-28
KR20160069497A (en) 2016-06-16

Similar Documents

Publication Publication Date Title
CN105676592B (en) Shrink-down material and pattern forming method
KR100593229B1 (en) Positive resist composition and method of forming resist pattern from the same
JP5485198B2 (en) Resist composition and pattern forming method using the same
KR101660528B1 (en) Positive resist composition and method of forming resist pattern
JP6481602B2 (en) Pattern forming method and shrink agent
KR102109209B1 (en) Resist composition, method of forming resist pattern and compound
JP5776615B2 (en) Pattern formation method
JP5002323B2 (en) Fluorine-containing polymer compound, positive resist composition for immersion exposure, and resist pattern forming method
CN105676591B (en) Shrink-down material and pattern forming method
JP2008089711A (en) Method of forming pattern
EP3032333B1 (en) Shrink material and pattern forming process
TW201219969A (en) Underlayer developable coating compositions and processes thereof
TW202219639A (en) Positive resist composition and patterning process
TWI564281B (en) Sulfonium salt, resist composition and patterning process
KR20170015197A (en) Resist composition and patterning process using the same
CN109324478A (en) Anti-corrosion agent composition and pattern forming method
JP4152810B2 (en) Positive resist composition and resist pattern forming method
TWI614581B (en) Pattern forming process
EP3032332B1 (en) Shrink material and pattern forming process
JP2017161717A (en) Resist Composition
KR102583436B1 (en) Resist composition and pattern forming process
KR20120100729A (en) Method of forming resist pattern
JP7270347B2 (en) Resist pattern forming method
WO2024105962A1 (en) Radioactive-ray-sensitive resin composition and pattern formation method
TWI354867B (en) Positive resist composition and method for forming

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant