CN105658594A - 玻璃片的整体退火 - Google Patents

玻璃片的整体退火 Download PDF

Info

Publication number
CN105658594A
CN105658594A CN201480027673.4A CN201480027673A CN105658594A CN 105658594 A CN105658594 A CN 105658594A CN 201480027673 A CN201480027673 A CN 201480027673A CN 105658594 A CN105658594 A CN 105658594A
Authority
CN
China
Prior art keywords
carrier
bonding
glass
layer
thin slice
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201480027673.4A
Other languages
English (en)
Other versions
CN105658594B (zh
Inventor
R·A·贝尔曼
D·C·布克宾德
R·G·曼利
P·马宗达
T·常
J·J·多梅
A·T·史蒂芬二世
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Corning Inc
Original Assignee
Corning Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/047,251 external-priority patent/US9340443B2/en
Application filed by Corning Inc filed Critical Corning Inc
Publication of CN105658594A publication Critical patent/CN105658594A/zh
Application granted granted Critical
Publication of CN105658594B publication Critical patent/CN105658594B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B7/00Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
    • B32B7/04Interconnection of layers
    • B32B7/06Interconnection of layers permitting easy separation

Landscapes

  • Chemical & Material Sciences (AREA)
  • Joining Of Glass To Other Materials (AREA)
  • Laminated Bodies (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Ceramic Engineering (AREA)

Abstract

可以在片材(20)和/或载体(10)上提供表面改性层(30)和相关的热处理,以同时控制薄片和载体之间的室温范德华(和/或氢)键合以及高温共价键合。控制室温粘结,从而足以在例如真空加工、湿加工和/或超声清洁加工期间,将薄片和载体保持在一起。与此同时,控制高温共价键合,从而防止在高温加工过程中薄片和载体之间的永久粘结,并且维持足够的粘结以防止高温加工过程期间的脱层。

Description

玻璃片的整体退火
本申请根据35U.S.C.§120,要求2013年10月7日提交的美国申请系列第US14/047251号的优先权,并根据35U.S.C.§119,要求2013年3月15日提交的美国临时申请系列第61/791,418号的优先权,上述申请的内容是本申请的基础并通过参考完整地结合于此。
背景技术
发明领域
本发明涉及用于在载体上加工挠性片的方法和制品,更具体地,涉及用于在玻璃载体上加工挠性玻璃片的方法和制品。
技术背景
挠性基材提供采用卷-卷加工的更廉价装置的可能性,以及制造更薄、更轻、更为灵活和耐用的显示器的潜力。但是,尚未完全建立高质量显示器的卷-卷加工所需的技术、设备和工艺。由于面板制造商已经大量投入用于加工大型玻璃片的成套工具,将挠性基材层叠到载体并通过片-片加工来制造显示器装置提供了开发更薄、更轻和更为灵活的显示器的有价值计划的较短期解决方案。已经验证了在聚合物片(例如聚萘二甲酸乙二醇酯(PEN))上的显示器,其中装置制造是PEN层叠到玻璃载体的片-片形式。PEN的温度上限限制了装置质量和可以使用的工艺。此外,聚合物基材的高可透过性导致OLED装置的环境降解,需要近乎密封的封装。薄膜封装提供了克服该限制的可能性,但是尚未证实其在大体积也能提供可接受的生产。
以类似的方式,可以采用层叠到一块或多块薄的玻璃基材的玻璃载体来制造显示器装置。预期薄玻璃的低可透过性、改善的温度和化学抗性能够实现更高性能、更长寿命的挠性显示器。
但是,热、真空、溶剂和酸以及超声,平板显示器(FPD)加工要求薄玻璃与载体的牢固结合。FPD加工通常涉及:真空沉积(喷溅金属、透明导体氧化物和氧化物半导体,无定形硅、氮化硅和二氧化硅的化学气相沉积(CVD),以及金属和绝缘体的干蚀刻),热加工(包括约为300-400℃的CVD沉积,高至600℃的p-Si结晶,350-450℃的氧化物半导体退火,高至650℃的掺杂剂退火,以及约为200-350℃的接触退火),酸蚀刻(金属蚀刻、氧化物半导体蚀刻)、溶剂暴露(汽提光致抗蚀剂,聚合物包封的沉积),以及超声暴露(在光致抗蚀剂的溶剂汽提中和水性清洁中,通常是碱性溶液中)。
粘合剂晶片粘结被广泛地用于微机械体系(MEMS)和半导体加工,用于加工较不苛刻的后端步骤。布鲁尔科学与汉高公司(BrewerScienceandHenkel)的商用粘合剂通常是厚的聚合物粘合剂层,厚5-200微米。这些层的大厚度产生了大量挥发性物质、俘获的溶剂和吸附物质污染FPD加工的可能性。这些材料在高于约250℃发生热分解和脱气。通过作为气体、溶剂和酸的接收器,材料还可能引起下游步骤的污染,其会在后续工艺中脱气。
2012年2月8日提交的题为“ProcessingFlexibleGlasswithaCarrier(用载体加工挠性玻璃)”的美国临时申请系列第61/596,727号(下文称作US‘727)解释了这样的概念,其涉及初始通过范德华力将薄片(例如挠性玻璃片)与载体粘结,然后在某些区域增加粘结强度同时保留在薄片/载体的加工之后取出部分薄片以在其上形成装置(例如,电子或显示器装置、电子或显示器装置的组件、有机发光装置(OLED)材料、光伏(PV)结构或者薄膜二极管)的能力。至少一部分的薄玻璃与载体粘结,从而防止了装置加工流体进入薄片和载体之间,降低了污染下游工艺的可能性,也就是说,薄片和载体之间的粘结密封部分是密封的,并且在一些优选实施方式中,该密封包围了制品的外部,从而防止液体或气体闯入或离开密封制品的任意区域。
US‘727还揭示了在低温多晶硅(LTPS)(低温是相比于可高至约750℃的固相结晶工艺)装置制造工艺,可以使用接近大于或等于600℃的温度,真空和湿蚀刻环境。这些条件限制了可使用的材料,并且对于载体/薄片提供了高要求。因此,需要这样的载体方法,其采用制造商现有的资本设备,实现了薄玻璃(厚度≤0.3mm的玻璃)的加工,而不发生污染或者有损较高加工温度下薄玻璃与载体之间的粘结强度,并且在加工的最后,薄玻璃易于从载体进行去粘结。
US‘727所揭示的方法的商业优势之一在于,如US‘727所示,制造商将能够使用它们现有的对加工设备的资本投入,同时获得用于例如PV、OLED、LCD和图案化薄膜晶体管(TFT)电子件的薄玻璃片的益处。此外,该方法实现了加工灵活性,包括:薄玻璃片和载体的清洁和表面准备以促进粘结;薄片和载体之间的粘结区域处的粘结强化;维持非粘结(或粘结降低/低强度粘结)区域的薄片与载体的可脱离性;以及切割薄片以促进从载体的释放。
在玻璃-玻璃粘结工艺中,对玻璃表面进行清洁以去除所有的金属、有机物和颗粒残留物,并留下主要是硅烷醇封端的表面。首先使得玻璃表面发生亲密接触,其中范德华和/或氢键作用力将它们推到一起。采用加热和任选的压力,表面硅烷醇基团缩合形成跨过界面的强共价Si-O-Si键合,永久地熔合玻璃片。金属、有机物和颗粒残留物会通过遮蔽表面,阻碍粘结所需的亲密接触,来防止粘结。还需要高硅烷醇表面浓度以形成强键合,因为每单元面积的键合数量取决于相对表面上两个硅烷醇物质反应以使得水冷凝的概率。Zhuravlel已经报道了良好水合二氧化硅的每nm2的平均羟基数量为4.6-4.9。Zhuravlel,L.T.,“TheSurfaceChemistryofAmorphousSilika,ZhuravlevModel(无定形二氧化硅的表面化学,Zhuravlev模型)”,ColloidsandSurfacesA:PhysiochemicalEngineeringAspects(胶体和表面A:物理化学工程方面),173(2000)1-38。在US‘727中,在粘结周围形成非粘结区域,所述的形成此类非粘结区域的主要方式是增加表面粗糙度。大于2nmRa的平均表面粗糙度可以防止在粘结过程的温度升高的过程中,玻璃与玻璃粘结的形成。在相同发明人于2012年12月13日提交的题为“FacilitatedProcessingforControllingBondingBetweenSheetandCarrier(控制片材和载体之间的粘结的制造工艺)”的美国临时专利申请系列第61/736,880号(下文称作US‘880)中,通过控制载体和薄玻璃片之间的范德华和/或氢键合,来形成受控的粘结区域,但是仍然使用共价粘合区域。因此,虽然US‘727和US‘880中的用于加工薄片和载体的制品和方法能够耐受FPD加工的苛刻环境,但是其对于某些应用是不合乎希望的,其由于粘结区域中薄玻璃与玻璃载体作为共价形式的强共价粘合(例如,Si-O-Si,粘结的粘合力约为1000-2000mJ/m2,约为玻璃的断裂强度)阻碍了载体的再使用。无法使用撬开或剥离来分离薄玻璃与载体的共价键合部分,因而无法从载体去除完整的薄片。相反地,对其上具有器件的非粘结区域进行划线和提取,在载体上留下薄玻璃片的粘结周界。
发明内容
鉴于此,需要一种薄片-载体制品,其能够耐受FPD加工的严格条件,包括高温加工(不发生会与其将要用于的半导体或显示器制造工艺不相容的脱气),还实现从载体去除整个薄片面积,从而将载体再次用于加工另一薄片。本说明书描述了控制载体和薄片之间的粘附的方式,从而产生临时粘结,其足够强从而可以通过FPD加工(包括LTPS加工),但是足够弱从而可以实现片材与载体的去粘结,甚至在是高温加工之后。此类受控的粘结可用于产生具有可再利用的载体,或者具有载体和片材之间的受控粘结和共价粘结的图案化区域的制品。更具体地,本文提供了表面改性层(包括各种材料和相关的表面热处理),其可以提供在薄片和/或载体上,以同时控制薄片和载体之间的室温范德华和/或氢键合以及高温共价粘合。甚至更具体地,可以控制室温粘结,从而足以在真空加工、湿加工和/或超声清洁加工期间,将薄片和载体保持在一起。与此同时,可以控制高温共价键合,从而防止在高温加工过程中薄片和载体之间的永久粘结,并且维持足够的粘结以防止高温加工过程期间的脱层。在替代实施方式中,表面改性层可用于产生各种受控粘结区域(其中,载体和片材在各个加工过程中(包括真空加工、湿加工和/或超声清洁加工)保持足够的粘结)以及共价键合区域,以提供进一步加工选项,例如维持载体和片材之间的密封性,甚至是在将制品切割成较小片用于额外器件加工之后。此外,一些表面改性层提供了载体和片材之间的粘结控制,同时降低了FPD(例如LTPS)加工环境中的苛刻条件(包括例如高温和/或真空加工)下的脱气排放。
在以下的详细描述中给出了本发明的附加特征和优点,其中的部分特征和优点对本领域的技术人员而言由所述内容而容易理解,或按文字描述和附图实施各个方面而被认识。应理解,前面的一般性描述和以下的详细描述都只是各个方面的示例,用来提供理解要求保护的本发明的性质和特性的总体评述或框架。
所含附图用于进一步理解本发明的原理,附图被结合在本说明书中并构成说明书的一部分。附图图示说明了本发明的一个或多个实施方式,并与说明书一起用来说明例如本发明的原理和操作。应理解,在本说明书和附图中揭示的各种特征可以以任意和所有的组合使用。作为非限制性的例子,可以按说明书最后的方面中所述,将各种特征相互组合。
附图说明
图1是制品的侧视示意图,所述制品具有与薄片粘结的载体,它们之间具有表面改性层。
图2是图1的制品的分解和部分剖视图。
图3是二氧化硅上的表面羟基浓度与温度的关系图。
图4是SC1清洁的玻璃片的表面能与退火温度的关系图。
图5是沉积到玻璃片上的含氟聚合物薄膜的表面能与制造该膜的组分材料中的一种的百分比的关系图。
图6是通过粘结区域与载体粘结的薄片的俯视示意图。
图7是玻璃片堆叠的侧视示意图。
图8是图7的堆叠的一个实施方式的分解图。
图9是测试设定的示意图。
图10是(图9的测试设定的不同部分的)表面能与不同条件下各种材料的时间的总图。
图11是对于各种材料,%气泡区域变化与温度的关系图。
图12是对于各种材料,%气泡区域变化与温度的另一关系图。
具体实施方式
在以下的详述中,为了说明而非限制,给出了说明具体细节的示例性实施方式,以提供对本发明的各个原理的充分理解。但是,对于本领域普通技术人员显而易见的是,在从本说明书获益后,可以以不同于本文详述的其它实施方式实施本发明。此外,可能省略了对于众所周知的器件、方法和材料的描述,以免混淆本发明的各个原理的描述。最后,在任何适用的情况下,相同的附图标记表示相同的元件。
本文中,范围可以表示为从“约”一个具体值和/或到“约”另一个具体值的范围。当表示这样一个范围的时候,另一个实施方式包括从一个特定值和/或到另一个特定值。类似地,当使用前缀“约”表示数值为近似值时,应理解,具体数值形成另一个实施方式。还应理解的是,每个范围的端点值在与另一个端点值有关和与另一个端点值无关时,都是有意义的。
本文所用的方向术语,例如上、下、左、右、前、后、顶、底,仅仅是参照绘制的附图而言,并不用来表示绝对的取向。
如本文中所用,单数形式的“一个”、“一种”和“该”包括复数指代形式,除非文中另有明确说明。因此,例如,提到的一种“组件”包括具有两种或更多种这类组件的方面,除非文本中有另外的明确表示。
在2012年2月8日提交的题为“ProcessingFlexibleGlasswithaCarrier(加工挠性玻璃和载体)”的US61/596,727以及2012年12月13日提交的题为“FacilitatedProcessingforControllingBondingBetweenSheetandCarrier(促进用于控制片材和载体之间的粘结的工艺)”的US61/736,880中,都提供了这样的解决方案,其实现薄玻璃片在载体上的加工,使得至少一部分的薄玻璃片保持“非粘结”,从而可以从载体去除其上加工有器件的薄玻璃片。但是,通过形成共价Si-O-Si粘结,薄玻璃的周围与载体玻璃是永久(或共价或密封)粘结的。该共价粘结的周界阻碍了载体的再使用,因为无法在不损坏薄玻璃和载体的情况下,去除该永久粘结区中的薄玻璃。
为了维持有利的表面形状特性,载体通常是显示器等级玻璃基材。因此,在一些情况下,在一次使用之后就扔掉载体是浪费和昂贵的。因而,为了降低显示器制造成本,希望能够对载体再次用于加工,而不是一块薄片基材。本文提供了制品和方法,其实现了使得薄片通过FPD加工线的苛刻环境进行加工,包括高温加工(其中,高温加工在≥400℃的温度进行加工,并且可以取决于加工的装置类型发生变化,例如在无定形硅或无定形氧化铟镓锌(IGZO)背板加工中温度高至约450℃,在晶体IGZO加工中高至约500-550℃,或者在典型LTPS加工过程中高至约600-650℃),并且仍然能够在不损坏薄片或载体(例如,载体和薄片之一破裂或碎裂成两片或更多片)的情况下,容易地从载体去除薄片,从而可以再次使用载体。
如图1和2所示,玻璃制品2具有厚度8,并且其包括具有厚度18的载体10,具有厚度28的薄片20(即,厚度≤300微米,包括但不限于如下厚度,例如10-50微米、50-100微米、100-150微米、150-300微米、300、250、200、190、180、170、160、150、140、130、120、110、100、90、80、70、60、50、4030、20或者10微米),以及具有厚度38的表面改性层30。玻璃制品2设计成虽然薄片20自身≤300微米,但是允许在设计用于更厚的片材(即,约为≥.4mm,例如.4mm、.5mm、.6mm、.7mm、.8mm、.9mm或者1.0mm)的装置中加工薄片20。也就是说,(作为厚度18、28和38的总和的)厚度8设计成相当于更厚片材的厚度,对于所述更厚片材,其设计成用例如设计成基材片上布置电子器件组件的设备的片设备进行加工。例如,如果加工设备设计成用于700微米片材,并且薄片的厚度28为300微米,则选择厚度18为400微米,假定厚度38是可忽略不计的。也就是说,表面改性层30没有按比例显示;相反地,仅仅是出于示意性目的,其被极大地放大了。此外,在剖视图中显示表面改性层。实际上,当提供可再利用的载体时,表面改性层会被均匀地布置在粘结表面14上。通常,厚度38会是纳米级的,例如0.1-2.0或高至10nm,在一些情况下可高至100nm。可以通过偏振光椭圆率测量仪测量厚度38。此外,可以通过表面化学分析,例如通过西姆斯飞行时间质谱(ToFSimsmassspectrometry),来检测表面改性层的存在。因此,厚度38对于制品厚度8的贡献是可以忽略的,并且可以在计算确定用于加工具有厚度28的给定薄片20的载体10的合适厚度18时忽略掉。但是,对于表面改性层30具有任意明显厚度38的程度的情况下,可以考虑其来确定对于薄片20的给定厚度28的载体10的厚度18,以及用于设计加工设备的给定厚度。
载体10具有第一表面12、粘结表面14、周界16和厚度18。此外,载体10可以是任意合适材料,包括例如玻璃。载体不一定是玻璃,作为替代,可以是陶瓷、玻璃-陶瓷或者金属(因为可以以下文关于玻璃载体所述的类似方式来控制表面能和/或粘结)。如果是由玻璃制造的话,则载体10可以是任意合适的组成,包括铝硅酸盐、硼硅酸盐、铝硼硅酸盐、钠钙硅酸盐,并且取决于其最终用途,可以是含碱性或者不含碱性的。厚度18可以约为0.2-3mm或更大,例如0.2、0.3、0.4、0.5、0.6、0.65、0.7、1.0、2.0或3mm,或者更大,并且会取决于厚度28和厚度38(当如上文所述其是不可忽略的情况)。此外,可以由单层制造载体10(如所示),或者由粘结在一起的多层(包括多片薄片)制造。此外,载体可以是Gen1尺寸或更大,例如Gen2、Gen3、Gen4、Gen5、Gen8或更大(例如片尺寸为100mmx100mm至3mx3m,或更大)。
薄片20具有第一表面22、粘结表面24、周界26和厚度28。周界16和26可以是任意合适形状,并且可以是相互相同或者可以是相互不同的。此外,薄片20可以是任意合适的材料,包括例如玻璃、陶瓷或者玻璃-陶瓷。当由玻璃制造时,则载体20可以是任意合适的组成,包括铝硅酸盐、硼硅酸盐、铝硼硅酸盐、钠钙硅酸盐,并且取决于其最终用途,可以是含碱性或者不含碱性的。薄片的热膨胀系数可以与载体的热膨胀系数较为紧密匹配,以防止在提升温度下的加工过程中制品的翘曲。薄片20的厚度28可以小于或等于300微米,如上文所述。此外,薄片可以是Gen1尺寸或更大,例如Gen2、Gen3、Gen4、Gen5、Gen8或更大(例如片尺寸为100mmx100mm至3mx3m,或更大)。
制品2不仅需要具有在现有设备中进行加工的正确厚度,其还会需要能够经受住进行加工所处的苛刻环境。例如,平板显示器(FPD)加工可包括湿超声、真空和高温(例如,≥400℃)加工。如上文所述,对于一些加工,温度可能≥500℃,或者≥600℃,以及高至650℃。
为了能够经受住制品2将要进行加工的苛刻环境,例如在FPD制造过程中的那样,粘结表面14应该与粘结表面24粘结,该粘结具有足够的强度从而使得薄片20不与载体10发生分离。并且该强度应该维持通过加工,使得在加工过程中,薄片20不与载体10发生分离。此外,为了能够从载体10去除薄片20(从而可以重新使用载体10),粘结表面14与粘结表面24的粘结不应该过于牢固,其方式如下:通过初始设计的粘结作用力,和/或通过由于例如当制品经受高温(例如≥400℃的温度)下的加工时可能发生的由于初始设计的粘结作用力发生改性而产生的粘结作用力。表面改性层30可用于控制粘结表面14和粘结表面24之间的粘结强度,从而同时实现这些目的。通过如下方式实现受控的粘结作用力:控制范德华(和/或氢键)键合以及共价吸引能对于总粘附能的贡献,其是通过调节薄片20和载体10的极性和非极性表面能分量得以控制。该受控的粘结足够强,能够经受住FPD加工(包括湿加工、超声加工、真空加工和热加工(包括温度≥400℃,在一些情况下,加工温度≥500℃或≥600℃以及高至650℃)),并且通过施加足够的分离作用力以及不会引起薄片20和/或载体10的灾难性的损坏的作用力仍是可去粘结的。此类去粘结实现了去除薄片20以及其上制造的器件,并且还实现了载体10的再次使用。
虽然表面改性层30显示为薄片20和载体10之间的固体层,但是不一定是这种情况。例如,层30可以约为0.1-2nm厚,并且可能没有完全覆盖粘结表面14的每一处。例如,覆盖率可以≤100%,1-100%,10-100%,20-90%,或者50-90%。在其他实施方式中,层30可以高至10nm厚,或者在其他实施方式中,甚至高至100nm厚。表面改性层30可以被认为是布置在载体10和薄片20之间,即使它可能不与载体10和薄片20中的一个或另一个发生接触。在任意情况下,表面改性层30的一个重要方面在于,其改变了粘结表面14与粘结表面24发生粘结的能力,从而控制了载体10和薄片20之间的粘结强度。表面改性层30的材料和厚度以及粘结表面14、24在粘结之前的处理,可以被用于控制载体10和薄片20之间的粘结强度(粘合能)。
通常来说,根据“Atheoryfortheestimationofsurfaceandinterfacialenergies.I.derivationandapplicationtointerfacialtension(表面和界面能的评估理论I,界面张力的推导和应用)”,L.A.Girifalco和R.J.Good,J.Phys.Chem.,第61期,第904页,两个表面之间的粘合能如下:
W-γ1212(1)
其中,γ1,γ2和γ12分别是表面1、表面2的表面能以及表面1和2的界面能。单个表面能通常是如下两者的组合:色散分量γd和极性分量γp
γ=γdp(2)
当粘合主要是由于伦敦色散力(γd)和极性作用力(例如氢键,γp)时,可以通过上文所述的Girifalco和R.J.Good,以如下方式给出界面能:
γ 12 = γ 1 + γ 2 - 2 λ 1 d γ 2 d - 2 γ 1 p γ 2 p - - - ( 3 )
在将(3)代入(1)之后,粘合能可以近似计算如下:
W ~ 2 [ γ 1 d γ 2 d + γ 1 p γ 2 p ] - - - ( 4 )
在上式(4)中,仅仅考虑了粘合能的范德华(和/或氢键)键合分量。它们包括极性-极性相互作用(基桑力(Keesom))、极性-非极性相互作用(德拜力(Debye))以及非极性-非极性相互作用(伦敦力(London))。但是,也可能存在其他引力能,例如共价键和静电结合。因此,作为更一般化形式,上式写作:
W ~ 2 [ γ 1 d γ 2 d + γ 1 p γ 2 p ] + w c + w e - - - ( 5 )
其中wc和we是共价粘合能和静电粘合能。共价粘合能是相当普遍的,在硅晶片粘合中,晶片的初始氢键对被加热到较高温度,将许多或全部的硅烷醇-硅烷醇氢键转化成Si-O-Si共价键。虽然初始、室温氢键产生约为100-200mJ/m2的粘合能(其允许粘结表面的分离),在高温(约为400-800℃)加工过程中实现的完全共价键合晶片对具有约为1000-3000mJ/m2的粘合能(其不允许粘结表面的分离);相反地,两块晶片是作为整体的。另一方面,如果两个表面都完美涂覆有低表面能材料(例如含氟聚合物),厚度足够大从而屏蔽下方基材的影响,则粘合能会是涂覆材料的粘合能,并且会是非常低的,导致粘结表面14、24之间的低粘附或者没有粘附,从而无法在载体10上加工薄片20。考虑两种极端情况:(a)两个标准清洁1(本领域已知为SC1)清洁的、充满硅烷醇基团的玻璃表面在室温下通过氢键合结合在一起(粘合能约为100-200mJ/m2),之后通过加热至高温,其将硅烷醇转化为共价Si-O-Si键(粘合能变成1000-3000mJ/m2)。后者的这种粘合能对于待分离的玻璃表面对过高;以及(b)完美地涂覆具有低表面能(约为12mJ/m2每个表面)的含氟聚合物的两个玻璃表面在室温粘结,并加热至高温。在后者(b)的情况下,不仅表面没有粘结(因为当表面放置在一起的时候,约为24mJ/m2的总粘合能过低),它们在高温下也没有粘结,因为不存在或者存在过少的极性反应基团。在这两种极端情况之间,存在例如50-1000mJ/m2的粘合能范围,其可以产生所需程度的受控粘结。因此,本发明的发明人发现了提供产生处于这两种极端情况之间的粘合能的表面改性层30的各种方式,从而可以产生受控粘结,其足以维持相互粘结的玻璃基材对(例如,玻璃载体10和薄玻璃片20)通过FPD加工的苛刻条件,但是其程度(即使是在例如≥400℃的高温加工之后)也能够允许在完成加工之后分离薄片20与载体10。此外,可以通过机械作用力,以至少不使得薄片20发生灾难性损坏(优选还使得载体10也不发生灾难性损坏)的方式,进行从载体10分离薄片20。
等式(5)描述了粘合能是四个表面能参数加上共价能和静电能(如果存在的话)的函数。
可以通过表面改性剂(即,表面改性层30和/或粘结之前的表面热处理)的明智选择来实现合适的粘合能。可以通过如下方式获得合适的粘合能:选择粘结表面14和/或粘结表面24的化学改性剂,其进而同时控制范德华(和/或氢键,这些术语在本说明书全文可互换使用)粘合能以及由于高温加工(例如,约为≥400℃)产生的可能的共价键合粘合能。例如,选取SC1清洁过的玻璃的粘结表面(其初始充满具有表面能的高极性分量的硅烷醇基团)并对其涂覆低能含氟聚合物,通过极性和非极性基团,控制了表面的部分覆盖。这不仅提供了对于室温下的初始范德华(和/或氢键)键合的控制,还提供了较高温下的共价键合的程度/度的控制。进行室温下的初始范德华(和/或氢键)键合的控制,从而提供一个表面与另一个表面的粘结,以实现真空和或旋转-冲洗-干燥(SRD)型加工,在一些情况下,还提供了一个表面与另一个表面的易于形成的结合,其中,所述易于形成的结合可以在室温下进行,而不用像用刮板或者用减压环境来压制薄片20至载体10那样,在薄片20的整个面积上施加外部施加的作用力。也就是说,初始范德华键合至少提供了将薄片和载体保持在一起的最小的粘结程度,从而使得如果当举着其中一个而另一个经受重力时,他们不会分离。在大多数情况下,初始范德华(和/或氢键)键合的程度会是如下:制品还可通过真空、SRD和超声加工,而不使得薄片与载体分离。通过表面改性层30(包括其制造材料和/或向其施加的表面的表面处理)和/或通过粘结表面在它们粘结在一起之前的热处理,将范德华(和/或氢键)键合以及共价相互作用都保持在合适水平的这种精密控制,实现了所需的粘合能,其允许薄片20与载体10在整个FPD型加工中是粘结的,与此同时,在FPD型加工之后,(通过避免损坏薄片20和/或载体的合适的作用力)实现薄片20与载体10的分离。此外,在合适的情况下,可以向一个或两个玻璃表面施加静电荷,以提供另一水平的粘合能的控制。
FPD加工(例如p-Si和氧化物TFT制造)通常涉及高于400℃、高于500℃以及一些情况下大于或等于600℃、高至650℃的温度下的热加工,这会导致薄玻璃片20与玻璃载体10在不存在表面改性层30的情况下发生玻璃与玻璃的粘结。因此,控制Si-O-Si键合的形成产生了可再次使用的载体。控制Si-O-Si键合在提升的温度下的形成的一种方法是降低待结合的表面上的表面羟基的浓度。
如图3所示,其是二氧化硅上的表面羟基浓度与温度关系的厄尔曲线(R.K.Iller,“二氧化硅化学”,威利国际科学,纽约,1979(Wiley-Interscience,NewYork,1979)),每平米纳米上羟基(OH基团)的数量随着表面温度的增加而减少。因此,加热二氧化硅表面(类似于玻璃表面,例如粘结表面14和/或粘结表面24)降低了表面羟基的浓度,降低了两个玻璃表面上的羟基会发生相互作用的可能性。这种表面羟基浓度的下降进而降低了每单位面积形成的Si-O-Si键,降低了粘合力。但是,消除表面羟基需要高温下(高于750℃以完全消除表面羟基)的长退火时间。如此长的退火时间和高的退火温度导致昂贵工艺,并且其是不现实的,因为这可能高于通常显示器玻璃的应变点。
通过如上分析,本发明的发明人发现可以通过平衡以下三个概念,来制造适用于FPD加工(包括LTPS加工)的包含薄片和载体的制品:
(1)通过控制初始室温粘结来改性载体和/或薄片粘结表面,其可以通过控制范德华(和/或氢键)键合来完成,从而产生中等粘合能(例如,在表面粘结之前每个表面>40mJ/m2的表面能)以促进初始室温粘结,并且足以经受住非高温FPD加工,例如真空加工、SRD加工和/或超声加工;
(2)以如下方式进行载体和/或薄片的表面改性:其对于经受FPD加工是热稳定的,不发生会导致分层和/或装置制造中不可接受的污染(例如,对于可能使用制品的半导体和/或显示器制造工艺是不可接受的污染物)的脱气;以及
(3)可以通过控制载体表面羟基浓度、以及在提升温度下(例如≥400℃的温度)下能够形成强共价键的其他物质的浓度,来控制高温粘结,从而可以将载体和薄片的粘结表面之间的粘结能控制成使得即使是在高温加工(特别是通过500-650℃的热加工,如FPD加工)之后,载体和薄片之间的粘合力保持在允许以至少不会损坏薄片(优选不会损坏薄片或载体)的分离作用力,将薄片与载体去粘结,并且仍足以维持载体和薄片之间的粘结使得它们在加工过程中不发生分层。
此外,本发明的发明人发现,使用表面改性层30,连同合适的粘结表面准备,可以平衡上述概念,从而容易地实现受控的粘结区域,即这样的粘结区域,其提供薄片20和载体10之间充分的室温粘结,以允许在FPD型加工(包括真空加工和湿加工)中加工制品2,并且还控制了薄片20和载体10(甚至是在≥400℃的升高的温度下)的共价键合,从而允许在制品2完成了高温加工(例如FPD型加工或LTPS加工)之后,从载体10去除薄片20(至少不会损坏薄片,优选也不会损坏载体)。为了评估可能的粘结表面准备和表面改性层(其会提供适用于FPD工艺的可再次使用的载体),使用一系列测试来对它们的适用性分别进行评估。不同的FPD应用具有不同的要求,但是LTPS和氧化物TFT加工看上去是目前为止最为严格的,因此,选择这些工艺中代表性步骤的测试,因为它们对于制品2是所希望的应用。真空加工、湿清洁(包括SRD和超声类型加工)以及湿蚀刻对于许多FPD应用是常见的。通常,SiTFT制造要求高至320℃的加工。在氧化物TFT工艺中,使用400℃的退火,而在LTPS加工中,使用超过600℃的结晶和掺杂剂活化步骤。因此,使用如下5种测试来评估特定的粘结表面准备和表面改性层30会允许薄片20与载体10在整个FPD加工中保持粘结,同时在此类加工(包括≥400℃的温度下的加工)之后,允许从载体10去除薄片20(而不损坏薄片20和/或载体10)的可能性。依次进行测试,将样品从一个测试前进到下一个测试,除非存在会不允许后续测试的失效类型。
(1)真空测试。在(购自英国纽波特的SPTS公司(SPTS,Newport,UK))的STS多路PECVD负载闭锁装置(STSMultiplexPECVDloadlock)中,进行真空相容性测试,通过软泵阀,用EbaraA10S干泵(购自加利福尼亚州萨克拉门托市荏原技术有限公司(EbaraTechnologiesInc.,Sacramento,CA))对负载闭锁装置进行泵送。将样品放在负载闭锁装置中,然后在45秒内,将负载闭锁装置从大气压泵送下降到70毫托。失效,在下表的“真空”列中表示为符号“F”,如果符合以下情况的话则视作失效:(a)载体和薄片之间的粘合发生损耗(通过裸眼视觉观察,如果薄片离开载体或者薄片与载体部分脱粘结,则视为发生失效);(b)在载体和薄片之间存在气泡(通过裸眼视觉观察确定,在加工之前和之后对样品进行拍照,然后进行对比,如果对于裸眼看得到的尺度,缺陷的尺寸增加,则确定发生失效);或者(c)薄片相对于载体发生移动(通过裸眼视觉观察确定,在测试之前和之后进行拍照,如果存在粘结缺陷(例如气泡)的移动、或者如果边缘脱粘结或者存在薄片在载体上的移动,则视为发生失效)。在下表中,“真空”列中的符号“P”表示样品通过前述标准,没有失效。
(2)湿加工测试。采用(购自加利福尼亚州圣克拉拉市的应用材料公司(AppliedMaterials,SantaClara,CA))的Semitool型SRD-470S,进行湿加工相容性测试。测试由如下构成:60秒的500rpm冲洗,以500rpm进行Q-清洗至15莫姆,500rpm的10秒吹扫,1800rpm的90秒干燥,以及暖流氮气下的2400rpm的180秒干燥。失效,在下表的“SRD”列中表示为符号“F”,如果符合以下情况的话则视作失效:(a)载体和薄片之间的粘合发生损耗(通过裸眼视觉观察,如果薄片离开载体或者薄片与载体部分脱粘结,则视为发生失效);(b)在载体和薄片之间存在气泡(通过裸眼视觉观察确定,在加工之前和之后对样品进行拍照,然后进行对比,如果对于裸眼看得到的尺度,缺陷的尺寸增加,则确定发生失效);或者(c)薄片相对于载体发生移动(通过裸眼视觉观察确定,在测试之前和之后进行拍照,如果存在粘结缺陷(例如气泡)的移动、或者如果边缘脱粘结或者存在薄片在载体上的移动,则视为发生失效);或者(d)薄片下方的水渗透(50倍光学显微镜的视觉观察确定,如果可以观察到液体或残留,则确定发生失效)。在下表中,“SRD”列中的符号“P”表示样品通过前述标准,没有失效。
(3)至400℃温度的测试。采用(购自加利福尼亚州圣克拉拉市的Alwin21公司(Alwin21,SantaClara,CA))的Alwin21Accuthermo610RTP,进行400℃加工相容性测试。将粘结有薄片的载体在室内进行如下循环加热:以6.2℃/分钟从室温到400℃,在400℃保持600秒,以及以1℃/分钟冷却到300℃。然后将载体和薄片冷却至室温。失效,在下表的“400℃”列中表示为符号“F”,如果符合以下情况的话则视作失效:(a)载体和薄片之间的粘合发生损耗(通过裸眼视觉观察,如果薄片离开载体或者薄片与载体部分脱粘结,则视为发生失效);(b)在载体和薄片之间存在气泡(通过裸眼视觉观察确定,在加工之前和之后对样品进行拍照,然后进行对比,如果对于裸眼看得到的尺度,缺陷的尺寸增加,则确定发生失效);或者(c)载体和薄片之间粘合的增加,该粘合的增加阻碍了在不损坏薄片或载体的情况下使得薄片与载体发生去粘结(通过在薄片和载体之间插入剃刀片,和/或将2-3”附着到100平方毫米薄玻璃的一片KaptonTM条(1”宽x6”长,(购自纽约州湖沙克的圣戈班性能塑料公司(SaintGobainPerformancePlastic,HoosikNY)的K102系列)粘到薄片并拉动条),如果在尝试分离薄片和载体的时候薄片或载体发生损坏,或者通过任一去粘结方法无法使得薄片和载体去粘结,则视为发生失效。此外,在薄片与载体粘结之后以及在热循环之前,在代表性样品上进行去粘结测试,以确定特定的材料(包括任意相关表面处理)不允许薄片与载体在温度循环之前发生去粘结。在下表中,“400℃”列中的符号“P”表示样品通过前述标准,没有失效。
(4)至600℃温度的测试。采用Alwin21Accuthermo610RTP进行600℃加工相容性测试。将薄片和载体在室内进行如下循环加热:以9.5℃/分钟从室温到600℃,在600℃保持600秒,然后以1℃/分钟冷却到300℃。然后将载体和薄片冷却至室温。失效,在下表的“600℃”列中表示为符号“F”,如果符合以下情况的话则视作失效:(a)载体和薄片之间的粘合发生损耗(通过裸眼视觉观察,如果薄片离开载体或者薄片与载体部分脱粘结,则视为发生失效);(b)在载体和薄片之间存在气泡(通过裸眼视觉观察确定,在加工之前和之后对样品进行拍照,然后进行对比,如果对于裸眼看得到的尺度,缺陷的尺寸增加,则确定发生失效);或者(c)载体和薄片之间粘合的增加,该粘合的增加阻碍了在不损坏薄片或载体的情况下使得薄片与载体发生去粘结(通过在薄片和载体之间插入剃刀片,和/或将如上所述的KaptonTM条粘到薄片并拉动条),如果在尝试分离薄片和载体的时候薄片或载体发生损坏,或者通过任一去粘结方法无法使得薄片和载体去粘结,则视为发生失效。此外,在薄片与载体粘结之后以及在热循环之前,在代表性样品上进行去粘结测试,以确定特定的材料(以及任意相关表面处理)不允许薄片与载体在温度循环之前发生去粘结。在下表中,“600℃”列中的符号“P”表示样品通过前述标准,没有失效。
(5)超声测试。通过在四罐线中清洁制品来进行超声相容性测试,其中使得制品从罐#1至罐#4依次在每个罐中进行处理。4个罐每个的罐尺寸为18.4”Lx10”Wx15”D。两个清洁罐(#1和#2)含有50℃的DI水中的1%的半清洁KG(SemicleanKG)(购自日本横滨油脂工业有限公司(YokohamaOilsandFatsIndustryCo.,Ltd.,Japan))。用NEYprosonik2104kHz超声产生器(购自纽约州詹姆斯敦黑石-NEY超声公司(Blackstone-NEYUltrasonics,Jamestown,NY))振动清洁罐#1,用NEYprosonik2104kHz超声产生器振动清洁罐#2。两个清洗罐(罐#3和罐#4)含有50℃的DI水。用NEYsweepsonik2D72kHz超声产生器振动清洗罐#3,用NEYsweepsonik2D104kHz超声产生器振动清洗罐#4。罐#1-4每个进行10分钟的过程,之后将样品从罐#4取出之后进行旋转清洁干燥(SRD)。失效,在下表的“超声”列中表示为符号“F”,如果符合以下情况的话则视作失效:(a)载体和薄片之间的粘合发生损耗(通过裸眼视觉观察,如果薄片离开载体或者薄片与载体部分脱粘结,则视为发生失效);(b)在载体和薄片之间存在气泡(通过裸眼视觉观察确定,在加工之前和之后对样品进行拍照,然后进行对比,如果对于裸眼看得到的尺度,缺陷的尺寸增加,则确定发生失效);或者(c)形成其他粗缺陷(50倍光学显微镜的视觉观察确定,如果在薄片和载体之间俘获了之前未观察到的颗粒,则视为发生失效);或者(d)薄片下方的水渗透(50倍光学显微镜的视觉观察确定,如果可以观察到液体或残留,则确定发生失效)。在下表中,“超声”列中的符号“P”表示样品通过前述标准,没有失效。此外,在下表中,“超声”列中的空白或“?”表示没有对样品以这种方式进行测试。
通过加热经由羟基减少来准备粘结表面
通过对具有玻璃载体10和薄玻璃片20但是其间没有表面改性层30的制品2进行加工,来证实用表面改性层30对粘结表面14、24的一个或多个进行改性从而使得制品2能够成功地经受FPD加工(即,在加工过程中,薄片20与载体10保持粘结,并且在包括高温加工的加工之后,还可以与载体10分离)的益处。具体来说,首先尝试通过加热来减少羟基基团,但是没有使用表面改性层30,来制备粘结表面14、24。清洁载体10和薄片20,将粘结表面14和24相互粘结,然后对制品2进行测试。用于制备进行粘结的玻璃的典型清洁过程是SC1清洁过程,其中,将玻璃在稀过氧化氢和碱(通常是氢氧化铵,但是也可使用氢氧化四甲基铵溶液,例如JTBakerJTB-100或JTB-111)中进行清洁。清洁从粘结表面去除了颗粒,使得表面能是已知的,即它提供了表面能的基线。清洁方式不一定是SC1,也可使用其他类型的清洁,如可能对于表面上的硅烷醇基团仅仅具有非常小影响的清洁类型。各个测试结果如下表1所示。
通过对薄玻璃片和玻璃载体进行简单清洁来产生牢固、但是可分离的初始室温或范德华和/或氢键,所述薄玻璃片为100平方毫米x100微米厚,所述玻璃载体是150mm直径的单平均平坦(SMF)晶片,厚度为0.50或0.63mm,它们分别包括Eagle显示器玻璃(购自纽约州康宁市康宁有限公司(CorningIncorporated,Corning,NY)的平均表面粗糙度Ra约为0.2nm的无碱性铝硼硅酸盐玻璃)。在该例子中,玻璃在40:1:2的DI水:JTB-111:过氧化氢的65℃浴中清洁10分钟。薄玻璃或者玻璃载体可以在400℃的氮气中退火10分钟或者不在其中进行退火,以去除残留水,下表1的“载体”列或者“薄玻璃”列中的符号“400℃”表示样品在400℃的氮气中退火10分钟。FPD加工相容性测试证实,该SC1-SC1初始、室温粘结是机械牢固的,足以通过真空、SRD和超声测试。但是,在大于或等于400℃进行加热在薄玻璃和载体之间产生了永久粘结,即无法在不损伤薄玻璃片和/或载体的情况下从载体去除薄玻璃片。甚至对于实施例1c也是这种情况,其中载体和薄玻璃分别具有退火步骤来降低表面羟基浓度。因此,上文所述的仅通过加热来准备粘结表面14、24,然后使得载体10和薄片12在没有表面改性层30的情况下粘结,对于(温度会≥400℃的)FPD加工不是合适的受控粘结。
表1:SC1处理的玻璃粘结表面的加工相容性测试
实施例 载体 薄玻璃 真空 SRD 400C 600C 超声
1a SC1 SC1 P P F F P
1b SC1,400C SC1 P P F F P
1c SC1,400C SC1,400C P P F F P
通过减少羟基和表面改性层来准备粘结表面
可以将通过例如热处理进行的羟基减少和表面改性层30一起使用,来控制粘结表面14、24的相互作用。例如,可以控制粘结表面14、24的粘结能(同时有由于极性/色散能分量所导致的室温下的范德华和/或氢键合,以及由于共价能分量的高温下的共价键合),从而提供不同的粘结强度,从室温粘结(其难以实现简单的室温粘结并在高温加工之后分离粘结表面)到在高温加工之后防止表面在不发生损坏的情况下分离的情况。在一些应用中,可能希望不具有粘结或者具有非常弱的粘结(当表面处于“非粘结”区域时,“非粘结”区域参见US‘727的薄片/载体概念中所述,并且如下文所述)。在其他应用中,例如为FPD工艺提供可再次使用的载体等(其中,可能实现≥500℃或者≥600℃,以及高至650℃的加工温度),希望在室温下具有充分的范德华和/或氢键合,以初始地使得薄片和载体置于一起,并且还防止或限制高温共价键合。对于其他应用,可能希望具有充分的室温粘结,以初始地使得薄片和载体置于一起,并且还在高温下建立强共价键合(当表面处于“粘结”区域时,“粘结”区域参见US‘727的薄片/载体概念中所述,并且如下文所述)。虽然不希望受到理论的限制,但是相信,在一些情况下,表面改性层可用于控制当薄片和载体初始放置在一起时的室温粘结,而表面上的羟基基团的减少(例如,通过对表面进行加热或者通过羟基基团与表面改性层的反应),可用于控制共价键合,特别是处于高温时。
用于表面改性层30的材料可以为粘结表面14、24提供表面仅产生弱粘结的能量(例如,能量<40mJ/m2,从一个表面测得,包括极性和色散分量)。在一个例子中,六甲基二硅氮烷(HMDS)可用于通过与表面羟基反应留下三甲基甲硅烷基(TMS)封端的表面,来产生该低能量表面。HMDS作为表面改性层可以与表面加热一起使用,来减少羟基浓度,以控制室温和高温粘结。通过分别为粘结表面14、24选择合适的粘结表面制备,可以实现具有一定能力范围的制品。更具体地,出于为LTPS加工提供可再次使用的载体的兴趣,可以在薄玻璃片20和玻璃载体10之间实现合适的粘结,从而经受(或通过)真空SRD、400℃(部分a和c)和600℃(部分a和c)加工测试中的每一项。
在一个例子中,在对薄玻璃和载体都进行SC1清洁然后是HMDS处理之后,产生了弱粘结表面,其在室温下以范德华(和/或氢键合)粘结是具有挑战性的。施加机械作用力,以使得薄玻璃与载体粘结。如表2的实施例2a所示,该粘结足够弱到在真空测试和SRD加工中观察到载体的偏折,在400℃和600℃热加工中观察到(可能是由于脱气导致的)气泡,以及在超声加工之后观察到颗粒缺陷。
在另一个例子中,仅仅一个表面(在所引述实施例中是载体)的HMDS处理产生强室温粘合,其经受住了真空和SRD加工。但是,400℃以及更高的热加工使得薄玻璃与载体发生永久粘结。这并非是出乎意料的,因为通过Sindorf和Maciel的J.Phys.Chem.1982,86,5208-5219,已经计算出二氧化硅上的三甲基甲硅烷基基团的最大表面覆盖是2.8/nm2,并且通过Suratwala等人在JournalofNon-CrystallineSolids(非晶固体期刊)316(2003)349–363测出为2.7/nm2,相比较对于完全羟基化二氧化硅的羟基浓度为4.6-4.9/nm2。也就是说,虽然三甲基甲硅烷基基团确实与一些表面羟基结合,但是仍然会留下一些未结合的羟基。因此,会预期给予足够的时间和温度,表面硅烷醇基团的缩合使得薄玻璃与载体永久粘结。
通过在HMDS暴露之前加热玻璃表面来减少表面羟基浓度,可以产生不同的表面能,产生表面能的极性分量的增加。这同时降低了在高温下形成共价Si-O-Si键合的驱动力并且导致更为牢固的室温粘结,例如范德华(和/或氢)键合。图4显示在退火之后以及在HMDS处理之后的Eagle显示器玻璃载体的表面能。在HMDS暴露之前,退火温度的增加通过增加极性贡献(线404),增加了HMDS暴露之后总的(极性和色散)表面能(线402)。还看出,通过热处理,色散贡献(线406)对于总表面能而言,很大程度上保持不变。虽然不希望受到理论的限制,但是增加极性分量,从而增加HMDS处理之后的表面中的总能量,看上去是由于因为被HMDS覆盖的子单层TMS,使得甚至在HMDS处理之后仍有一些暴露的玻璃表面区域。
在实施例2b中,在真空中,将薄玻璃片在150℃的温度加热一小时,之后与具有HMDS涂层的未经热处理的载体粘结。薄玻璃片的该热处理不足以阻碍薄玻璃片与载体在≥400℃的温度下发生永久粘结。
如表2的实施例2c-2e所示,改变HMDS暴露之前的玻璃表面的退火温度,可以改变玻璃表面的粘结能,从而控制玻璃载体和薄玻璃片之间的粘结。
在实施例2c中,载体在温度为190℃的真空中退火一小时,之后进行HMDS暴露,以提供表面改性层30。此外,薄玻璃片在450℃的真空中退火一小时,之后与载体粘结。所得到的制品经受住了真空、SRD和400℃测试(部分a和c,但是没有通过部分b,因为气泡增加),但是未通过600℃测试。因此,虽然相比于实施例2b增加了对于高温粘结的抗性,但是不足以产生对于≥600℃温度下的加工(例如LTPS加工)具有可再次使用的载体的制品。
在实施例2d中,载体在温度为340℃的真空中退火一小时,之后进行HMDS暴露,以提供表面改性层30。再次,薄玻璃片在450℃的真空中退火一小时,之后与载体粘结。结果类似于实施例2c那样,制品经受住了真空、SRD和400℃测试(部分a和c,但是没有通过部分b,因为气泡增加),但是未通过600℃测试。
如实施例2e所示,薄玻璃和载体都在450℃的真空中退火一小时,之后将载体暴露于HMDS,然后使得载体与薄玻璃片粘结,改善了对于永久粘结的温度抗性。两个表面在450℃的退火防止了600℃的RTP退火10分钟之后的永久粘结,也就是说,该样品通过600℃加工测试(部分a和c,但是没有通过部分b,因为气泡增加;对于400℃具有类似结果)。
表2:HMDS表面改性层的加工相容性测试
在上述实施例2a-2e中,载体和薄片分别是Eagle玻璃,其中,载体是150mm直径、630微米厚的SMF晶片,薄片是100平方毫米、100微米厚。通过脉冲气相沉积,在(购自加利福尼亚圣何塞的产量工程系统公司(YieldEngineeringSystems,SanJoseCA))的YES-5HMDS烘箱中施加HMDS,其是一层原子层厚度(即约为0.2-1nm),但是表面覆盖可能小于一层单层,即部分表面羟基没有被HMDS覆盖,如Maceil和上文所述。由于表面改性层的小厚度,几乎没有会引起装置制造中的污染的脱气风险。此外,如表2的“SC1”符号所示,在热处理和任意后续HMDS处理之前,载体和薄片分别采用SC1过程进行清洁。
实施例2a和实施例2b的对比显示,可以通过改变包含表面改性层的表面数量,来控制薄片和载体之间的粘结能。控制粘结能可用于控制两个粘结表面之间的粘结力。此外,实施例2b-2e的对比显示,可以通过改变粘结表面在施加表面改性材料之前所经受的热处理的参数,来控制表面的粘结能。此外,热处理可用于减少表面羟基数量,从而控制共价键合程度,特别是高温下的共价键合。
可以将以不同方式来控制粘结表面上的表面能的其他材料用于表面改性层30,从而控制两个表面之间的室温和高温粘结力。例如,如果对粘结表面中的一个或两个进行改性,以产生与表面改性层的中等粘结力,所述表面改性层覆盖或者是空间位阻了诸如羟基之类的物质,以防止在提升的温度下在载体和薄片之间形成强永久共价键,则可以产生可再次使用的载体。产生可调节的表面能并对表面羟基进行覆盖以防止形成共价键的一种方式是沉积等离子体聚合物膜,例如含氟聚合物膜。等离子体聚合在大气压或减压以及来自源气体的等离子体激发(DC或RF平行板,电感耦合等离子体(ICP)电子回旋共振(ECR下游微波或RF等离子)下沉积聚合物薄膜,所述源气体是例如碳氟化合物源(包括CF4、CHF3、C2F6、C3F6、C2F2、CH3F、C4F8、氯氟碳或氢氯氟碳)、烃类(例如烷烃,包括甲烷、乙烷、丙烷、丁烷;烯烃,包括乙烯、丙烯;炔烃,包括乙炔;以及芳烃,包括苯、甲苯)、氢气和其他气体源(例如SF6)。等离子体聚合产生高度交联材料层。反应条件和源气体的控制可以用来控制膜厚度、密度和化学性质,以将官能团调节至所需用途。
图5显示利用(购自英国牛津郡牛津仪器公司(OxfordInstruments,OxfordshireUK))的OxfordICP380蚀刻工具,从CF4-C4F8混合物沉积的等离子体聚合含氟聚合物(PPFP)膜的总表面能(线502),其包括极性分量(线504)和色散分量(线506)。将膜沉积到Eagle玻璃片上,光谱椭圆对称法显示膜厚为1-10nm。从图5可以看出,用含有小于40%的C4F8的等离子体聚合含氟聚合物膜处理的玻璃载体展现出>40mJ/m2的表面能,并且产生在室温下薄玻璃和载体之间通过范德华或氢键的受控粘结。当初始在室温下粘结载体和薄玻璃时,观察到促进的粘结。也就是说,当将薄片放置到载体上,并在一点对它们进行压制时,波阵面移动穿过载体,但是速度低于其上不具有表面改性层的SC1处理表面所观察到的。受控粘结足以经受住所有标准FPD工艺,包括真空加工、湿加工、超声加工和高至600℃的热加工,也就是说,该受控粘结通过600℃测试,没有发生薄玻璃与载体的移动或脱层。通过如上文所述用剃刀片和/或KaptonTM带进行剥离,来完成脱粘结。(如上文所述沉积的)两种不同PPFP膜的加工相容性如表3所示。形成的实施例3a的PPFP1具有C4F8/(C4F8+CF4)=0,也就是说,是由CF4/H2而非C4F8形成的;沉积的实施例3b的PPFP2具有C4F8/(C4F8+CF4)=0.38。这两种类型的PPFP膜都经受住了真空、SRD、400℃和600℃加工测试。但是,在对PPFP2进行20分钟的超声清洁之后观察到分层,表明没有足够的粘合力以经受此类加工。但是,对于不需要超声加工的一些应用而言,PPFP2的表面改性层可能是可用的。
表3:PPFP表面改性层的加工相容性测试
实施例 载体 薄玻璃 真空 SRD 400C 600C 超声
3a PPFP1 SC1,150C P P P P P
3b PPFP2 SC1,150C P P P P F
在上述实施例3a和3b中,载体和薄片分别是Eagle玻璃,其中,载体是150mm直径、630微米厚的SMF晶片,薄片是100平方毫米、100微米厚。由于表面改性层的小厚度,几乎没有会引起装置制造中的污染的脱气风险。此外,由于表面改性层看上去没有发生分解,同样地,也就不用说脱气的风险了。此外,如表3所示,在150℃真空热处理1小时之前,载体分别采用SC1过程进行清洁。
同样可以将以不同方式来控制表面能的其他材料用作表面改性层,从而控制薄片和载体之间的室温和高温粘结力。例如,通过对玻璃载体和/或玻璃薄片进行硅烷处理,可以产生能够产生受控粘结的粘结表面。对硅烷进行选择,从而产生合适的表面能,从而对于应用具有足够的热稳定性。可以通过如下工艺对待处理的载体或薄玻璃进行处理:例如,O2等离子体或UV-臭氧,以及进行SC1或标准清洁2(SC2,本领域已知)的清洁来去除会干扰硅烷与表面硅烷醇基团反应的有机物和其他杂质(例如金属)。可以使用基于其他化学品的清洗,例如HF或H2SO4清洗化学品。可以对载体或薄玻璃进行加热,以控制施加硅烷之前的表面羟基浓度(如上文关于HMDS的表面改性层所述),和/或可以在施加硅烷之后进行加热,以完成硅烷与表面羟基的缩合。可以使得硅烷化之后的未反应的羟基基团的浓度在粘结之前是足够低的,从而防止在≥400℃的温度下薄玻璃和载体之间的永久粘结,即,以形成受控粘结。该方法如下所述。
实施例4a
然后用甲苯中1%的十二烷基三乙氧基硅烷(DDTS)对其粘结表面经过O2等离子体和SC1处理的玻璃载体进行处理,在150℃的真空中退火1小时,完成缩合。DDTS处理的表面展现出45mJ/m2的表面能。如表4所示,(经过SC1清洁和400℃真空加热1小时的)玻璃薄片与其上具有DDTS表面改性层的载体粘结表面发生粘结。该制品经受住了湿加工和真空加工测试,但是没有通过超过400℃的热加工,由于硅烷的热分解在载体下方形成气泡。对于所有的线性烷氧基和氯烷基硅烷R1xSi(OR2)y(Cl)z,其中x=1-3,y+z=4-x(排除甲基、二甲基和三甲基硅烷的情况(x=1-3,R1=CH3),其产生良好热稳定性的涂层),都预期有这种热分解。
实施例4b
然后用甲苯中1%的3,3,3三氟丙基三乙氧基硅烷(TFTS)对其粘结表面经过O2等离子体和SC1处理的玻璃载体进行处理,在150℃的真空中退火1小时,完成缩合。TFTS处理的表面展现出47mJ/m2的表面能。如表4所示,(经过SC1清洁然后400℃真空加热1小时的)玻璃薄片与其上具有TFTS表面改性层的载体粘结表面发生粘结。该制品经受住了真空、SRD和400℃加工测试,没有发生玻璃薄片与玻璃载体的永久粘结。但是,由于硅烷的热分解,600℃测试产生了载体下方形成的气泡。由于丙基有限的热稳定性,这并非出乎意料的。虽然该样品由于气泡没有通过600℃测试,该实施例的材料和热处理可以用于可以容忍气泡及其不利影响(例如表面平坦度的下降或者波度增加)的一些应用。
实施例4c
然后用甲苯中1%的苯基三乙氧基硅烷(PTS)对其粘结表面经过O2等离子体和SC1处理的玻璃载体进行处理,在200℃的真空中退火1小时,完成缩合。PTS处理的表面展现出54mJ/m2的表面能。如表4所示,(经过SC1清洁然后400℃真空加热1小时的)玻璃薄片与其上具有PTS表面改性层的载体粘结表面发生粘结。该制品经受住了真空加工、SRD加工和高至600℃的热加工,没有发生玻璃薄片与玻璃载体的永久粘结。
实施例4d
然后用甲苯中1%的二苯基二乙氧基硅烷(DPDS)对其粘结表面经过O2等离子体和SC1处理的玻璃载体进行处理,在200℃的真空中退火1小时,完成缩合。DPDS处理的表面展现出47mJ/m2的表面能。如表4所示,(经过SC1清洁然后400℃真空加热1小时的)玻璃薄片与其上具有DPDS表面改性层的载体粘结表面发生粘结。该制品经受住了真空和SRD测试,以及高至600℃的热加工,没有发生玻璃薄片与玻璃载体的永久粘结。
实施例4e
然后用甲苯中1%的4-五氟苯基三乙氧基硅烷(PFPTS)对其粘结表面经过O2等离子体和SC1处理的玻璃载体进行处理,在200℃的真空中退火1小时,完成缩合。PFPTS处理的表面展现出57mJ/m2的表面能。如表4所示,(经过SC1清洁然后400℃真空加热1小时的)玻璃薄片与其上具有PFPTS表面改性层的载体粘结表面发生粘结。该制品经受住了真空和SRD测试,以及高至600℃的热加工,没有发生玻璃薄片与玻璃载体的永久粘结。
表4:硅烷表面改性层的加工相容性测试
实施例 载体 薄玻璃 真空 SRD 400C 600C
4a SC1,DDTS SC1,400C P P F F
4b SC1,TFTS SC1,400C P P P F
4c SC1,PTS SC1,400C P P P P
4d SC1,DPDS SC1,400C P P P P16 -->
4e SC1,PFPTS SC1,400C P P P P
在上述实施例4a-4e中,载体和薄片分别是Eagle玻璃,其中,载体是150mm直径、630微米厚的SMF晶片,薄片是100平方毫米、100微米厚。硅烷层是自装配单层(SAM),因而厚度约为小于约2nm。在上述实施例中,采用具有芳基或烷基非极性尾端和单、二或三醇盐头基团的有机硅烷产生SAM。它们与玻璃上的硅烷醇表面反应,与有机官能团直接附连。非极性头基团之间的较弱相互作用使得有机层有机化。由于表面改性层的小厚度,几乎没有会引起装置制造中的污染的脱气风险。此外,由于实施例4c、4d和4e中的表面改性层看上去没有发生分解,同样地,也就不用说脱气的风险了。此外,如表4所示,在400℃真空热处理1小时之前,玻璃薄片分别采用SC1过程进行清洁。
从实施例4a-4e的对比可以看出,将粘结表面的表面能控制到大于40mJ/m2从而有助于初始室温粘结,不仅仅是出于产生能够经受住FPD加工的受控粘结的考虑,还实现了在不造成损坏的情况下从载体去除薄片。具体来说,从实施例4a-4e看出,载体分别具有大于40mJ/m2的表面能,其有助于初始室温粘结,从而使得制品经受住真空和SRD加工。但是,实施例4a和4b没有通过600℃加工测试。如上文所述,对于某些应用,使得粘结经受住高温加工(对于制品设计使用来说合适的工艺,例如,≥400℃、≥500℃或≥600℃、高至650℃),并且不使得粘结劣化至不足以将薄片和载体保持在一起,以及控制此类高温下发生的共价键合从而在薄片和载体之间不存在永久键合也是重要的。
上文所述的实施例4、3和2中的分离是在室温下进行的,没有加入任意其他热能或化学能来改性薄片和载体之间的粘结界面。仅有的能量输入是机械牵拉和/或剥离力。
可以将如上实施例3和4中所述的材料施加到载体、薄片,或者同时施加到载体和薄片表面,将它们粘结在一起。
受控粘结的用途
可再次使用的载体
经由表面改性层(包含材料和相关的粘结表面热处理)的受控粘结的一个用途是提供经受了要求温度≥600℃的加工(例如,LTPS加工)的制品中的载体的再次使用。如上文实施例2e、3a、3b、4c、4d和4e所示意,表面改性层(包括材料和粘结表面热处理)可以用于提供此类温度条件下的载体的再利用。具体来说,这些表面改性层可以被用于对薄片和载体的粘结表面之间重叠区域的表面能进行改性,从而可以在加工之后从载体分离整个薄片。可以一次性分离整个薄片,或者可以分区段地分离薄片,例如,首先去除部分薄片上产生的器件,然后去除余下部分,对载体进行清洁用于再次使用。在从载体去除整个薄片的情况下,可以简单地通过在其上放置另一个薄片来再次使用载体。或者,可以对载体进行清洁,并且通过再次形成表面改性层再一次地准备承载薄片。因为表面改性层防止了薄片与载体的永久粘结,它们可以被用于温度≥600℃的加工。当然,虽然这些表面改性层可以控制温度≥600℃的加工过程中的粘结表面能,但是它们也可用于产生这样的薄片和载体组合,该组合能够经受住较低温度下的加工,并且可用于此类较低温度的应用来控制粘结。此外,当制品的热加工不会超过400℃时,也可以这种方式使用实施例2c、2d、4b所示意的表面改性层。
提供受控的粘结区域
经由表面改性层(包含材料和相关的粘结表面热处理)的受控粘结的第二个用途是在玻璃载体和玻璃薄片之间提供受控粘结区域。更具体地,通过使用表面改性层,可以形成受控粘结区域,其中,足够的分离作用力可以将薄片部分与载体分离而不会由于粘结造成薄片或载体的损坏,但是在整个加工中仍然维持足够的粘结力将薄片相对于载体保持在一起。参见图6,可以通过粘结区域40使得玻璃薄片20与玻璃载体10粘结。在粘结区域40中,载体10和薄片20相互共价键合,从而它们是作为一个整体的。此外,存在具有周界52的受控粘结区域50,其中载体10和薄片20是相连的,但是可以相互分离,即使是在高温加工(例如温度≥600℃的加工)之后。虽然图6显示10个受控粘结区域50,但是可以提供任意合适数量(包括1个)。如上文实施例2a、2e、3a、3b、4c、4d和4e所示意,表面改性层30(包括材料和粘结表面热处理)可以用于提供载体10和薄片20之间的受控粘结区域50。具体来说,可以在受控粘结区域50的周界52内形成这些表面改性层,它们是在载体10上或者是在薄片20上。因此,为了在粘结区域40中形成共价键合或者是在装置加工过程中,当在高温下加工制品2时,可以在周界52限定的区域内,在载体10和薄片20之间提供受控粘结,从而分离作用力可以分离该区域内的薄片和载体(而不造成薄片或载体的灾难性损坏),但是薄片和载体不会在加工过程(包括超声加工)中发生分层。因此,本申请通过表面改性层和任意相关热处理所提供的受控粘结能够基于US‘727中的载体概念得到改善。具体来说,虽然证实了US‘727的载体凭借其粘结周界和非粘结中心区域经受住了FPD加工(包括≥约600℃的高温加工),但是诸如湿清洁和抗蚀剂汽提加工(resiststripprocessing)之类的超声加工仍是具有挑战性的。具体来说,发现溶液中的压力波在薄玻璃的非粘结区域中诱发共振(非粘结如US‘727所述),因为在该区域中,几乎不存在或者不存在粘结了薄玻璃和载体的粘合力。会在薄玻璃中形成驻波,其中,如果超声振动具有足够强度的话,这些波可能引起会导致粘结和非粘结区域之间的界面处的薄玻璃破裂的振动。可以通过使得薄玻璃和载体之间的间隙最小化,或者通过提供足够的粘合,或者提供这些区域50中的载体20和薄玻璃10之间的受控粘结,来消除该问题。粘结表面的表面改性层(包括材料和任意相关的热处理,如实施例2a、2e、3a、3b、4c、4d和4e所示意)控制了粘结能,从而在薄片20和载体10之间提供足够的粘结,以避免受控粘结区域中这些不合乎希望的振动。
然后,在具有周界57的所需部件56的抽取过程中,可以在加工之后以及在薄片沿着周界57分离之后,使得薄片20在周界52内的部分简单地与载体10分离。因为表面改性层控制了粘结能来防止薄片与载体的永久粘结,它们可以被用于温度≥600℃的加工。当然,虽然这些表面改性层可以控制温度≥600℃的加工过程中的粘结表面能,但是它们也可用于产生这样的薄片和载体组合,该组合能够经受住较低温度下的加工,并且可用于此类较低温度的应用。此外,当制品的热加工不会超过400℃时,在某些情况下,取决于其他工艺要求,也可以这种方式使用实施例2c、2d、4b所示意的表面改性层以控制粘结表面能。
提供粘结区域
经由表面改性层(包含材料和相关的粘结表面热处理)的受控粘结的第三个用途是在玻璃载体和玻璃薄片之间提供粘结区域。参见图6,可以通过粘结区域40使得玻璃薄片20与玻璃载体10粘结。
在第三个用途的一个实施方式中,粘结区域40、载体10和薄片20可以相互共价键合,从而它们是作为一个整体的。此外,存在具有周界52的受控粘结区域50,其中载体10和薄片20是相连的,其足以经受住加工,并且仍然允许薄片与载体分离,即使是在高温加工(例如温度≥600℃的加工)之后。因此,如上文实施例1a、1b、1c、2b、2c、2d、4a和4b所示意,表面改性层30(包括材料和粘结表面热处理)可以用于提供载体10和薄片20之间的粘结区域40。具体来说,可以在受控粘结区域50的周界52外形成这些表面改性层和热处理,它们是在载体10上或者是在薄片20上。因此,当在高温下加工制品2,或者当在高温下处理制品2以形成共价键时,载体和薄片20会在周界52限定的区域外的粘结区域40内相互粘结。然后,在具有周界57的所需部件56的抽取过程中,当希望切割薄片20和载体10时,可以沿着线5分离制品,因为这些表面改性层和热处理使得薄片20与载体10发生共价键合,所以它们在该区域中是一个整体。因为表面改性层提供了薄片与载体的永久共价粘结,它们可以被用于温度≥600℃的加工。此外,当制品的热加工或者初始形成粘结区域40的热加工会是≥400℃但是小于600℃时,还可以这种相同方式来使用表面改性层(如实施例4a所示意的材料和热处理)。
在第三个用途的第二个实施方式中,在粘结区域40中,可以经由上文所述的各种表面改性层,通过受控粘结,使得载体10与薄片20相互粘结。此外,存在具有周界52的受控粘结区域50,其中载体10和薄片20是相连的,其足以经受住加工,并且仍然允许薄片与载体分离,即使是在高温加工(例如温度≥600℃的加工)之后。因此,如果会在高至600℃的温度进行加工,并且不希望在区域40中具有永久或共价键合,则可以使用如上文的实施例2e、3a、3b、4c、4d和4e所示意的表面改性层30(包括材料和粘结表面热处理),以提供载体10和薄片20之间的粘结区域40。具体来说,可以在受控粘结区域50的周界52外形成这些表面改性层和热处理,它们可以形成在载体10上或者是在薄片20上。形成的受控粘结区域50可以具有与粘结区域40中形成的相同或不同的表面改性层。或者,如果仅仅会在高至400℃的温度进行加工,并且不希望在区域40中具有永久或共价键合,则可以使用如上文的实施例2c、2d、2e、3a、3b、4b、4c、4d、4e所示意的表面改性层30(包括材料和粘结表面热处理),以提供载体10和薄片20之间的粘结区域40。
作为区域50中受控粘结的替代,可以在区域50中存在非粘结区域,其中所述非粘结区域可以是表面粗糙度增加的区域(如US‘727所述),或者可以通过如实施例2a所示意的表面改性层来提供。
整体退火或整体加工
上文所述的受控粘结方式的第四个用途是玻璃片堆叠的整体退火。退火是实现玻璃压实的热工艺。压实涉及将玻璃体再加热至如下温度,该温度低于玻璃软化点但是高于后续加工步骤中所达到的最大温度。这在后续加工之前而不是后续加工过程中实现了玻璃中的结构重排和尺度松弛。后续加工之前的退火对于在后续加工过程中维持精确对准和/或玻璃体中的平坦度是有利的,因为在平板显示器装置的制造中,由许多层制造的结构需要以非常严格的容差进行对准,甚至是在经受高温环境之后。如果玻璃在一个高温过程中压实,则在高温过程之前沉积到玻璃上的结构层可能无法与在高温过程之后沉积的结构层准确地对准。
将堆叠中的玻璃片压实在经济上来说是诱人的。但是,这需要相邻片材的夹层或分离,以避免粘住。同时,维持片材的极为平坦并具有光学质量或原始表面精整是有益的。此外,对于某些玻璃片堆叠,例如具有小表面积的片材,在退火过程中将玻璃片“粘”在一起可能是有利的,从而它们可以容易地作为一个单位移动而不发生分离,但是在退火过程之后容易地(通过例如剥离)相互分离,从而可以单独地使用片材。或者,如下方式可能是有利的:对玻璃片堆叠进行退火,其中防止了玻璃片中选择的片材相互永久粘合,同时玻璃片的其他片材或部分的这些其他玻璃片(例如它们的周界)相互永久粘合。又或者,如下方式可能是有利的:在整体中堆叠玻璃片,使得堆叠中选择的相邻片材对的周界选择性地永久粘合。上文所述的控制玻璃片之间的粘合的方式可以被用于实现前述整体退火和/或选择性粘结。为了控制相邻片材之间的任意特定界面处的粘结,可以在朝向该界面的主表面中的至少一个上使用表面改性层。
下面将参见图7和8描述适用于整体退火或选定区域(例如绕着周界)中的整体永久粘结的玻璃片堆叠的一个实施方式。其中,图7是玻璃片770-772的堆叠760的侧视示意图,图8是其分解图,出于进一步解释的目的。
玻璃片的堆叠760可包括玻璃片770-772,以及表面改性层790(以控制玻璃片770-772之间的粘结)。此外,堆叠760可包括布置在堆叠顶部和底部的覆盖片780、781,并且可包括覆盖和相邻玻璃片之间的表面改性层790。
如图8所示,玻璃片770-772分别包括第一主表面776和第二主表面778。玻璃片可以由任意合适的玻璃材料制得,例如铝硅酸盐玻璃、硼硅酸盐玻璃或者铝硼硅酸盐玻璃。此外,玻璃可以是含碱性或者不含碱性的。玻璃片770-772可以分别具有相同组成,或者片材可以是不同组成。此外,玻璃片可以是任意合适的类型。也就是说,例如玻璃片770-772可以全部是上文所述的载体,可以全部是上文所述的薄片,或者可以交替地是载体或薄片。当载体相对于薄片对于整体退火要求不同的时间-温度循环时,具有载体堆叠和分开的薄片堆叠可能是有利的。或者,通过正确的表面改性层材料和放置,可能希望具有交替的载体和薄片的堆叠,从而如果希望的话,载体和薄片对(即形成制品的那些)可以在整体中、在稍后的加工中相互共价键合,同时保留将相邻制品相互分开的能力。此外,堆叠中可以具有任意合适数量的玻璃片。也就是说,虽然图7和8中仅仅显示三块玻璃片770-772,但是在堆叠760中可以包含任意合适数量的玻璃片。
在任意具体堆叠760中,任意一块玻璃片可以不包含表面改性层,包含一层表面改性层或者包含两层表面改性层。例如,如图8所示,片材770不包含表面改性层,片材771在其第二主表面778上包含一层表面改性层790,以及片材772包含两层表面改性层790,其中,在其主表面776、778上分别具有一层此类表面改性层。
覆盖片780、781可以是合适地耐受对于给定工艺的时间-温度循环(不仅仅是时间和温度,还相对于诸如类似脱气之类的其他相关考虑)的任意材料。有利地,覆盖片可以由与进行加工的玻璃片相同的材料制得。当存在覆盖片780、781,并且在使得堆叠通过给定的时间-温度循环之后,它们会不合乎希望地与玻璃片发生粘结的情况下,可以在玻璃片771和覆盖片781之间和/或玻璃片772与覆盖片780之间包含表面改性层790,如果合适的话。当存在于覆盖和玻璃片之间时,表面改性层可以在覆盖上(如覆盖781和相邻片771所示),表面改性层可以在玻璃片上(如覆盖780和片材772所示),或者表面改性层可以同时在覆盖和相邻片上(未示出)。或者,如果存在覆盖片780、781但是它们是不会与相邻片材772、772发生粘结的材料,则在其间不需要表面改性层790。
在堆叠中的相邻片材之间,存在界面。例如,在玻璃片770-772的相邻片材之间,限定了界面,即片材770和片材771之间的界面791以及片材770和片材772之间的界面792。此外,当存在覆盖片780、781时,在覆盖781和片材771之间存在界面793,以及在片材772和覆盖780之间存在界面794。
为了控制相邻玻璃片之间的给定界面791、792处的粘结,或者玻璃片和覆盖片之间的给定界面793、794处的粘结,可以使用表面改性层790。例如,如所示,在界面791、792分别存在位于朝向该界面的至少一个主表面上的表面改性层790。例如,对于界面791,玻璃片771的第二主表面778包含表面改性层790,以控制片材771和相邻片材770之间的粘结。虽然未示出,但是片材770的第一主表面776也可在其上包含表面改性层790,以控制与片材771的粘结,即在朝向任意特定界面的每个主表面上都可以有表面改性层。
在任意给定界面791-794,可以为朝向该特定界面791-794的主表面776、778选择特定的表面改性层790(以及任意相关的表面改性处理,例如向特定表面施加特定表面改性层之前,该表面上的热处理,或者可能与表面改性层接触的表面的表面热处理),以控制相邻片材之间的粘结,从而对于堆叠760所经受的给定时间-温度循环,实现所需的输出。
如果希望在高至400℃的温度对玻璃片770-772的堆叠进行整体退火,并且在退火过程之后使得每块玻璃片相互分离,则可以采用根据实施例2a、2c、2d、2e、3a、3b或者4b-4e中任一项的材料,结合任意相关的表面准备,来控制任意特定界面(例如界面791)的粘结。更具体来说,会将片材770的第一表面776视作表2-4中的“薄玻璃”,而会将片材771的第二表面778视作表2-4中的“载体”,反之亦可。然后可以基于所需的压实程度、堆叠中的片材数量以及片材的尺寸和厚度,来选择具有高至400℃温度的合适的时间-温度循环,从而在整个堆叠中实现所要求的时间-温度。
类似地,如果希望在高至600℃的温度对玻璃片770-772的堆叠进行整体退火,并且在退火过程之后使得每块玻璃片相互分离,则可以采用根据实施例2a、2e、3a、3b、4c、4d、4e中任一项的材料,结合任意相关的表面准备,来控制任意特定界面(例如界面791)的粘结。更具体来说,会将片材770的第一表面776视作表2-4中的“薄玻璃”,而会将片材771的第二表面778视作表2-4中的“载体”,反之亦可。然后可以基于所需的压实程度、堆叠中的片材数量以及片材的尺寸和厚度,来选择具有高至600℃温度的合适的时间-温度循环,从而在整个堆叠中实现所要求的时间-温度。
此外,可以通过合适地配置片堆叠以及它们各对之间的表面改性层,来预先形成整体退火和整体制品成形。如果希望在高至400℃的温度对玻璃片770-772的堆叠进行整体退火,然后整体中共价键合的相邻片材对相互形成制品2,则可以为受控粘结选择合适的材料和相关的表面准备。例如,绕着周界(或者其他所需的粘结区域40),可以采用如下方式控制待形成制品2的玻璃片对(例如片材770和771)之间的界面处的粘结:(i)根据实施例2c、2d、4b中任一项的材料,连同任意相关的表面准备,绕着片材770、771的周界(或者其他所需的粘结区域40);以及(ii)根据实施例2a、2e、3a、3b、4c、4d、4e中任一项的材料,连同任意相关的表面准备,在片材770、771的内部区域上(即,(i)中处理的周界的内部区域,或者希望使得片材相互分开的所需的受控粘结区域50)。在这种情况下,然后可以在高至600℃的温度对受控粘结区域50中的装置进行加工。
可以对材料和热处理进行适当选择,以使得相互兼容。例如,任意材料2c、2d或4b可以用于粘结区域40,根据实施例2a的材料用于受控粘结区域。或者,可以对粘结区域和受控粘结区域的热处理进行适当控制,以使得在一个区域中的热处理的效应对于相邻区域中所需的粘结程度的负面影响最小化。
在为堆叠中的玻璃片适当地选择了表面改性层790和相关的热处理之后,可以将这些片材适当地排列成堆叠,然后加热到高至400℃,使得整个堆叠中的所有片材进行整体退火,而不使得它们相互永久粘结。然后,可以将堆叠加热到高至600℃,以在相邻片对的所需的粘结区域中形成共价键,以形成具有粘结区域和受控粘结区域图案的制品2。可以用实施例2a、2e、3a、3b、4c、4d、4e的材料和相关热处理,对有待通过粘结区域40发生共价键合以形成制品2的一对片材与形成分开但相邻的制品2的另一对此类片材之间的界面处的粘结进行控制,从而相邻制品2不会相互共价键合。以这种相同的控制相邻制品之间的粘结的方式,可以控制制品与存在于堆叠中的任意覆盖片之间的粘结。
此外,类似于上文所述,可以从堆叠760在整体中形成制品2,而不用事先对该相同的堆叠760进行退火。相反地,片材可以是已经经过分开的退火,或者在不同的堆叠中进行退火并从其分离,之后出于堆叠中所需的受控粘结对它们进行配置,以在整体中产生制品。从紧接上文所述的整体退火方式,然后从一个且相同的堆叠在整体中形成制品,简单地省略了整体退火。
虽然仅仅详细描述了界面791处的受控粘结的方式,但是显然可以在界面792或者(在堆叠中存在不止三块玻璃片的情况下,或者覆盖片会不合乎希望地与玻璃片粘结的情况下)特定堆叠中可能存在的任意其他界面处进行相同的方式。此外,虽然可以在存在的任意界面791、792、793、794处使用相同的控制粘结的方式,但是也可以在不同界面处使用上文所述的不同的控制粘结的方式,以所需的粘结类型的形式产生相同或不同的输出。
在上文所述的整体退火过程中,或者在整体中形成制品2时,当HMDS用作控制界面处的粘结的材料,并且HMDS暴露于堆叠的外周界时,当希望防止HMDS区域中的共价键合时,应该在无氧气氛中进行高于约400℃的加热。也就是说,如果(在高于约400℃的温度)使得HMDS暴露于气氛中足以使得HMDS氧化的氧含量,则HMDS发生氧化的任意此类区域中的粘结会变成相邻玻璃片之间的共价键合。在较高温度下(例如高于约400℃),其他烷基烃硅烷会类似地暴露于氧气的影响,例如乙基、丙基、丁基或甾基硅烷。类似地,如果表面改性层使用其他材料,则应该对整体退火的环境进行选择,从而使得材料不会随着退火的时间-温度循环而降解。如本文所用,不含氧可以表示为氧浓度小于1000ppm,以体积计,更优选小于100ppm,以体积计。
一旦对片堆叠进行了整体退火,可以从堆叠分离单独的片材。可以对单独的片材进行处理(例如,通过氧等离子体,在≥400℃的温度下在氧环境中加热,或者通过化学氧化,SC1或SC2),以去除表面改性层790。单独的片材可以按需用作例如电子器件基材,如OLED、FPD或PV装置。
上文所述的整体退火或整体加工的方法具有以经济地方式维持清洁片表面的优势。更具体地,无需从头到尾将片材保持在干净环境中,如同清洁室退火玻璃退火炉那样。相反地,可以在干净环境中形成堆叠,然后在标准退火玻璃退火炉(即,清洁度没有受控制的那种)中进行加工,因为在片材之前没有流体流动,所以不会造成片表面变脏。因此,保护了片表面免受片堆叠进行退火的环境的影响。在退火之后,可以容易地将片堆叠转移到另一加工区域(在相同设备或者不同设备中),因为片材维持了一定程度的粘合,但是在受到足够的作用力之后也保持可分离而不会损坏片材。也就是说,(例如)玻璃制造商可以对玻璃片堆叠进行装配和退火,然后将片材作为在运输过程中保持在一起的堆叠进行转移(而不用担心它们在运输过程中的分离),在抵达其最终位置之后,可以由消费者从堆叠分离片材,所述消费者可能使用单块片材或者使用较小组合的片材。一旦希望分离的话,则可以再次在干净环境中加工片堆叠(如果需要的话在堆叠清洗之后)。
整体退火的实施例
使用从熔合拉制工艺刚得到的玻璃基材。熔合拉制玻璃组成如下,以摩尔%计:SiO2(67.7)、Al2O3(11.0)、B2O3(9.8)、CaO(8.7)、MgO(2.3)、SrO(0.5)。使用HF,通过具有200nm深的基准/游标的平版印刷法,将七块(7块)0.7mm厚、直径150mm的熔合拉制玻璃基材图案化。将两纳米(2nm)的等离子体沉积的含氟聚合物作为表面改性层涂覆到所有玻璃基材的所有粘结表面上,即基材朝向另一基材的每个表面都得到涂覆,如此得到的每块片表面的表面能约为35mJ/m2。将7块经涂覆的单独的玻璃基材放在一起以形成单个、厚的基材(称作“玻璃堆叠”)。将玻璃堆叠在氮气吹扫的管式炉中退火,在15分钟的时间段内从30℃升温至590℃,在590℃保持30分钟,然后在50分钟的时间段内降温至约230℃,然后从炉中取出玻璃堆叠,并在约10分钟内冷却至约30℃的室温。在冷却之后,从炉中取出基材,采用剃刀片楔容易地将基材分成单独的片材(即样品没有发生整体或局部的永久粘结)。通过将玻璃基准与未退火的石英参照进行对比,测量各个单独基材上的压实。发现单独基材被压实至约185ppm。两块基材作为单独的样品(没有堆叠在一起)进行如上所述的第二次退火循环(590℃/保持30分钟)。再次测量压实,发现由于第二次加热处理(相比于原始玻璃尺度,第二次热处理之后的玻璃尺度变化减去第一次热处理之后的玻璃尺度变化)进一步压实至小于10ppm(实际为0-2.5ppm)。因此,本发明的发明人证实了可以对单独的玻璃片进行涂覆、堆叠和高温热处理,以实现压实、冷却、分离成单个片材,并且在第二次热处理之后具有<10ppm(甚至<5ppm)的尺度变化(相比于其在第一次热处理之后的尺寸)。
虽然上文所述的退火实施例中用氮气吹扫炉子,但是也可用其他气体吹扫炉子,包括空气、氩气、氧气、CO2或其组合,这取决于退火温度以及在特定环境中、这些温度下的表面改性层材料的稳定性。
此外,虽然未示出,但是玻璃可以以卷绕的形式(而非片材的形式)进行退火。也就是说,可以在玻璃带的一侧或两侧上形成合适的表面改性层,然后对带材进行卷绕。整个带材可以经受上文对于片材所述的相同处理,整个卷绕的玻璃在退火之后,一圈上的玻璃不会粘住相邻另一圈上的玻璃。在解绕之后,可以通过任意合适的工艺去除表面改性层。
脱气
用于典型晶片粘结应用的聚合物粘合剂通常厚10-100微米,在其温度限或温度限附近损失其质量的约5%。对于从厚的聚合物膜发展来的此类材料,容易通过质谱对质量损耗或脱气进行定量化。另一方面,测量厚度小于或等于约10nm的薄表面处理的脱气更具有挑战性,例如上文所述的等离子体聚合物或自装配单层表面改性层以及热解硅油的薄层。对于此类材料,质谱的灵敏度不够。但是,存在许多其他方式来测量脱气。
测量少量脱气的第一种方式是基于表面能测量,将参照图9进行描述。为了进行该测试,可以使用如图9所示的设定。其上具有待测试的表面改性层的第一基材或载体900存在表面902,即对应于待测试的表面改性层30的组成和厚度的表面改性层。放置第二基材或覆盖910,使其表面912紧密靠近载体900的表面902,但是不与其发生接触。表面912是未涂覆的表面,即制得覆盖的材料的裸表面。在载体900和覆盖910之间的各点处放置隔离物,从而将它们保持分开的关系。隔离物应该足够厚,从而将覆盖910与载体900分开,以实现材料的相互移动,但是隔离物应该足够薄从而在测试期间,室气氛对于表面902和912的污染量被最小化。载体900、隔离物920和覆盖910一起形成测试制品901。
在组装测试制品901之前,测量裸表面912的表面能,作为表面902(即其上提供由表面改性层的载体900的表面)的表面能。表面能如图10所示,通过将三种测试液体(水、二碘甲烷和十六烷)的三个接触角与S.Wu(1971)建立的理论模型拟合,来同时测量极性分量和色散分量。(参见S.Wu,J.Polym.Sci.C,34,19,1971)
在组装之后,将测试制品901放入加热室930中,加热通过时间-温度循环。在大气压和流动N2气体(即,以箭头940的方向,以2标准升每分钟的流量流动)条件下,进行加热。
在加热循环过程中,表面902的变化(包括由于例如蒸发、热解、分解、聚合、与载体反应以及去湿导致的表面改性层的变化)通过表面902的表面能的变化得以证实。表面902的表面能自身的变化不一定意味着表面改性层已经发生脱气,但是表明的是材料在该温度下的整体不稳定性,因为由于例如上文所述的机制导致其特性的变化。因此,表面902的表面能变化越小,表面改性层越稳定。另一方面,因为表面912与表面902的紧密接近,从表面902脱气的任意材料会被收集在表面912上并会改变表面912的表面能。因此,表面912的表面能的变化是表面902上存在的表面改性层的脱气的代理。
因此,脱气的一种测试使用覆盖表面912的表面能的变化。具体来说,如果表面912的表面能的变化≥10mJ/m2,则存在脱气。该大小的表面能变化与会导致膜粘附损失或者材料性质和装置性能裂化的污染相符合。≤5mJ/m2的表面能变化接近于表面能测量的可重复性和表面能的不均匀性。该小的变化与最小脱气相符合。
在产生图10的结果的测试中,载体900、覆盖910和隔离物920由EagleXG玻璃(购自纽约州康宁市康宁有限公司的无碱性铝硼硅酸盐显示器等级玻璃)制得,但是不一定是这种情况。载体900和覆盖910的直径为150mm,厚为0.63mm。通常,载体910和覆盖920分别是由希望进行脱气测试的载体10和薄片20的相同材料制得的。在该测试过程中,硅隔离物厚0.63mm、宽2mm且长8cm,从而在表面902和912之间形成0.63mm的间隙。在该测试过程中,在MPT-RTP600s快速热加工设备中整合室930,其以9.2℃/分钟的速率从室温循环至测试限温度,在该测试限温度保持如图“退火时间”所示的各种时间,然后以炉速率冷却至200℃。在烘箱冷却至200℃之后,取出测试制品,在测试制品冷却至室温之后,再次分别测量表面902和912的表面能。因此,举例来说,对于材料#1,线1003,测试到限值温度450℃的覆盖表面能的变化数据,数据收集如下。0分钟的数据点显示75mJ/m2(毫焦每平方米)的表面能,其是裸玻璃(即还没有进行时间-温度循环)的表面能。1分钟的数据点表明进行了如下时间-温度循环之后测得的表面能:将(在载体900上具有材料#1用作表面改性层以存在表面902的)制品901放入室温和大气压下的加热室903中;以9.2℃/分钟的速率将室加热至450℃的测试限温度,N2气体流量为2个标准升/分钟,以及在450℃的测试限温度保持1分钟;然后以1℃/分钟的速率将室冷却至300℃,然后从室930取出制品901;然后(在没有N2流动气氛的情况下)将制品冷却至室温;然后测量表面912的表面能,并绘制作为线1003上1分钟的点。然后以相同的方式确定材料#1余下的数据点(线1003、1004)以及材料#2的数据点(线1203、1204)、材料#3的数据点(线1303、1304)、材料#4的数据点(线1403、1404)、材料#5的数据点(线1503、1504)以及材料#6的数据点(线1603和1604),退火时间(分钟)对应于测试限温度(450℃或600℃,合适即可)的保持时间。以类似的方式确定表示表面改性层材料(材料#1-6)的表面902的表面能的线1001、1201、1202、1301、1302、1401、1402、1501、1502、1601和1602的数据点,不同之处在于,在每次时间-温度循环之后测量表面902的表面能。
对于如下所示的6种不同材料进行上述组装过程和时间-温度循环,结果如图10所示。在6种材料中,材料#1-4对应上文所述的表面改性层材料。材料#5和#6是比较例。
材料#1是CHF3-CF4等离子体聚合的含氟聚合物。该材料与上文的实施例3b中的表面改性层材料一致。如图10所示,线1001和1002显示载体的表面能没有明显变化。因此,该材料在450-600℃的温度时非常稳定的。此外,如线1003和1004所示,覆盖的表面能也没有明显变化,即变化≤5mJ/m2。因此,在450-600℃,没有与该材料相关的脱气。
材料#2是苯基硅烷,从1%的苯基三乙氧基硅烷的甲苯溶液沉积,并在190℃的真空烘箱中固化30分钟的自装配单层(SAM)。该材料与上文的实施例4c中的表面改性层材料一致。如图10所示,线1201和1202表明载体上的表面能的部分变化。如上所述,这表明表面改性层的部分变化,作为对比,材料#2稍微没有材料#1那么稳定。但是,如线1203和1204所示,载体的表面能的变化≤5mJ/m2,显示表面改性层的变化没有导致脱气。
材料#3是五氟苯基硅烷,从1%的五氟苯基三乙氧基硅烷的甲苯溶液沉积,并在190℃的真空烘箱中固化30分钟的SAM。该材料与上文的实施例4e中的表面改性层材料一致。如图10所示,线1301和1302表明载体上的表面能的部分变化。如上所述,这表明表面改性层的部分变化,作为对比,材料#3稍微没有材料#1那么稳定。但是,如线1303和1304所示,载体的表面能的变化≤5mJ/m2,显示表面改性层的变化没有导致脱气。
材料#4是在140℃的YESHMDS烘箱中,从蒸汽沉积的六甲基二硅氮烷(HMDS)。该材料与上文表2的实施例2b中的表面改性层材料一致。如图10所示,线1401和1402表明载体上的表面能的部分变化。如上所述,这表明表面改性层的部分变化,作为对比,材料#4稍微没有材料#1那么稳定。此外,材料#4的载体的表面能变化大于任意材料#2和#3的表面能变化,作为对比,表明材料#4稍微没有材料#2和#3那么稳定。但是,如线1403和1404所示,载体的表面能的变化≤5mJ/m2,显示表面改性层的变化没有导致影响覆盖的表面能的脱气。但是,这与HMDS脱气的方式相一致。也就是说,HMDS脱气出氨和水,其不影响覆盖的表面能,不会影响一些电子制造设备和/或工艺。另一方面,当在薄片和载体之间俘获了脱气产物时,可能存在其他问题,如下文关于第二种脱气测试所述。
材料#5是缩水甘油氧代丙基硅烷(glycidoxypropylsilane),从1%的缩水甘油氧代丙基三乙氧基硅烷的甲苯溶液沉积,并在190℃的真空烘箱中固化30分钟的SAM。这是比较例材料。虽然如线1501和1502所示,载体的表面能变化较小,但是如线1503和1504所示,覆盖的表面能变化明显,参见图10。也就是说,虽然材料#5在载体表面上较为稳定,但是其确实脱气出了显著量的材料到覆盖表面上,从而覆盖表面能变化≥10mJ/m2。虽然表面能在600℃的10分钟的结束时处于10mJ/m2之内,但是在该期间的变化确实超过10mJ/m2。参见例如,1分钟和5分钟的数据点。虽然不希望受到理论的限制,表面能从5分钟到10分钟的略微上升可能是由于部分的脱气材料分解并离开覆盖表面。
材料#6是DC704,通过如下方式制备的硅酮涂料:将5mL的道康宁公司(DowCorning704)扩散泵油四甲基四苯基三硅氧烷(购自道康宁公司)分散到载体上,将其放置在空气中的500℃的热盘上,持续8分钟。将视觉可见冒烟的终止计作样品制备的完成。在以上文所述的方式制备样品之后,进行上文所述的脱气测试。这是比较例材料。如图10所示,线1601和1602表明载体上的表面能的部分变化。如上所述,这表明表面改性层的部分变化,作为对比,材料#6没有材料#1那么稳定。此外,如线1603和1604所示,载体的表面能变化≥10mJ/m2,显示明显的脱气。更具体来说,在450℃的测试限温度,10分钟的数据点显示表面能下降约15mJ/m2,对于1分钟和5分钟的数据点,表面能下降更多。类似地,在600℃测试限温度的循环过程中,对于覆盖的表面能变化,在10分钟数据点的覆盖的表面能下降约为25mJ/m2,略大于5分钟,略小于1分钟。但是,总的来说,该材料在整个测试范围显示出明显的脱气量。
明显地,对于材料#1-4,整个时间-温度循环的表面能表明覆盖表面保持与裸玻璃相一致的表面能,即没有收集到从载体表面脱气的材料。在材料#4的情况下,如关于表2所述,载体和薄片表面的制备方式(通过表面改性层使得薄片与载体粘结在一起)使得制品能否经受住FPD加工具有大差异。因此,虽然图10所示的材料#4的例子可能没有脱气,但是该材料可能经受住或者经受不住400℃或600℃测试,如关于表2所述。
测量少量脱气的第二种方式是基于自装配制品,即,通过表面改性层将薄片与载体粘结,使用百分比气泡面积的变化来确定脱气。也就是说,在制品的加热过程中,在载体和薄片之间形成的气泡表明表面改性层的脱气。如上文关于第一脱气测试所述,难以测量非常薄的表面改性层的脱气。在该第二种测试中,薄片下的脱气可能受到薄片和载体之间的强粘合的限制。但是,≤10nm的层厚(例如等离子体聚合的材料、SAM和热解硅油表面处理)仍然可能在热处理过程中产生气泡,即使它们具有较小的绝对质量损耗。并且在薄片和载体之间产生气泡可能导致图案产生的问题、光刻加工的问题和/或将器件加工到薄片上的过程中的对准问题。此外,薄片和载体之间的粘结区域的边界处的气泡可能导致来自一个工艺的加工流体污染下游工艺的问题。气泡面积百分比变化≥5是明显的,表明脱气,并且是不合乎希望的。另一方面,气泡面积百分比变化≤1是不明显的,表明不存在脱气。
手动粘结的1000级别的清洁室中,粘结的薄玻璃的平均气泡面积为1%。粘结载体中的%气泡与载体、薄玻璃片和表面制备的清洁度有关。因此这些初始缺陷起了热处理之后的气泡生产的成核点位的作用,热处理之后,气泡面积小于1%的任意变化落在样品制备的可变性范围内。为了进行该测试,使用市售可得的具有透明单元的桌面扫描仪(爱普生快速(EpsonExpression)10000XLPhoto)来得到紧接粘结之后的薄片和载体的粘结区域的第一张扫描图像。采用标准爱普生软件,使用508dpi(50微米/像素)和24bit(比特)RGB对部件进行扫描。如果需要的话,图像加工软件首先通过将样品的不同区段的图像缝合成单个图像并(通过在扫描仪中没有样品的情况下扫描的校准参照)去除扫描仪人工制品来制备图像。然后采用标准图像加工技术,例如取阈值、填孔、侵蚀/膨胀和污点分析,对粘结区域进行分析。也可以相似的方式使用较新的爱普生快速(EpsonExpression)11000XLPhoto。在透射模式中,粘结区域中的气泡在扫描图像中是视觉可见的,可以确定气泡面积的值。然后,将气泡面积与总粘结面积(即,薄片和载体之间的总重叠面积)对比,以计算粘结区域中的气泡相对于总粘结面积的%面积。然后在N2气氛下,以300℃、450℃和600℃的测试限温度,在MPT-RTP600s快速热加工系统中对样品进行热处理,持续高至10分钟。具体来说,所进行的时间-温度循环包括如下:将制品插入到室温和大气压的加热室中;然后以9℃/分钟的速率将室加热至测试限温度;将室在测试限温度保持10分钟;然后以炉速率将室冷却至200℃;从室去除制品并冷却至室温;然后用光学扫描仪第二次扫描制品。然后如上所述计算第二次扫描的%气泡面积,并与第一次扫描的%气泡面积进行对比,以确定%气泡面积的变化(Δ%气泡面积)。如上文所述,≥5%的气泡面积变化是明显的,表明脱气。由于原始%气泡面积的变化性,因此将%气泡面积变化选作测量标准。也就是说,在薄片与载体制品之后以及在它们粘结之前,由于处理和清洁度,导致大部分的表面改性层在第一次扫描中具有约2%的气泡面积。但是,各种材料之间可能存在变化。在该第二种脱气测试方法中,再次使用关于第一种脱气测试方法所述的相同材料#1-6。在这些材料中,材料#1-4在第一次扫描中展现出约为2%的气泡面积,而材料#5和#6在第一次扫描中显示出明显更大的气泡面积,即约为4%。
下面将参见图11和12描述第二种脱气测试的结果。材料#1-3的脱气测试结果如图11所示,而材料#4-6的脱气测试结果如图12所示。
材料#1的结果在图11中显示为正方形数据点。从图中可以看出,对于300℃、450℃和600℃的测试限温度,%气泡面积变化接近零。因此,材料#1在这些温度下没有显示出脱气。
材料#2的结果在图11中显示为菱形数据点。从图中可以看出,对于450℃和600℃的测试限温度,%气泡面积变化小于1。因此,材料#2在这些温度下没有显示出脱气。
材料#3的结果在图11中显示为三角形数据点。从图中可以看出,类似于材料#1的结果,对于300℃、450℃和600℃的测试限温度,%气泡面积变化接近零。因此,材料#1在这些温度下没有显示出脱气。
材料#4的结果在图12中显示为圆形数据点。从图中可以看出,对于300℃的测试限温度,%气泡面积变化接近零,但是对于某些样品,在450℃和600℃的测试限温度,其接近1%,对于相同材料的其他样品,在450℃和600℃的测试限温度,其约为5%。材料#4的结果是非常不一致的,取决于与HMDS材料粘结的薄片和载体表面的制备方式。样品所进行的方式取决于样品制备的方式,其与上表2所述的关于该材料的实施例和相关讨论相一致。应注意的是,对于该材料,在450℃和600℃测试限温度具有接近1%的气泡面积变化的样品无法实现根据上文所述的分离方法来分离薄片和载体。也就是说,薄片和载体之间的强粘合可能具有有限的气泡产生。另一方面,具有接近5%的气泡面积变化的样品确实允许薄片和载体的分离。因此,不具有脱气的样品在热处理之后具有不合乎希望的粘合增加的结果,其使得载体和薄片粘在一起(阻碍了从载体去除薄片),而允许薄片和载体去除的样品具有不合乎希望的脱气结果。
材料#5的结果在图12中显示为三角形数据点。从图中可以看出,对于300℃的测试限温度,%气泡面积变化约为15%,大于450℃和600℃的较高测试限温度的情况。因此,材料#5在这些温度下显示出脱气。
材料#6的结果在图12中显示为正方形数据点。从图中可以看出,对于300℃的测试限温度,%气泡面积变化超过2.5%,对于450℃和600℃的测试限温度,其超过5%。因此,材料#6在450℃和600℃的测试限温度显示出明显的脱气。
结论
应当强调,本发明上述实施方式、特别是任意“优选的”实施方式,仅仅是可能实现的例子,仅用来清楚理解本发明的各个原理。可以对本发明的上文所述的实施方式进行许多改变和改进,而不明显背离本发明的精神和各个原理。所有这些改变和改进旨在包括在本文和本发明的范围内,并且受到如下附权利要求书的保护。
例如,虽然许多实施方式所示和所述的表面改性层30是形成在载体10上的,但是作为替代或补充,其可以是形成在薄片20上的。也就是说,可以将实施例4和3所述的材料施加到载体10和/或薄片20的将要粘结在一起的面上。
此外,虽然一些表面改性层30描述为控制粘结强度从而允许甚至在400℃(或600℃)的温度下对制品2进行加工之后从载体10去除薄片20,但是当然也可以在比制品通过的具体测试低的那些温度下加工制品2,并且仍然实现从载体10去除薄片20而不造成薄片20或载体10损坏的相同能力。
此外,虽然本文采用载体和薄片描述了受控粘结的概念,但是在某些情况下,它们适用于控制较厚的玻璃、陶瓷或玻璃陶瓷片之间的粘结,其中可能希望片材(或其部分)相互分离。
此外,虽然本文描述了受控粘结概念可用于玻璃载体和玻璃薄片,但是载体也可由其他材料(例如,陶瓷、玻璃陶瓷或金属)制成。类似地,与载体受控粘结的片材可以由其他材料(例如陶瓷或玻璃陶瓷)制成。
根据第一个方面,提供了一种对玻璃进行退火的方法,所述方法包括:
堆叠多层玻璃层,每层玻璃层具有两个主表面,使得在所述多层玻璃层中的相邻玻璃层之间限定了界面,其中,在朝向一个界面的至少一个主表面上布置有表面改性层;
将玻璃层堆叠暴露于足以压实每层玻璃层的时间-温度循环,
其中,在整个时间-温度循环中,表面改性层足以控制堆叠中限定了一个界面的相邻玻璃层之间的粘结,其中,将粘结控制成这样的作用力,所述作用力使得如果举起一层而另一层经受重力作用的情况下,它们不会相互分开,但是所述作用力使得可以在不将相邻玻璃层中的一层破碎成两片或更多片的情况下,将它们分开。
根据第二个方面,提供了第一个方面的方法,其中,时间-温度循环包括≥400℃但是小于玻璃片的应变点的温度。
根据第三个方面,提供了第一个方面的方法,其中,时间-温度循环包括≥600℃但是小于玻璃片的应变点的温度。
根据第四个方面,提供了第一至第三个方面中任一项的方法,其中,表面改性层是以下一种:HMDS、等离子体聚合的含氟聚合物以及芳族硅烷。
根据第五个方面,提供了第四个方面的方法,其中,当表面改性层包括等离子体聚合的含氟聚合物时,表面改性层是以下一种:等离子体聚合的聚四氟乙烯;以及由C4F8≤40%的CF4-C4F8混合物沉积的等离子体聚合的含氟聚合物表面改性层。
根据第六个方面,提供了第四个方面的方法,其中,当表面改性层包括芳族硅烷时,表面改性层是苯基硅烷。
根据第七个方面,提供了第四个方面的方法,其中,当表面改性层包括芳族硅烷时,表面改性层是以下一种:苯基三乙氧基硅烷、二苯基二乙氧基硅烷以及4-五氟苯基三乙氧基硅烷。
根据第八个方面,提供了第一至第七个方面中任一项的方法,其中,在无氧环境中进行时间-温度循环。
根据第九个方面,提供了第一至第八个方面中任一项的方法,其中,玻璃层的堆叠包括玻璃的卷绕片。

Claims (9)

1.一种对玻璃进行退火的方法,所述方法包括:
堆叠多层玻璃层,每层玻璃层具有两个主表面,使得在所述多层玻璃层中的相邻玻璃层之间限定了界面,在一个朝向所述界面的至少一个主表面上布置有表面改性层;
将玻璃层堆叠暴露于足以压实每层所述玻璃层的时间-温度循环,
在整个所述时间-温度循环中,所述表面改性层足以控制所述堆叠中限定所述界面的相邻玻璃层之间的粘结,将粘结控制具成这样的粘力,即如果举起一层而另一层经受重力作用的情况下,它们不会相互分开,但是所述玻璃层可以被分开而不会相邻玻璃层中的一层破碎成两片或更多片。
2.如权利要求1所述的方法,其特征在于,所述时间-温度循环包括≥400℃但是小于所述玻璃的应变点的温度。
3.如权利要求1所述的方法,其特征在于,所述时间-温度循环包括≥600℃但是小于所述玻璃的应变点的温度。
4.如权利要求1-3中任一项所述的方法,其特征在于,所述表面改性层是以下一种:HMDS、等离子体聚合的含氟聚合物以及芳族硅烷。
5.如权利要求4所述的方法,其特征在于,当所述表面改性层包括等离子体聚合的含氟聚合物时,所述表面改性层是以下一种:等离子体聚合的聚四氟乙烯;以及由C4F8≤40%的CF4-C4F8混合物沉积的等离子体聚合的含氟聚合物表面改性层。
6.如权利要求4所述的方法,其特征在于,当所述表面改性层包括芳族硅烷时,所述表面改性层是苯基硅烷。
7.如权利要求4所述的方法,其特征在于,当所述表面改性层包括芳族硅烷时,所述表面改性层是以下一种:苯基三乙氧基硅烷、二苯基二乙氧基硅烷以及4-五氟苯基三乙氧基硅烷。
8.如权利要求1-7中任一项所述的方法,其特征在于,在无氧环境中进行所述时间-温度循环。
9.如权利要求1-8中任一项所述的方法,其特征在于,玻璃层的堆叠包括玻璃的卷绕片。
CN201480027673.4A 2013-03-15 2014-03-13 玻璃片的整体退火 Expired - Fee Related CN105658594B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361791418P 2013-03-15 2013-03-15
US61/791,418 2013-03-15
US14/047,251 US9340443B2 (en) 2012-12-13 2013-10-07 Bulk annealing of glass sheets
US14/047,251 2013-10-07
PCT/US2014/025537 WO2014151353A1 (en) 2013-03-15 2014-03-13 Bulk annealing of glass sheets

Publications (2)

Publication Number Publication Date
CN105658594A true CN105658594A (zh) 2016-06-08
CN105658594B CN105658594B (zh) 2019-01-04

Family

ID=51580938

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480027673.4A Expired - Fee Related CN105658594B (zh) 2013-03-15 2014-03-13 玻璃片的整体退火

Country Status (6)

Country Link
EP (1) EP2969997A1 (zh)
JP (2) JP6186493B2 (zh)
KR (1) KR102239613B1 (zh)
CN (1) CN105658594B (zh)
TW (2) TWI679175B (zh)
WO (1) WO2014151353A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106132688A (zh) * 2014-01-27 2016-11-16 康宁股份有限公司 用于薄片与载体的受控粘结的制品和方法

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
JP6186493B2 (ja) * 2013-03-15 2017-08-23 コーニング インコーポレイテッド ガラスシートのバルクアニール
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
EP3129221A1 (en) 2014-04-09 2017-02-15 Corning Incorporated Device modified substrate article and methods for making
KR102573207B1 (ko) 2015-05-19 2023-08-31 코닝 인코포레이티드 시트와 캐리어의 결합을 위한 물품 및 방법
EP3313799B1 (en) 2015-06-26 2022-09-07 Corning Incorporated Methods and articles including a sheet and a carrier
TW201825623A (zh) 2016-08-30 2018-07-16 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI810161B (zh) 2016-08-31 2023-08-01 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
KR102346393B1 (ko) * 2017-06-19 2022-01-03 동우 화인켐 주식회사 터치센서를 포함하는 플렉시블 표시장치의 제조방법
JP7260523B2 (ja) 2017-08-18 2023-04-18 コーニング インコーポレイテッド ポリカチオン性高分子を使用した一時的結合
CN111615567B (zh) 2017-12-15 2023-04-14 康宁股份有限公司 用于处理基板的方法和用于制备包括粘合片材的制品的方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1816768A (zh) * 2003-07-03 2006-08-09 康宁股份有限公司 用在超薄玻璃显示应用中的玻璃产品
CN101437772A (zh) * 2006-05-08 2009-05-20 旭硝子株式会社 薄板玻璃叠层体、使用了薄板玻璃叠层体的显示装置的制造方法及支持用玻璃基板
CN101925996A (zh) * 2008-01-24 2010-12-22 布鲁尔科技公司 将器件晶片可逆地安装在载体基片上的方法
CN102007524A (zh) * 2008-04-17 2011-04-06 旭硝子株式会社 玻璃层叠体、带支撑体的显示装置用面板及它们的制造方法
CN102271908A (zh) * 2009-01-09 2011-12-07 旭硝子株式会社 玻璃层叠体及其制造方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4397722A (en) * 1981-12-31 1983-08-09 International Business Machines Corporation Polymers from aromatic silanes and process for their preparation
WO2004079826A1 (ja) * 1996-10-22 2004-09-16 Mitsutoshi Miyasaka 薄膜トランジスタの製造方法、及び表示装置と電子機器
US20050069713A1 (en) * 2003-09-30 2005-03-31 Rahul Gupta Capillary coating method
JP5029523B2 (ja) * 2008-07-14 2012-09-19 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、表示装置用パネル、表示装置およびこれらの製造方法
US8697228B2 (en) * 2009-05-06 2014-04-15 Corning Incorporated Carrier for glass substrates
US9847243B2 (en) * 2009-08-27 2017-12-19 Corning Incorporated Debonding a glass substrate from carrier using ultrasonic wave
CN102576106B (zh) * 2009-10-20 2015-02-11 旭硝子株式会社 玻璃层叠体及其制造方法、显示面板的制造方法及利用该制造方法获得的显示面板
BR112012019405A2 (pt) * 2010-02-03 2018-03-20 Asahi Glass Company, Limited metodo e aparelho para recozimento de folha de vidro
US20110250346A1 (en) * 2010-04-07 2011-10-13 Remington Jr Michael P Adhesion of organic coatings on glass
TW201309611A (zh) * 2011-07-12 2013-03-01 Asahi Glass Co Ltd 附積層膜之玻璃基板之製造方法
JP6186493B2 (ja) * 2013-03-15 2017-08-23 コーニング インコーポレイテッド ガラスシートのバルクアニール

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1816768A (zh) * 2003-07-03 2006-08-09 康宁股份有限公司 用在超薄玻璃显示应用中的玻璃产品
CN101437772A (zh) * 2006-05-08 2009-05-20 旭硝子株式会社 薄板玻璃叠层体、使用了薄板玻璃叠层体的显示装置的制造方法及支持用玻璃基板
CN101925996A (zh) * 2008-01-24 2010-12-22 布鲁尔科技公司 将器件晶片可逆地安装在载体基片上的方法
CN102007524A (zh) * 2008-04-17 2011-04-06 旭硝子株式会社 玻璃层叠体、带支撑体的显示装置用面板及它们的制造方法
CN102271908A (zh) * 2009-01-09 2011-12-07 旭硝子株式会社 玻璃层叠体及其制造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106132688A (zh) * 2014-01-27 2016-11-16 康宁股份有限公司 用于薄片与载体的受控粘结的制品和方法

Also Published As

Publication number Publication date
JP6434096B2 (ja) 2018-12-05
CN105658594B (zh) 2019-01-04
JP6186493B2 (ja) 2017-08-23
TWI679175B (zh) 2019-12-11
JP2017214281A (ja) 2017-12-07
EP2969997A1 (en) 2016-01-20
JP2016516657A (ja) 2016-06-09
TW201736292A (zh) 2017-10-16
WO2014151353A1 (en) 2014-09-25
TW201442968A (zh) 2014-11-16
KR20150127274A (ko) 2015-11-16
TWI594960B (zh) 2017-08-11
KR102239613B1 (ko) 2021-04-13

Similar Documents

Publication Publication Date Title
CN105658594A (zh) 玻璃片的整体退火
EP3584229B1 (en) Method for controlled bonding of glass sheets with carriers
EP2932540B1 (en) Methods for processing oled devices
US10538452B2 (en) Bulk annealing of glass sheets
EP3058587B1 (en) Carrier-bonding methods and articles for semiconductor and interposer processing
US20150099110A1 (en) Glass articles and methods for controlled bonding of glass sheets with carriers
US20150329415A1 (en) Glass and methods of making glass articles
TW201529511A (zh) 用於受控接合薄板與載具的表面改質層的處理

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20190104

CF01 Termination of patent right due to non-payment of annual fee