CN104425408A - 用于3d ic的冷却系统 - Google Patents

用于3d ic的冷却系统 Download PDF

Info

Publication number
CN104425408A
CN104425408A CN201310593734.0A CN201310593734A CN104425408A CN 104425408 A CN104425408 A CN 104425408A CN 201310593734 A CN201310593734 A CN 201310593734A CN 104425408 A CN104425408 A CN 104425408A
Authority
CN
China
Prior art keywords
cooler
thermoelectric
temperature
conductive pole
stackable unit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201310593734.0A
Other languages
English (en)
Other versions
CN104425408B (zh
Inventor
邹宗成
李伯浩
陈德瀚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN104425408A publication Critical patent/CN104425408A/zh
Application granted granted Critical
Publication of CN104425408B publication Critical patent/CN104425408B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F25REFRIGERATION OR COOLING; COMBINED HEATING AND REFRIGERATION SYSTEMS; HEAT PUMP SYSTEMS; MANUFACTURE OR STORAGE OF ICE; LIQUEFACTION SOLIDIFICATION OF GASES
    • F25BREFRIGERATION MACHINES, PLANTS OR SYSTEMS; COMBINED HEATING AND REFRIGERATION SYSTEMS; HEAT PUMP SYSTEMS
    • F25B21/00Machines, plants or systems, using electric or magnetic effects
    • F25B21/02Machines, plants or systems, using electric or magnetic effects using Peltier effect; using Nernst-Ettinghausen effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/38Cooling arrangements using the Peltier effect
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F25REFRIGERATION OR COOLING; COMBINED HEATING AND REFRIGERATION SYSTEMS; HEAT PUMP SYSTEMS; MANUFACTURE OR STORAGE OF ICE; LIQUEFACTION SOLIDIFICATION OF GASES
    • F25BREFRIGERATION MACHINES, PLANTS OR SYSTEMS; COMBINED HEATING AND REFRIGERATION SYSTEMS; HEAT PUMP SYSTEMS
    • F25B2321/00Details of machines, plants or systems, using electric or magnetic effects
    • F25B2321/02Details of machines, plants or systems, using electric or magnetic effects using Peltier effects; using Nernst-Ettinghausen effects
    • F25B2321/021Control thereof
    • F25B2321/0212Control thereof of electric power, current or voltage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • H01L2225/06544Design considerations for via connections, e.g. geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06589Thermal management, e.g. cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Mechanical Engineering (AREA)
  • Thermal Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Cooling Or The Like Of Semiconductors Or Solid State Devices (AREA)

Abstract

本发明提供了使用至少一个热电冷却器冷却三维集成电路(3D IC)的系统和方法,其中,热电冷却器通过多个导电柱连接到3D IC。在一些实施例中,控制器控制向热电冷却器的电力供应,且温度监测器向控制器提供温度输入。在一些实施例中,控制器通过向热电冷却器循环提供电力来将3D IC的温度维持在预定范围内。本发明还公开了用于3D IC的冷却系统。

Description

用于3D IC的冷却系统
技术领域
本发明总的来说涉及三维集成电路(3D IC),更具体地,涉及用于3DIC的冷却系统。
背景技术
三维集成电路(3D IC)相较于传统电路具有许多优势,这里仅举几个例子:能耗更低、逻辑密度更高、效率更高、缓解瓶颈、关键路径时延更短以及面积成本更低。
3D IC有两种一般形式。在第一种结构中,3D IC芯片封装件包括垂直堆叠的两个或更多个集成电路管芯(IC)从而使得它们占据更少的空间。使用半导体通孔(TSV)(也称为硅通孔或衬底通孔)可建立垂直堆叠的IC之间的电源和信号通信连接,半导体通孔穿过管芯的整个厚度,从而实现位于管芯的前面和背面的导电图案之间的连接。
在第二种结构中,堆叠单元式3D IC具有多个堆叠的单元(也称为层叠)。这种结构有时被称为单片式3D IC或3D IC垂直结构。在堆叠单元式3D IC中,堆叠的元件或单元垂直形成在单个半导体衬底之上(与制造单个芯片并将单个芯片连接起来相反)。传统上水平布置的元件能够以一个叠在另一个顶部上的方式堆叠以降低面积成本。堆叠单元式3D IC可通过平面间通孔(ILV)(也称为层间通孔)提供垂直互连的密集系统,层间通孔在堆叠的单元之间输送电力和通信。
3D IC封装件给设计者提出了很多新的挑战。其中一个挑战是散热。对于3D IC芯片封装件,如果堆叠件中包括三个或更多管芯,那么内部管芯(除最顶部管芯和最底部管芯之外的所有管芯)将夹在其他管芯之间而无法自然冷却。对于3D IC堆叠的单元同样如此,除最顶部单元和最底部单元之外的所有单元都无法自然冷却。内部管芯和内部单元的散热至关重要,以防这些元件过早损坏。
发明内容
根据本发明的一个方面,提供了一种系统,包括:三维集成电路(3DIC);第一热电冷却器,通过多个导电柱连接到3D IC;控制器,控制第一热电冷却器;以及温度监测器,配置为感测3D IC的温度,温度监测器连接到控制器。
优选地,3D IC是包括多个管芯的堆叠芯片封装件。
优选地,3D IC是堆叠单元式3D IC。
优选地,多个导电柱围绕堆叠单元式3D IC的周边配置。
优选地,多个导电柱包括围绕3D IC的周边配置的第一组导电柱和围绕3D IC内部的热点配置的第二组导电柱。
优选地,该系统还包括:第二热电冷却器,通过多个导电柱连接到3DIC。
优选地,第二热电冷却器配置在3D IC与第一热电冷却器相对的一侧上。
优选地,温度监测器配置在3D IC中,并且温度监测器配置为检测3DIC的内部温度。
优选地,控制器向第一热电冷却器循环提供电力以将由温度监测器测量的3D IC的内部温度维持在由第一预定设定点和第二预定设定点限定的预定范围内。
优选地,温度监测器以预定间隔监测3D IC的内部温度。
优选地,第一热电冷却器包括配置在第一导热片和第二导热片之间且以串联方式电连接的多个n型半导体芯片和多个p型半导体芯片,从而在向多个n型半导体芯片和多个p型半导体芯片施加电压时,在第一导热片和第二导热片之间形成温度梯度。
根据本发明的另一方面,提供了一种方法,包括:以预定间隔监测三维集成电路的温度;以及当三维集成电路的监测温度大于或等于第一预定设定点时,使热电冷却器通电,热电冷却器通过多个导电柱连接到三维集成电路。
优选地,监测包括使用配置在三维集成电路内的温度监测器。
优选地,监测温度是三维集成电路的内部温度。
优选地,第一预定设定点被设置为防止三维集成电路的元件超过设计温度阈值。
优选地,通过在控制器控制下的电源使热电冷却器通电,控制器接收来自温度监测器的信号。
优选地,该方法还包括:当温度监测器显示三维集成电路的内部温度大于第二预定设定点时,维持使热电冷却器通电,其中,第二预定设定点小于第一预定设定点;以及当温度监测器显示三维集成电路的内部温度小于或等于第二预定设定点时,停用热电冷却器。
根据本发明的又一方面,提供了一种冷却三维集成电路的系统,包括:堆叠单元式3D IC;第一热电冷却器,第一热电冷却器包括配置在第一导热片和第二导热片之间且以串联方式电连接的多个n型半导体芯片和多个p型半导体芯片,第一热电冷却器配置为当向多个n型半导体芯片和多个p型半导体芯片施加电压时,在第一导热片和第二导热片之间形成温度梯度,第一热电冷却器通过多个导电柱连接到堆叠单元式3D IC;控制器,控制对热电冷却器的电力供应;以及温度监测器,配置在堆叠单元3D IC中,温度监测器配置为检测堆叠单元3D IC的内部温度并连接到控制器。
优选地,该系统还包括:第二热电冷却器,通过多个导电柱连接到堆叠单元式3D IC。
优选地,控制器为第一热电冷却器提供电力以将由温度监测器测量的堆叠单元3D IC的内部温度维持在预定范围内。
附图说明
当结合附图阅读下面详细的说明书时,可最佳地理解本发明。应该强调,根据普遍实践,各个部件没有必要按比例绘制。与此相反,为了清楚起见,各个部件的尺寸可任意扩大或减小。在整个说明书和附图中,相同的数字表示相同的部件。
图1是根据本发明的一些实施例的热电冷却器的简化框图。
图2A是根据本发明的一些实施例的冷却式3D IC的等距视图。
图2B是根据本发明的一些实施例的冷却式3D IC的侧截面图。
图2C是根据本发明的一些实施例的冷却式3D IC的俯视图。
图3A是根据本发明的一些实施例的冷却式3D IC的等距视图。
图3B是根据本发明的一些实施例的冷却式3D IC的侧截面图。
图3C是根据本发明的一些实施例的冷却式3D IC的俯视图。
图4A是根据本发明的一些实施例的导电柱的平面图。
图4B是根据本发明的一些实施例的导电柱配置的平面图。
图4C是根据本发明的一些实施例的导电柱配置的平面图。
图5A是根据本发明的一些实施例的具有温度监测器和控制器的冷却式3D IC的简化框图。
图5B是根据本发明的一些实施例的具有温度监测器和控制器的冷却式3D IC的简化框图。
图6是根据本发明的一些实施例说明控制器的功能的温度随时间变化的曲线图。
图7是根据本发明的一些实施例的热探测器电路的示意图。
图8是根据本发明的一些实施例的控制电路的示意图。
图9是根据本发明的一些实施例的方法的流程图。
具体实施方式
结合附图阅读对示例性实施例的说明,附图被认为是整个书面说明书的一部分。在说明书中,诸如“下部”、“上部”、“水平”、“垂直”、“在...之上”、“在...之下”、“向上”、“向下”、“顶部”和“底部”及其派生词(例如,“水平地”、“向下地”、“向上地”等)的相对术语应该解释为是指讨论的附图中所描述或所示出的方位。这些相对术语是为了方便说明,而不要求装置以特定的方位进行构造或操作。除非明确作出相反描述,否则诸如“连接”和“互连”的关于连接、耦合等的术语,是指结构间直接或通过中间结构间接地彼此固定或连接的关系以及两个都是可移动的或刚性的连接或关系。
在传统的(非3D IC)半导体封装件中,散热器通常包括金属结构,该金属结构具有与需要冷却的半导体元件热接触的第一表面。金属结构的第二表面通常包括一系列鳍、突出物或梳状结构以增加金属结构的表面积,从而增加从金属结构到周围空气的传热速率。在一些典型的实施例中,采用风扇增加越过金属结构的气流,这会进一步提高从金属结构到周围空气的传热速率。
虽然这些冷却半导体的传统方法已经应用于3D IC,但它们因为实际上无法为内部管芯或内部单元提供大型散热器而存在弊端。一些3D IC依靠其顶部和底部的厚硅层散热;这些厚层减少了3D IC的节约面积。这种冷却方法是被动的,热量被动地从内部管芯流出至散热器,这会导致3D IC的内部不可接受的高温。
本领域当前采用的另一种冷却3D IC封装件的方法是在管芯或单元之间创建微通道并提供穿过微通道的液体流动以带走热量。然而,这种方法存在问题,因为它需要提供液体流动的泵、存在液体漏入3D IC的可能性以及会在半导体衬底上的产生热应力。
本发明提供了用于主动冷却3D IC的热电冷却器。第一实施例采用热电冷却器冷却3D IC芯片封装件。第二实施例采用热电冷却器冷却堆叠单元式3D IC。热电冷却器产生有助于主动从3D IC移除热量的温差。本发明提供了冷却3D IC的方法,包括以预定间隔监测3D IC的温度并当3D IC的温度大于或等于第一预定设定点时以第一预定间隔为热电冷却器(通过多个导电柱连接到3D IC)通电。
图1是根据本发明的一些实施例的热电冷却器100的简化框图。热电冷却器100在本领域中也称为珀尔帖冷却器。热电冷却器100是固态有源热泵。术语“热电冷却器”在本领域有时缩写为“TEC”。
多个第一区域105和多个第二区域106布置在第一导热片102和第二导热片104之间。在一些实施例中,第一区域105是n型半导体芯片,而第二区域106是p型半导体芯片。可以使用其它材料和设计外形来构造多个第一区域105和多个第二区域106。在一些实施例中,第一导热片102和第二导热片104由陶瓷制成,陶瓷是有效的热导体和电绝缘体(例如,氧化铍、BeO)。可以使用其它材料来构造第一导热片102和第二导热片104。
使用迹线108以串联方式电连接多个半导体芯片105、106。在一些实施例中,迹线108包括铜。在其他实施例中,迹线108包括另一种导电材料。
电源110为一对电气连接件112提供电能。当向多个半导体芯片105、106施加电压时,会形成温度梯度,从而使得第一导热片102被冷却而第二导热片104被加热。
在一些实施例中,第二导热片104包括一系列鳍、突出物或梳状结构以增加第二导热片104的表面积,从而增加从第二导热片104到周围空气的传热速率。在一些实施例中,采用风扇来增加越过第二导热片104的气流,这会进一步提高从第二导热片104到周围空气的对流传热速率。
图2A、图2B和图2C示出了根据本发明的一些实施例的冷却式3D IC200。图2A是冷却式3D IC的等距视图;图2B是冷却式3D IC的侧视图;以及图2C是冷却式3D IC的俯视图。
冷却式3D IC200包括第一热电冷却器202、第二热电冷却器204和包含多个管芯206的3D IC芯片封装件214。多个管芯206具有从管芯前面延伸到管芯背面的多个硅通孔(TSV)208。焊料微凸块208在垂直相邻的管芯206中的相应TSV之间形成垂直互连。在一些实施例中,只利用单个热电冷却器,从而省去第一热电冷却器202或第二热电冷却器204。
多个TSV208在冷却式3D IC200中起到多种功能,包括为多个管芯206上的各个元件传输电力和通信信号以及充当用于将元件运行期间形成的热量散去的导管。每个TSV208均由可集成到硅中的金属或合金形成,诸如铜、钨、银、铝或它们的合金。在一些实施例中,每个TSV208均形成为具有圆边的圆柱。在其他实施例中,每个TSV208均形成为具有满足直角的直边的长方体或六面体。在一些实施例中,TSV208采用其它形状和结构。
如图2B中最佳示出的,多个TSV208中的每一个均穿过多个管芯206中相应的一个。每个管芯206中的TSV208均与同该管芯相邻的(位于其上部或下部的)管芯206中的TSV208线性对齐。微凸块224形成在衬底的背面上的每个TSV208的表面上。相邻管芯206中的每对对齐的TSV208通过相应的微凸块224电连接。在一些实施例中,底部填充材料(未示出)填充每对相邻管芯206之间的剩余空间,包括TSV208之间的空间。底部填充物提供了水平的和垂直的传热路径。
在一些实施例中,多个微凸块224形成在TSV208的顶面上或第一热电冷却器202的底部以将3D IC芯片封装件214连接到第一热电冷却器202。类似地,每个TSV208的背面之间的微凸块224和TSV208的背面与第二热电冷却器204之间的微凸块224提供传导路径以将热量从3D IC芯片封装件214传导至第二热电冷却器204。可以提供底部填充材料(未示出)以填充微凸块224之间的空间,从而提高热传导和机械完整性。可选地,在顶部管芯206的顶面220和第一热电冷却器202之间应用导热界面材料(例如,基于氧化铍、氮化铝、氧化铝、氧化锌或金属的导热膏)。类似地,底部TSV208的底面222和第二热电冷却器204之间的热界面材料提供传导路径以将热量从3D IC芯片封装件214传导至第二热电冷却器204。
第一热电冷却器202和第二热电冷却器204如上参照图1进行构造。第一热电冷却器202和第二热电冷却器204均具有冷侧216和热侧218,并布置成使得冷侧216朝向3D IC芯片封装件214。
在一些实施例中,冷侧216配置为与多个管芯206中最顶部管芯的顶面220接触,从而沿着整个顶面220提供冷却。在其他实施例中,冷侧216配置在顶面220之上但不与顶面220接触,从而通过TSV208和连接冷侧216与多个管芯206的微凸块224提供冷却。在一些实施例中,底部填充材料填充TSV208之间的空间并提供用于导热的其它路径。第二热电冷却器204的冷侧216也可配置为与多个管芯206中最底部管芯的底面222接触或位于其下部。微凸块224、热界面材料和/或底部填充物提供了向热电冷却器204传热的路径。
第一热电冷却器202和第二热电冷却器204均连接到电源210。在一些实施例中,电源210通过控制器212连接到第一热电冷却器202和第二热电冷却器204。
如图2C中最佳示出的,在一些实施例中,多个TSV208围绕3D IC芯片封装件214、热电冷却器202和204的周边布置。在所示实施例中,多个TSV208沿着周边等间隔分布。然而,如下更为详细讨论的,多个TSV208可沿着周边以多种配置方式间隔开和/或穿过3D IC芯片封装件214的中心以解决特定的冷却问题。
当电力从电源210施加到第一热电冷却器202和第二热电冷却器204时,会在冷侧216和热侧218之间形成温度梯度。在冷侧216和多个管芯206(在运行时生热)之间形成第二热梯度。第二热梯度具有从3D IC芯片封装件214移除热量的作用。多个管芯206中产生的热量水平地流向多个TSV208,然后垂直通过多个TSV208到达第一热电冷却器202和第二热电冷却器204的冷侧216。然后,热量从冷侧216传递至热侧218,热侧218使热量消散至周围环境。
在一些实施例中,热侧218包括一系列鳍、突出物或梳状结构以增加热侧218的表面积,从而增加从热侧218到周围空气的传热速率。在一些实施例中,采用风扇以增加越过热侧218的气流,这会进一步提高从热侧218到周围空气的对流传热速率。
图3A、图3B和图3C示出了根据本发明的一些实施例的另一个冷却式3D IC300。图3A是3D IC300的等距视图;图3B是3D IC300的侧截面图;以及图3C是3D IC300的俯视图。
冷却式3D IC300包括第一热电冷却器202、第二热电冷却器204和堆叠单元式3D IC314。多个层间通孔(ILV)308穿过堆叠单元3D IC314。每个ILV308均通过局部通孔326连接到第一热电冷却器202和第二热电冷却器204。在一些实施例中,将ILV308连接到热电冷却器的局部通孔326是微凸块。在一些实施例中,ILV308仅连接到第一热电冷却器202和第二热电冷却器204的其中一个。在一些实施例中,只利用单个热电冷却器,从而省去第一热电冷却器202或第二热电冷却器204。
多个ILV308在冷却式3D IC300中起到多种功能,包括为堆叠单元式3D IC314内的各个元件330和器件350传输电力和信号以及充当用于将各个元件330和器件350运行期间形成的热量散去的导管。在一些实施例中,每个ILV308都形成为具有圆边的圆柱。在其他实施例中,每个ILV308都形成为具有满足直角的直边的长方体或六面体。在一些实施例中,ILV308采用其它形状和结构。
如图3B中最佳示出的,多个ILV308中的每一个均穿过堆叠单元式3D IC314的至少一个水平面(层)。穿过堆叠单元式3D IC314的传导路径可包括ILV308、局部通孔326和/或导电线(未示出)的组合。如果堆叠单元式3D IC314多于两层,那么传导路径可包括用于堆叠单元式3D IC314的每个相应层的相应顺序的ILV308、局部通孔326和导电线。
在一些实施例中,多个局部通孔326形成在堆叠单元式3D IC314的顶层的ILV308的顶面320上。在一些实施例中,多层互连结构(包括多个局部通孔层和多个导电线层)在堆叠单元式3D IC314的顶层的ILV308的顶面上形成。局部通孔326将堆叠单元式3D IC314连接到第一热电冷却器202和第二热电冷却器204。在一些实施例中,堆叠单元式3D IC314通过微凸块连接到第一热电冷却器202和第二热电冷却器204。
图3B的堆叠单元式3D IC314包括第一层342、第二层344和第三层346。每层均包括衬底348。每层均可包括多个元件330和器件350。元件330和器件350可连接到ILV308、局部通孔326和/或导电线(未示出)。元件330可以是晶体管、二极管和电阻器等,但不局限于此。器件可以是传感器、成像器、纳米器件、存储芯片和电路、处理器和转换器等,但不局限于此。
第一热电冷却器202和第二热电冷却器204能够如上参照图1进行构造。第一热电冷却器202和第二热电冷却器204中的每一个均具有冷侧216和热侧218,并布置成使得冷侧216朝向堆叠单元式3D IC314。
在一些实施例中,第一热电冷却器202的冷侧216配置为与堆叠单元式3D IC314的顶面320接触,从而沿着整个顶面320提供冷却。在其他实施例中,冷侧216配置在顶面320之上但不与其接触,从而通过连接冷侧216与堆叠单元式3D IC314的局部通孔326提供冷却。第二热电冷却器204的冷侧216也可配置为与堆叠单元3D IC314的底面322接触或位于其下部。
第一热电冷却器202和第二热电冷却器20均连接到电源210。在一些实施例中,电源210通过控制器212连接到第一热电冷却器202和第二热电冷却器204。
如图3C中最佳示出的,在一些实施例中,多个ILV308围绕堆叠单元式3D IC314、热电冷却器202和热电冷却器204的周边布置。在所示实施例中,多个ILV308或局部通孔326沿着周边等间隔分布。然而,如下更为详细讨论的,多个ILV308或局部通孔326可沿着周边以多种配置方式间隔和/或穿过堆叠单元式3D IC314的中心以解决特定的冷却问题。
当电力从电源210施加到第一热电冷却器202和第二热电冷却器204时,在冷侧216和热侧218之间形成温度梯度。在冷侧216和多个管芯206(在运行时生热)之间形成第二热梯度。第二热梯度具有将热量从堆叠单元式3D IC314移除的作用。堆叠单元式3D IC314中产生的热量水平地流向多个ILV308,然后垂直通过多个ILV308到达第一热电冷却器202和第二热电冷却器204二者的冷侧216。然后热量从冷侧216传递至热侧218,热侧218使热量消散至周围环境。
在一些实施例中,热侧218包括一系列鳍、突出物或梳状结构以增加热侧218的表面积,从而增加从热侧218到周围空气的传热速率。在一些实施例中,采用风扇来增加越过热侧218的气流,这会进一步提高从热侧218到周围空气的对流传热速率。
图4A、图4B和图4C是根据本发明的一些方面的热电冷却器402的冷侧216的各个实施例的平面图。这些附图示出了连接到热电冷却器402的多个导电柱408的一些可能配置。在一些实施例中,导电柱408包括TSV208和微凸块224。在一些实施例中,导电柱408包括ILV308或ILV308与局部通孔326的组合。
图4A示出了第一导电柱配置400,其中,导电柱408沿着热电冷却器402的相对两侧配置。图4B示出了第二导电柱配置410,其中,导电柱408沿着热电冷却器402的整个周边配置。因此,第二导电柱配置410相较于第一导电柱配置400具有较大排热能力,因为第二导电柱配置410包括较多数目的导电柱408且那些导电柱408配置在更大的表面积上。
图4C示出了第三导电柱配置420,其中,导电柱408既围绕热电冷却器402的整个周边配置又配置在其中心内。特别地,第三导电柱配置420显示出从3D IC内的特定关注区域移除热量。关注区域示出为热点430。
热点430是3D IC具有局部高温的区域。局部高温通常由高功耗元件引起。局部高温也可在高功率密度的区域中形成,诸如具有高密度的导电柱408的区域。
热点430是特别关注的问题,因为局部高温影响电路和元件的可靠性和性能。在3D IC设计期间未能解决局部高温可引起元件超过它们的设计温度阈值。第三导电柱配置420通过将过热区430附近的热量移除而解决了这个问题,从而提供足够的冷却并防止元件失效或劣化。
在一些冷却式3D IC中,不希望热电冷却器202、204一直处于运转状态。具体而言,一些3D IC在运转期间不能产生足够的热量来平衡热电冷却器302、204在连续运转期间消耗的功率。因此,一些实施例提供了温度监测器510和控制器212以控制热电冷却器202、204的运转。
图5A是根据本发明的一些实施例的具有温度监测器510和控制器212的堆叠单元式3D IC314的简化框图。在所示实施例中,温度监测器510邻近热点430布置。热点430和温度监测器510配置在第一ILV502和第二ILV504之间。第一ILV502和第二ILV504通过局部通孔326或微凸块连接到第一热电冷却器202和第二热电冷却器204。在一些实施例中,第一ILV502仅连接到热电冷却器202和第二热电冷却器204的其中一个。在一些实施例中,第二ILV504仅连接到热电冷却器202和第二热电冷却器204的其中一个。
温度监测器510可配置在堆叠单元式3D IC314内的任何位置中。将温度监测器510配置为邻近热点430或在热点430附近允许监测堆叠单元式3D IC314中最有可能超过设计温度阈值的区域。在一些实施例中,温度监测器510实现为下文参照图7所述的热探测器700。
温度监测器510连接到控制器212。控制器212接收来自电源210的电力输入。控制器212控制电力向第一热电冷却器202和第二热电冷却器204的流动。在一些实施例中,控制器212实现为下文参照图8所述的控制电路800。
图5B是根据本发明的一些实施例的具有一对温度监测器554、558和一对控制器560、564的堆叠单元式3D IC314的简化框图。
在所示实施例中,第一温度监测器554配置为邻近第一热点552。第一温度监测器554连接到第一控制器560。第一控制器560接收来自第一电源562的电力并基于第一温度监测器554的输入控制电力向第一热电冷却器202的流动。
第二温度监测器558配置为邻近第二热点556。第二温度监测器558连接到第二控制器564。第二控制器564接收来自第二电源566的电力并基于第二温度监测器558的输入控制电力向第二热电冷却器204的流动。
第一温度监测器554、第一热点552、第二温度监测器558和第二热点556配置在多个ILV308之间。每个ILV308均通过局部通孔326或微凸块连接到第一热电冷却器202和第二热电冷却器204。在一些实施例中,一些ILV308仅连接到热电冷却器202和第二热电冷却器204的其中一个。
在一些实施例中,第一电源562和第二电源566是同一电源。在一些实施例中,单个控制器212从配置在堆叠单元式3D IC314内的多个温度监测器接收输入并控制电力向多个热电冷却器的流动。
参照图5A和图5B描述的温度检测器和控制器也可被图2中示出的3DIC芯片封装件214使用。
图6是根据本发明的一些实施例说明控制器的功能的温度随时间变化的曲线图。图6示出了基于来自温度监测器(诸如图5A中示出的控制器和温度监测器配置)的输入的到达热电冷却器的电力的循环。
控制器212控制电力向第一热电冷却器202和第二热电冷却器204的流动。控制器212接收来自电源210的电力输入和来自温度监测器510的温度输入。
在一些实施例中,如图6所示,控制器212具有第一预定设定点602和第二预定设定点604,使得第二预定设定点604小于第一预定设定点602。第一预定设定点602和第二预定设定点604都小于设计温度阈值606。第一预定设定点602和第二预定设定点604确定了期望3D IC运行的预定温度范围。
如图6所示,从时间0到时间A,热电冷却器202、204并未通过控制器212从电源210接收电力,并因此不运转。如通过温度监测器510监测到的,堆叠单元式3D IC314的内部温度随着堆叠单元式3D IC314运转期间产生热量而缓慢升高。
在时间A处,如通过温度监测器510监测到的,堆叠单元式3D IC314的内部温度达到了第一预定设定点602。这使得控制器212向热电冷却器202、204提供电力。
在时间A和时间B之间,热电冷却器202、204运转,从堆叠单元式3D IC314移除热量,并且由温度监测器510监测到的内部温度降低。
在时间B处,如通过温度监测器510监测到的,堆叠单元式3D IC314的内部温度达到第二预定设定点604。这使得控制器212停止向热电冷却器202、204提供电力。
从时间B到时间C,热电冷却器202、204并未运行。如通过温度监测器510监测到的,堆叠单元式3D IC314的内部温度随着堆叠单元式3D IC314运转期间产生热量而缓慢升高。
向热电冷却器循环输送电力以将堆叠单元式3D IC314的内部温度维持在第一预定设定点602和第二预定设定点604之间的过程在堆叠单元式3D IC314使用期限内可重复多次。
在一些实施例中,温度监测器510实现为热探测器电路700。图7是根据本发明的一些实施例的热探测器电路700的示意图。
热探测器包括第一N型金属氧化物半导体(NMOS)场效应晶体管(FET)704、第二NMOS FET706、二极管708和缓冲器712。第一NMOSFET704的漏极接地且源极与栅极连接以接收参考电流(Iref702),其中参考电流为温度补偿电流源。第二NMOS FET706的源极接地、栅极连接成接收参考电流Iref702且漏极连接到二极管708与缓冲器712。虽然将晶体管704和晶体管706描述为金属氧化物半导体场效应晶体管(MOSFET),但是本领域普通技术人员应当理解,可以使用其他类型的晶体管。
二极管708的阳极连接到第二NMOS FET706的漏极且阴极连接到正电源节点(表示为VDD)。缓冲器712从第二NMOS FET706的漏极接收输入并输出到使能线710。使能线710将热探测器700的输出发送到控制电路800。
参考电流(Iref702)是来自3D IC内的温度补偿电流源。当在第二NMOSFET706的栅极处感测的Iref702大于第二NMOS FET706的阈值电压时,第二NMOS FET706随后向缓冲器712提供逻辑高输出信号。当在第二NMOS FET706的栅极处感测的Iref702小于第二NMOS FET706的阈值电压时,第二NMOS FET706随后向缓冲器712提供逻辑低输出信号。缓冲器712缓冲信号并向使能线710提供逻辑高输出信号或逻辑低输出信号。第一NMOS FET704和二极管708提供电力瞬态保护。
第一NMOS FET704、第二NMOS FET706的阈值电压和用于参考电流(Iref702)的温度补偿被选择为与3D IC中预期监测到的温度一致。
在一些实施例中,控制器212实现为控制电路800。图8是根据本发明的一些实施例的控制电路800的示意图。
控制电路800包括反相器802和P型金属氧化物半导体(PMOS)FET804。反相器802将在其输入端从使能线710接收的电压电平反转,并输出电压至PMOS FET804的栅极。PMOS FET804的漏极连接至电源210且源极连接到第一热电冷却器202和第二热电冷却器204。
当使能线710上接收的逻辑高信号传至反相器802时,逻辑高信号反转为发送至PMOS FET804的栅极的低输出。当低输出低于PMOS FET804的阈值电压与漏极电压的差值时,则PMOS FET804的源极向第一热电冷却器202和第二热电冷却器204输送电力。因此,当热探测器在3D IC中感测到高温(或大于第一预定设定点的温度)时,第一热电冷却器202和第二热电冷却器204通电(即,运转)。
当使能线710上接收的逻辑低信号传至反相器802时,逻辑低信号反转为发送至PMOS FET804的栅极的高输出。当高输出高于PMOS FET804的阈值电压与漏极电压的差值时,则PMOS FET804的源极并不向第一热电冷却器202和第二热电冷却器204输送电力。因此,当热探测器在3D IC中感测到低温(或小于第二预定设定点的温度)时,第一热电冷却器202和第二热电冷却器204不通电(即,锁定)。
本发明还提供了冷却3D IC的方法900。图9是根据本发明的一些实施例的方法900的流程图。工艺从方框902处开始。在方框904中,监测3DIC的温度。在一些实施例中,使用配置在3D IC内的温度监测器监测3D IC的内部位置处的温度。在一些实施例中,温度监测器实现为热探测器电路。在一些实施例中,以预定的时间间隔监测温度。
在方框906中,当温度监测器显示温度大于或等于第一设定点时,使热电冷却器通电。在一些实施例中,热电冷却器通过多个导电柱连接到3DIC。在一些实施例中,当温度监测器显示3D IC的内部温度大于或等于第一设定点时,以第一预定间隔使热电冷却器通电。在一些实施例中,第一设定点设置为低于3D IC的限制元件的设计温度阈值。在一些实施例中,第一设定点是预定的;在其他实施例中,第一设定点是动态确定的。
在方框908中,当温度大于第二设定点时,保持使热电冷却器通电。在一些实施例中,第二设定点小于第一设定点。在一些实施例中,第二设定点是预定的;在其他实施例中,第二设定点是动态确定的。
在方框910中,当温度小于或等于第二设定点时,锁定(即,断开)热电冷却器。在一些实施例中,当温度监测器显示3D IC的内部温度小于或等于第二设定点,以第一预定间隔锁定热电冷却器。该工艺终止于方框912处。
本发明将3D IC与热电冷却器组合具有若干优势。热电冷却器允许在较小的程度内控制温度。热电冷却器也具有相对长的使用期限,这在3D IC的使用寿命内提供了有效冷却。
在一些实施例中,一种系统包括:三维集成电路(3D IC);第一热电冷却器,其通过多个导电柱连接到3D IC;控制器,其控制第一热电冷却器;以及温度监测器,其配置为感测3D IC的温度,温度监测器连接到控制器。在一些实施例中,3D IC是包括多个管芯的堆叠芯片式封装件。在一些实施例中,3D IC是堆叠单元式3D IC。在一些实施例中,多个导电柱围绕着堆叠单元式3D IC的周边配置。在一些实施例中,多个导电柱包括围绕3D IC的周边配置的第一组导电柱和围绕3D IC内部的热点配置的第二组导电柱。在一些实施例中,系统还包括通过多个导电柱连接到3D IC的第二热电冷却器。在一些实施例中,第二热电冷却器配置在3D IC与第一热电冷却器相对的一侧上。在一些实施例中,温度监测器配置在3D IC中,且温度监测器配置为检测3D IC的内部温度。在一些实施例中,控制器向第一热电冷却器循环提供电力以将由温度监测器测量的3D IC的内部温度维持在由第一预定设定点和第二预定设定点确定的预定范围内。在一些实施例中,温度监测器以预定间隔监测3D IC的内部温度。在一些实施例中,第一热电冷却器包括配置在第一导热片和第二导热片之间且以串联方式电连接的多个n型半导体芯片和多个p型半导体芯片,使得当向多个n型半导体芯片和多个p型半导体芯片施加电压时,在第一导热片和第二导热片之间形成温度梯度。
在一些实施例中,一种系统包括:堆叠单元式3D IC;第一热电冷却器,第一热电冷却器包括配置在第一导热片和第二导热片之间且以串联方式电连接的多个n型半导体芯片和多个p型半导体芯片,第一热电冷却器配置为当向多个n型半导体芯片和多个p型半导体芯片施加电压时,在第一导热片和第二导热片之间形成温度梯度,第一热电冷却器通过多个导电柱连接到堆叠单元式3D IC;控制器,其控制向热电冷却器的电力供应;以及温度监测器,其配置在堆叠单元式3D IC中,其中,温度监测器配置为检测堆叠单元式3D IC的内部温度并连接到控制器。在一些实施例中,该系统还包括通过多个导电柱连接到堆叠单元式3D IC的第二热电冷却器。在一些实施例中,控制器为热电冷却器提供电力以将由温度监测器测量的堆叠单元式3D IC的内部温度维持在预定范围内。
在一些实施例中,一种方法包括:以预定间隔监测三维集成电路的温度;以及当三维集成电路的监测温度大于或等于第一预定设定点时,使热电冷却器通电,热电冷却器通过多个导电柱连接到三维集成电路。在一些实施例中,监测包括使用配置在三维集成电路内的温度监测器。在一些实施例中,监测温度是三维集成电路的内部温度。在一些实施例中,第一预定设定点设置为防止三维集成电路的元件超过设计温度阈值。在一些实施例中,通过受控于控制器的电源使热电冷却器通电,控制器接收来自温度监测器的信号。在一些实施例中,该方法还包括当温度监测器显示三维集成电路的内部温度大于第二预定设定点时,维持使热电冷却器通电,其中,第二预定设定点小于第一预定设定点;以及当温度监测器显示三维集成电路的内部温度小于或等于第二预定设定点时,使热电冷却器停止。
虽然在此描述和示出了实例,但是实施例不限于示出的细节,因为本领域普通技术人员在权利要求的等效物范围内可作出各种改变和结构变化。

Claims (10)

1.一种系统,包括:
三维集成电路(3D IC);
第一热电冷却器,通过多个导电柱连接到所述3D IC;
控制器,控制所述第一热电冷却器;以及
温度监测器,配置为感测所述3D IC的温度,所述温度监测器连接到所述控制器。
2.根据权利要求1所述的系统,其中,所述3D IC是包括多个管芯的堆叠芯片封装件。
3.根据权利要求1所述的系统,其中,所述3D IC是堆叠单元式3D IC。
4.根据权利要求3所述的系统,其中,所述多个导电柱围绕所述堆叠单元式3D IC的周边配置。
5.根据权利要求3所述的系统,其中,所述多个导电柱包括围绕所述3D IC的周边配置的第一组导电柱和围绕所述3D IC内部的热点配置的第二组导电柱。
6.根据权利要求1所述的系统,还包括:
第二热电冷却器,通过多个导电柱连接到所述3D IC。
7.根据权利要求6所述的系统,其中,所述第二热电冷却器配置在所述3D IC与所述第一热电冷却器相对的一侧上。
8.根据权利要求1所述的系统,其中,所述温度监测器配置在所述3D IC中,并且所述温度监测器配置为检测所述3D IC的内部温度。
9.一种方法,包括:
以预定间隔监测三维集成电路的温度;以及
当所述三维集成电路的监测温度大于或等于第一预定设定点时,使热电冷却器通电,所述热电冷却器通过多个导电柱连接到所述三维集成电路。
10.一种冷却三维集成电路的系统,包括:
堆叠单元式3D IC;
第一热电冷却器,所述第一热电冷却器包括配置在第一导热片和第二导热片之间且以串联方式电连接的多个n型半导体芯片和多个p型半导体芯片,所述第一热电冷却器配置为当向所述多个n型半导体芯片和所述多个p型半导体芯片施加电压时,在所述第一导热片和所述第二导热片之间形成温度梯度,所述第一热电冷却器通过多个导电柱连接到所述堆叠单元式3D IC;
控制器,控制对所述热电冷却器的电力供应;以及
温度监测器,配置在所述堆叠单元3D IC中,所述温度监测器配置为检测所述堆叠单元3D IC的内部温度并连接到所述控制器。
CN201310593734.0A 2013-08-29 2013-11-21 用于3d ic的冷却系统 Active CN104425408B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/013,125 US9625186B2 (en) 2013-08-29 2013-08-29 Cooling system for 3D IC
US14/013,125 2013-08-29

Publications (2)

Publication Number Publication Date
CN104425408A true CN104425408A (zh) 2015-03-18
CN104425408B CN104425408B (zh) 2019-10-25

Family

ID=52581242

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310593734.0A Active CN104425408B (zh) 2013-08-29 2013-11-21 用于3d ic的冷却系统

Country Status (2)

Country Link
US (2) US9625186B2 (zh)
CN (1) CN104425408B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105870083A (zh) * 2016-05-31 2016-08-17 福州大学 采用微热电发电机的3d芯片及其实现方法
CN106696643A (zh) * 2017-01-04 2017-05-24 上海爱斯达克汽车空调系统有限公司 一种车辆热交换系统及具有该车辆热交换系统的车辆
CN107534038A (zh) * 2015-05-11 2018-01-02 高通股份有限公司 包括双向热电冷却器的层叠封装(pop)器件
CN108452853A (zh) * 2017-02-06 2018-08-28 夏普生命科学(欧洲)有限公司 用于微流体器件的温度控制系统
WO2018157598A1 (zh) * 2017-02-28 2018-09-07 华为技术有限公司 芯片封装系统
TWI767751B (zh) * 2021-01-29 2022-06-11 台灣積體電路製造股份有限公司 半導體結構及其製造方法

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102010029526B4 (de) * 2010-05-31 2012-05-24 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Halbleiterbauelement mit einer gestapelten Chipkonfiguration mit einem integrierten Peltier-Element
US9524920B2 (en) 2013-11-12 2016-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method of three dimensional conductive lines
GB2531260B (en) * 2014-10-13 2019-08-14 Bae Systems Plc Peltier effect heat transfer system
US9741691B2 (en) * 2015-04-29 2017-08-22 Qualcomm Incorporated Power delivery network (PDN) design for monolithic three-dimensional (3-D) integrated circuit (IC)
WO2019071538A1 (zh) * 2017-10-12 2019-04-18 深圳市大疆灵眸科技有限公司 散热结构、相机及移动平台
FR3077925B1 (fr) * 2018-02-14 2021-06-18 Commissariat Energie Atomique Circuit integre tridimensionnel face a face de structure simplifiee
US11545420B2 (en) * 2019-02-12 2023-01-03 Texas Instruments Incorporated High current packages with reduced solder layer count
TWI782860B (zh) 2022-01-21 2022-11-01 力晶積成電子製造股份有限公司 半導體結構及其製造方法
US20240028100A1 (en) * 2022-07-20 2024-01-25 University Of Malta Method and system for power optimization for system of thermoelectric cooling devices

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101091246A (zh) * 2004-12-27 2007-12-19 英特尔公司 具有内置热电冷却器的微电子组件及其制造方法
CN101807662A (zh) * 2009-02-18 2010-08-18 财团法人工业技术研究院 热电元件及其制作方法、芯片堆叠结构及芯片封装结构
US20110042805A1 (en) * 2009-08-19 2011-02-24 Industrial Technology Research Institute Package structures for integrating thermoelectric components with stacking chips
CN102034805A (zh) * 2009-09-24 2011-04-27 财团法人工业技术研究院 整合热电组件与芯片的封装体
US20120217628A1 (en) * 2011-02-24 2012-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Metal bumps for cooling device connection
CN103236420A (zh) * 2013-04-28 2013-08-07 江苏物联网研究发展中心 三维封装中散热通道与地线通道共用的封装结构

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100257871A1 (en) * 2003-12-11 2010-10-14 Rama Venkatasubramanian Thin film thermoelectric devices for power conversion and cooling
JP4485865B2 (ja) * 2004-07-13 2010-06-23 Okiセミコンダクタ株式会社 半導体装置、及びその製造方法
US7603205B2 (en) * 2006-08-22 2009-10-13 Brilliant Telecommmunications, Inc. Apparatus and method for thermal stabilization of PCB-mounted electronic components within an enclosed housing
TWI441305B (zh) * 2010-12-21 2014-06-11 Ind Tech Res Inst 半導體裝置
US8624626B2 (en) 2011-11-14 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. 3D IC structure and method
US8513795B2 (en) 2011-12-27 2013-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. 3D IC configuration with contactless communication

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101091246A (zh) * 2004-12-27 2007-12-19 英特尔公司 具有内置热电冷却器的微电子组件及其制造方法
CN101807662A (zh) * 2009-02-18 2010-08-18 财团法人工业技术研究院 热电元件及其制作方法、芯片堆叠结构及芯片封装结构
US20110042805A1 (en) * 2009-08-19 2011-02-24 Industrial Technology Research Institute Package structures for integrating thermoelectric components with stacking chips
CN102034805A (zh) * 2009-09-24 2011-04-27 财团法人工业技术研究院 整合热电组件与芯片的封装体
US20120217628A1 (en) * 2011-02-24 2012-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Metal bumps for cooling device connection
CN103236420A (zh) * 2013-04-28 2013-08-07 江苏物联网研究发展中心 三维封装中散热通道与地线通道共用的封装结构

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107534038A (zh) * 2015-05-11 2018-01-02 高通股份有限公司 包括双向热电冷却器的层叠封装(pop)器件
CN105870083A (zh) * 2016-05-31 2016-08-17 福州大学 采用微热电发电机的3d芯片及其实现方法
CN106696643A (zh) * 2017-01-04 2017-05-24 上海爱斯达克汽车空调系统有限公司 一种车辆热交换系统及具有该车辆热交换系统的车辆
CN108452853A (zh) * 2017-02-06 2018-08-28 夏普生命科学(欧洲)有限公司 用于微流体器件的温度控制系统
CN108452853B (zh) * 2017-02-06 2021-01-22 夏普生命科学(欧洲)有限公司 用于微流体器件的温度控制系统
US11845080B2 (en) 2017-02-06 2023-12-19 Sharp Life Science (Eu) Limited Temperature control system for microfluidic device
WO2018157598A1 (zh) * 2017-02-28 2018-09-07 华为技术有限公司 芯片封装系统
TWI767751B (zh) * 2021-01-29 2022-06-11 台灣積體電路製造股份有限公司 半導體結構及其製造方法

Also Published As

Publication number Publication date
US20150059362A1 (en) 2015-03-05
US9922962B2 (en) 2018-03-20
US9625186B2 (en) 2017-04-18
CN104425408B (zh) 2019-10-25
US20170221862A1 (en) 2017-08-03

Similar Documents

Publication Publication Date Title
CN104425408A (zh) 用于3d ic的冷却系统
JP5868879B2 (ja) 積層ic装置のための能動的熱制御
US9671141B2 (en) Thermoelectric cooling packages and thermal management methods thereof
US6711904B1 (en) Active thermal management of semiconductor devices
EP2790474B1 (en) Thermoelectric cooler/heater integrated in printed circuit board
JP6037045B2 (ja) 半導体モジュール
KR102240195B1 (ko) 집적된 열전 냉각
US20120063090A1 (en) Cooling mechanism for stacked die package and method of manufacturing the same
US7633752B2 (en) Cooling an integrated circuit die with coolant flow in a microchannel and a thin film thermoelectric cooling device in the microchannel
CN103219326B (zh) 层叠型半导体器件
US20120061059A1 (en) Cooling mechanism for stacked die package and method of manufacturing the same
US10231364B2 (en) Fluidly cooled power electronics assemblies having a thermo-electric generator
US10210912B2 (en) Integrated thermoelectric cooler for three-dimensional stacked DRAM and temperature-inverted cores
US20210384165A1 (en) Power and Temperature Management for Functional Blocks Implemented by a 3D Stacked Integrated Circuit
CN102800801A (zh) 电冷却的功率模块
KR101088937B1 (ko) 플립-칩 반도체 장치들을 위한 열전기 냉각기
KR102552717B1 (ko) 파워모듈
Mirza et al. Effect of TSV joule heating on device performance
WO2000041239A1 (en) Chip package including peltier cooling

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant