CN104217981A - Ion source cleaning in semiconductor processing systems - Google Patents

Ion source cleaning in semiconductor processing systems Download PDF

Info

Publication number
CN104217981A
CN104217981A CN201410367226.5A CN201410367226A CN104217981A CN 104217981 A CN104217981 A CN 104217981A CN 201410367226 A CN201410367226 A CN 201410367226A CN 104217981 A CN104217981 A CN 104217981A
Authority
CN
China
Prior art keywords
gas
cleaning
negative electrode
ion source
temperature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410367226.5A
Other languages
Chinese (zh)
Other versions
CN104217981B (en
Inventor
约瑟·D·史威尼
莎拉德·N·叶达夫
欧利格·拜
罗伯·金姆
大卫·艾德瑞吉
丰琳
史蒂芬·E·毕夏普
W·卡尔·欧兰德
唐瀛
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from PCT/US2009/033754 external-priority patent/WO2009102762A2/en
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Publication of CN104217981A publication Critical patent/CN104217981A/en
Application granted granted Critical
Publication of CN104217981B publication Critical patent/CN104217981B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J27/00Ion beam tubes
    • H01J27/02Ion sources; Ion guns
    • H01J27/08Ion sources; Ion guns using arc discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/08Ion sources; Ion guns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/16Vessels; Containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/18Vacuum locks ; Means for obtaining or maintaining the desired pressure within the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3171Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/0203Protection arrangements
    • H01J2237/0209Avoiding or diminishing effects of eddy currents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/08Ion sources
    • H01J2237/0815Methods of ionisation
    • H01J2237/082Electron beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/22Treatment of data

Abstract

Cleaning of an ion implantation system or components thereof, utilizing temperature and/or a reactive cleaning reagent enabling growth/etching of the cathode in an indirectly heated cathode for an ion implantation system by monitoring the cathode bias power and taking corrective action depending upon compared values to etch or regrow the cathode.

Description

Ion source cleaning method in semi-conductor manufacturing system
Technical field
System of the present invention about semiconductor processing system, the long-pending monitoring in the material Shen on the parts of particularly implanted ions system, control and cleaning.
Background technology
Implanted ions is used to the manufacture of integrated circuit accurately to introduce the impurity of controlled amount and be the critical processes in microelectronics/semiconductor production in semiconductor crystal wafer.In this type of implant system, an ion source makes the doped chemical gas ionization desired by become ion and this plasma extracts from source with the form of an ion beam with desired energy.Extraction system realizes by the voltage that applying one is high strides across suitable shaping extractor electrode, and multiple hole has been merged into the passage of extraction bundle by these extractor electrodes.Ion beam, then on the surface of workpiece, semiconductor wafer such as, carries out orientation, to implant doped chemical to this workpiece.The surface of this intrafascicular this workpiece of ion penetration forms the region with desired conductance.
The ion source of several types is generally used in the implanted ions system of business, comprise: use thermoelectrode and the negative electrode source of the freeman (Freeman) of powering by an electric arc and Claude Bernard (Bernas) type, the microwave-type using a magnetron, indirect and RF electricity slurry source, this plasma sources all run usually in a vacuum.Ion source introduces electronics to produce ion by the vacuum chamber being filled with impurity gas (being commonly referred to as " unstrpped gas ").Foreign atom in electronics and gas and the collision of molecule cause by positive and that the negative Doped ions form electric generation of starching of ionization.The extractor electrode with a negative or positive bias voltage using that allow this positive respectively or negative ion as a collimated ion beam passing hole and, this ion beam is accelerated towards workpiece from ion source out.Unstrpped gas includes but not limited to BF 3, B 10h 14, B 18h 22, PH 3, AsH 3, PF 5, AsF 5, H 2se, N 2, Ar, GeF 4, SiF 4, O 2, H 2, and GeH 4.
At present, in the manufacture of the device of prior art, 10-15 implantation step is reached.Increase wafer size, reduce the complexity of critical dimension and growth circuit and proposing to reduce more requirement to the release of ion implant tool just better processing controls, low energy high beam electronic current and mean free error time (MTBF).
The parts needing most the Ion Implantation Equipment instrument of maintenance comprise: ion source, and it must carry out overhauling (depending on its service conditions) after the running time of about 100 to 300 hours; Extractor electrode and High-Voltage Insulation, they usually need cleaning after operation hundreds of hour; The fore line of implanted ions vacuum system and vacuum pump, comprise the fore line of ion source turbine pump and association thereof.In addition, the various parts (such as filament, negative electrode and fellow thereof) of ion source may need to change after operation.
In ideal conditions, all raw molecules all can be ionized and extract, but in fact a certain amount of feed material degradation can occur, and the Shen which results on ion source region is amassed and polluted.For example, the residue (such as by using the unstrpped gas of such as hydrogen phosphide to get) of phosphorus promptly amasss on the surface of this ion source region in Shen.This residue can low-voltage insulator in an ion source be formed, and causes electrical short, and this may interrupt producing the electric arc required for hot electron.This phenomenon is commonly referred to " source flicker (glitching) ", and it is the key factor of ion beam instability, and finally may cause the premature breakdown in this source.Residue also in the upper formation of the high-voltage part (insulator in such as this source or the surface of extractor electrode) of Ion Implantation Equipment, causes the high voltage sparking of high energy.Another factor of this type of spark system beaminstability, and the energy discharged by these sparks can damage sensitive electronic unit, causes the MTBF of equipment failure and the difference increased.
For use Sb 2o 3as the implantation of the antimony (Sb+) of solid dopant material, the problem that another is common can occur, this can worsen by even only flowing into boron (B) after Sb+ implants several hours.This boron beam electronic current can make this obviously impaired ionogenic performance and life-span aobvious landing degenerate.The reason of this type of performance degradation is owing to the long-pending Sb in Shen excessive on the room and parts thereof in source.Because output reduces, so ionogenic fault shows to land reduce the productivity ratio of implanter due to preventive maintenance frequently or less beam electronic current.Implantation due to Sb is widely used in similar bipolar device, and the n-type being used as to be formed for the shallow junction of MOS (metal-oxide semiconductor (MOS)) device is adulterated, therefore there are the needs of development one method this area, namely when Sb+ is used as dopant, when particularly Sb converts B to after implanting, the method can remove the long-pending Sb in Shen from the chamber in source and parts thereof.
In addition, foreign atom (such as B, Ge, Si, P and As) can amass in the downstream of ion source turbine pump, its vacuum foreline associated in Shen, and is arranged in the roughing pump in fore line downstream.Through the passing of time, these depositions accumulate and need cleaning, are wherein manually complete in the past.But some deposition (such as solid phosphorus) is pyrophoric and may catches fire in the operating process of manual maintenance.This is not only fire hazard, but also may discharge poisonous compound.Therefore there are the needs of the method that development one improves in this area, the method can use a purge of gas agent to carry out desirably these depositions of situ cleaning.
In another reason of ion source fault, various material (such as tungsten, W) can be accumulated on negative electrode in long-term implanted ions process.Once these buildup of material reach a critical level, this cathode power no longer can keep the temperature being enough to meet beam electronic current set point.This causes the loss of ion beam current, needs to change ion source.The Ion source characteristics degeneration produced and the lost of life reduce the productivity ratio of ion implanter system.
And the etching (or sputter) of another reason series cathode material of ion source fault.For example, from negative electrode metal material (such as W, Mo etc.) by arc chamber electricity slurry in ion beam sputtering deposition.Because sputter is subject to the control of the heaviest ion in electricity slurry, so along with mass of ion increase, sputter effect may degenerate.In fact, the sputter of continuous print material makes this negative electrode " thinning ", finally causes on negative electrode, form a hole (" negative electrode break-through ").Result is greatly reduce in ionogenic performance and life-span.Therefore the method for the balance between the accumulation of seeking to keep material on negative electrode and corrosion is continued to extend the ionogenic life-span in this area.
Other residue may be produced by the reaction between this ion source material and the parts of this implanted ions system, and this depends on intrasystem condition.This type of reaction can cause residue Shen to be amassed in the additional components of system.For example, tungsten must can be formed in arc chamber extraction aperture by crystalline substance, thus causes restrainting non-uniformity problem.
Deposition is very common on ionogenic assembly, such as filament and repellel electrode.This type of inner deposition is made up of arc chamber material generally, and modal be when the arc chamber that forms with by tungsten or molybdenum of height electricity slurry power supply of the raw material with monofluoride source is combined and operates.Although use the ionogenic life expectancy of the implanted ions system of the material in non-halide source to be generally about 100 hours to 300 hours, and some halide material (such as GeF4) is due to the adverse effect of deposition inner in ion source operation, the ionogenic life-span can be low to moderate 10 hours to 50 hours.
Except the operating difficulties caused due to the residue in this Ion Implantation Equipment, owing to giving out poisonous or corrosive vapors when removing parts to clean, also there is great personal security problem.The generation Anywhere that safety problem can exist at residue, but concerned be especially in ion source region because the parts that ion source system Ion Implantation Equipment is the most often safeguarded.In order to minimize downtime, through being everlasting, contaminated ion source removes higher than at the temperature of room temperature by aobvious landing from implanter, which increases distributing and having deepened safety problem of steam.
(namely the existing method of the above difficulty of process has comprised attempts stoping the formation of deposition and cleans the deposition that produces on extractor electrode and ion source, on this extractor electrode, as announce U.S. Patent application 2006/0272776, announce U.S. Patent application 2006/0272775 and announce international patent application WO 2005/059942 A2 in discuss).But, still need the additional procedure of all elements cleaning implanted ions system.
Therefore in implanted ions field desirable to provide a cleaning method of offing normal with an independent rinsing table, can clear up the contaminated parts removed from this implanter safely thus and without any mechanical wear, this mechanical wear may damage the fine features of such as graphite electrode.Therefore provide an off-line rinsing table also in implanted ions field, one to show progressive by being, it cleans them with can being used for after parts remove from this implant system selectivity and non-demolition, and downtime is the shortest.
There is provided the cleaning method of an original position will to be also that one in implanted ions field shows progress, the method be used in implantation process, effectively, optionally remove the unnecessary residue long-pending throughout this implanter (particularly this ion source region) Shen.This situ cleaning can improve personal security and promote stable, continual operation of implanting equipment.
Can a cleaning-in-place process be carried out and not take this process chamber apart.For situ process, a gaseous reagent is flow through from this process chamber in case with continuous print, pulse or mixing continuous-pulse mode to be to remove the film of accumulation.Depend on situation, an electricity slurry can be in or be not in this cleaning process and produce.
Use chlorine trifluoride (ClF 3) and other material (such as, the CF in fluorine source 4, NF 3, C 2f 6, C 3f 8, SF 6and ClF 3) without electricity slurry or dry cleaning method can be used for removing solid residue from semiconductor process chamber, such as by carrying out reacting being formed by vacuum or the volatile reaction product removable from this process chamber of other the condition that removes with solid residue, and in such cases, these cleaning reagents may need the cleaning condition of high temperature.See Y.Saito etc., " Plasmaless Cleaning Process of Silicon Surface Using Chlorine Trifluoride ", APPLIED PHYSICS LETTERS, the 56th (8) volume, 1119-1121 page (1990); Also see D.E.Ibbotson etc., " Plasmaless Dry Etching of Silicon with Fluorine-Containing Compounds ", JOURNAL OF APPLIED PHYSICS, the 56th (10) volume, 2939-2942 page (1984).
U.S. Patent number 4,498,953 describe a situ cleaning method, wherein by compound (such as BrF between a kind of halogen 5, BrF 3, ClF 3, or IF 5) continuously flow through this process chamber, maintain a predetermined pressure of these indoor simultaneously.At the end of this process, stop the flowing of chemical compound gas between this halogen.These class methods can produce the accessory substance containing Cl, Br or I, together with fluorine-containing accessory substance, produce a large amount of needs process or other dangerous waste material disposed thus.In addition, this type of continuous flow cleaning is carried out under low-down pressure condition, and cleaning efficiency substantially reduces at this pressure.
In the application of some ion source, carry out BF 3, PH 3, and/or AsH 3tactic sequence to realize longer ion source life.
Between fluorine-based or fluorine-containing halogen, compound is for the relevant deficiency of application its commercial viability restricted of cleaning semiconductor processing equipment.Between fluorine-based or fluorine-containing halogen, compound (comprises ClF 3) there is the feature of high corrosion.In addition, between halogen series of compounds to the intense stimulus thing of human airway.For example, for ClF 3facing of steam limits Human Tolerance level lowly can arrive the rank that 100ppb, LC50 be 1 hour under 300ppm.
This area is continued to seek new cleaning reagent and is offed normal and in-situ system and method, and relevant monitoring and control device and method.
Summary of the invention
The present invention is about device and the method for monitoring, controlling and clean implanted ions system or its parts generally, be also about effectively for this kind cleaning composition.
The invention provides the method for the filament state of an implanted ions system of monitoring in system operation procedure in an aspect, the method comprises: (a) uses the initial current being enough to produce an electricity slurry in described arc chamber to power to a filament in an ionogenic arc chamber; B () measures in the scheduled time that continuous electricity slurry generates and inputs to keep the electricity in this arc chamber to starch the electric current of this filament; C the electric current measured in this scheduled time input compares with this initial current by (), and (d) comparatively determine whether material amasss on this filament or whether the etching of this filament has occurred in Shen according to this simulation, wherein, represent that the Shen of material on this filament is amassed relative to this initial current at one of this scheduled time larger electric current, and represent the etching of this filament at one of this scheduled time less electric current relative to this initial current.
The invention provides the method for the state of the filament controlling an implanted ions system in this system operation procedure in another aspect, comprise: (a) uses the initial current being enough to produce an electricity slurry in described arc chamber to power to a filament in an ionogenic arc chamber, b () measures in the scheduled time that continuous electricity slurry generates and inputs to keep the electricity in this arc chamber to starch the electric current of this filament, c the electric current measured in this scheduled time input compares with this initial current by (), d according to this simulation, () comparatively determines whether material amasss on this filament or whether the etching of this filament has occurred in Shen, wherein, represent that the Shen of material on this filament is amassed relative to this initial current at one of this scheduled time larger electric current, and represent the etching of this filament at one of this scheduled time less electric current relative to this initial current, and (e) determine in response to this, the material that amasss in Shen is removed or additional material is amassed in Shen on this filament from this filament, to a degree, the input of this initial current has been re-established in this degree, or the electric current input in the preset range that described initial current inputs.In another execution mode of this aspect, step (a) can be carried out to step (d) during implanted ions process; Step (e) can before implanted ions process, afterwards or between carry out.
In another aspect, the invention provides the method for the state in negative electrode (IHC) source controlling one of implanted ions system indirect during Dynamic System, it comprises: (a) measures cathode bias power supply to determine the use power in the negative electrode source of indirect by a scheduled time; B () compares this use power and initial power of this scheduled time; And (c) compares take corrective action (i) or (ii) to control the state of the negative electrode of this indirect in response to this, if (i) is at this use power of this scheduled time higher than this initial power by this, then etch the negative electrode of this indirect; Or (ii) is if at this use power of this scheduled time lower than this initial power, then the negative electrode of this indirect of regrowth.Initial power is included in the value of the cathode bias power of the time before the measurement of a scheduled time, such as, and power when it can be startup, or the power under normal operating condition, or any other preheating setting time point or value.As those who familiarize themselves with the technology will understand, cathode bias power measures and initial gain value depends on that implantation process or other situation can be the form of a scope or multiple scope.The low temperature that the etching of being somebody's turn to do (c) (i) is included in is enough to etch operates the negative electrode of this indirect to the condition of moderate temperature.Low temperature in this regard to moderate temperature through being illustrated as from about room temperature up to about 2000 DEG C.The regrowth of (c) (ii) should comprise a fluorinated gas is flowed under an electric pulpous state condition on the negative electrode of this indirect, wherein this fluorinated gas comprise following in one of or many persons: XeF 2, XeF 4, XeF 6, GeF 4, SiF 4, BF 3, AsF 5, AsF 3, PF 5, PF 3, F 2, TaF 3, TaF 5, WF 6, WF 5, WF 4, NF 3, IF 5, IF 7, KrF 2, SF 6, C 2f 6, CF 4, ClF 3, N 2f 4, N 2f 2, N 3f, NFH 2, NH 2f, BrF 3, C 3f 8, C 4f 8, C 5f 8, CHF 3, CH 2f 2, CH 3f, COF 2, HF, C 2hF 5, C 2h 2f 4, C 2h 3f 3, C 2h 4f 2, C 2h 5f, C 3f 6and MoF 6.The regrowth of being somebody's turn to do (c) (ii) operates the negative electrode of this indirect under being included in the situation being enough to the high temperature that metal Shen is amassed occurs.High temperature is in this regard greater than 2000 DEG C through being illustrated as.Aligning step (c) can before implanted ions process, afterwards or between carry out.In addition, for regrowth, if the material system through implanting is selected from the one in the fluorinated gas of directly description above, then aligning step can be carried out during implantation process.Above or herein the method step discussed of other place can be undertaken by suitable control device (such as microcontroller, controller, microprocessor etc.) and electric, the electronics be associated and/or electromechanical component, this equal controller through appropriately programmed and/or through configuration to carry out automatic reparation or the cleaning of ionogenic parts (such as filament, repellel electrode, negative electrode and counterelectrode).
In another aspect, the invention provides the method operating in an ionogenic arc chamber and comprise the implanted ions system of a filament or negative electrode (or can through etching or other parts ionogenic with the deposition such as, but not limited to counterelectrode, repellel and fellow thereof), keep this ionogenic operating efficiency, described method comprise by this filament or negative electrode or as the aforementioned other parts ionogenic contact with a tungsten reagent under the following conditions, these conditions are selected from the group be constructed as follows:
A () realizes the tungsten condition that Shen is long-pending on this filament; And
B () realizes etching from this filament the condition that material is amassed in institute Shen.
In an execution mode in this regard, (such as) other ion source component of negative electrode, repellel (it corresponds respectively to negative electrode and filament) or its fellow can possess suitable heating component with the surface temperature of adjustment component, with optionally etch from its material or thereon Shen amass material.
In another embodiment, negative electrode (IHC) ion source of indirect can comprise two negative electrodes (substituting negative electrode and counterelectrode).During implanting, a negative electrode can be used as anticathode to operate, and during reparation or trimming process, the temperature of two negative electrodes can as required through controlling or etching material long-pending with Shen.
System of the present invention is about the method being one or more parts about cleaning one implanted ions system in another aspect, for removing the deposition relevant with ionization at least in part from one or more parts described, described method comprises a purgative gas is flow through this system under the following conditions, and these conditions are selected from the group be constructed as follows:
A () realizes material in this filament, negative electrode or condition as long-pending in Shen in other aforementioned ion source component; And
B () realizes from this filament, negative electrode or etches the condition that material is amassed in institute Shen as other aforementioned ion source component.
Another aspect system of the present invention is about the method ionogenic filament in an arc chamber being kept a predetermined resistance, the method comprise by this filament with depend on the temperature of filament relative to this arc chamber walls temperature effectively on this filament Shen amass material or effectively contact from a reagent of etching material this filament, and the temperature controlled in the temperature of this filament and this arc chamber walls carrys out the long-pending or etching material in Shen on this filament effectively, to keep described predetermined resistance.Generally, if when arc chamber walls system low temperature is to moderate temperature (being less than the temperature of filament), the temperature of filament is enough high (being such as greater than 2000 DEG C), then the Shen that material on filament occurs is amassed.If do not consider the temperature (although the temperature that the temperature of arc chamber walls is less than or greater than filament is preferably) of arc chamber walls, the temperature system low temperature of filament to moderate temperature (such as, be less than about 1500 DEG C to 2000 DEG C), then occur from filament etching material.
In another aspect, system of the present invention is about cleaning one implanted ions system or its one or more parts therefrom to remove the method for the deposition relevant with ionization, and the method comprises this implanted ions system or its one or more parts BrF wherein 3with these depositions have under chemically reactive condition with described BrF 3contact removes at least in part to realize them.
In another aspect, system of the present invention is about a fore line of cleaning one implanted ions system therefrom to remove the method for a deposition relevant with ionization, and the method comprises the fore line of an implanted ions system and a purge gas that described purge gas and this deposition have under chemically reactive condition and carry out contacting to remove them at least in part wherein.The method can improve the performance of an implanted ions system and extend its life-span.
In another aspect, system of the present invention about improvement one implanted ions system performance and extend the method in its life-span, the method comprises and is contacted with the admixture of gas comprising at least one purge gas and at least one Shen and amass gas by this negative electrode, and wherein said admixture of gas balances the Shen of material on negative electrode and to amass and material or other material are amassed from the corrosion this negative electrode in this Shen.
Other aspect of the present invention, feature and execution mode will be more obvious from disclosure subsequently and appended claim.
Accompanying drawing explanation
One chart of source service life data before Fig. 1 ties up to and introduces cleaning-in-place process and afterwards, shows the life-time dilatation brought due to this process.
Fig. 2 system chart shows XeF 2on a chart of the impact of the leakage current of inhibitor, as in example 1 describe in detail.
Fig. 3 A and 3B system show the photo of cleaning performance proving situ cleaning, as in example 1 describe in detail.
Fig. 4 A and 4B shows the cleaning performance of situ cleaning, as in example 5 describe in detail.
Fig. 5 A and 5B system XeF 2flow through the filament weight (Fig. 5 A) of the increase of an elapsed time section and the chart of heater current (Fig. 5 B).
Fig. 6 system is for XeF 2stream is in this intrasystem tungsten transmission, and filament weight change is as a chart of a function of heater current.
The change that Fig. 7 system shows cathode bias power is as the chart of a function of time and gas type.
Fig. 8 system shows the chart of negative electrode W weight change as a function of substrate bias power.
Embodiment
System of the present invention about the device for monitoring, controlling and clean semiconductor processing system and/or its parts and method, and is about the composition for this kind of cleaning.
In an aspect, system of the present invention removes deposition about in the parts from this semiconductor processing system or semiconductor processing system, wherein this system or system unit is contacted with the cleaning combination comprising a gas phase reactive material.
As used herein, term " gas phase reactive material " is intended to broadly be construed as denoting following material, comprise: one or more halide and/or misfit thing (form with gaseous state or steam), the ion of this one or more compound and/or misfit thing and electric slurry form, and from the derivative element of this one or more compound, one or more misfit thing and ion and electric slurry form and ion.As in wide in range operation of the present invention the gas phase reactive material that uses differently can also refer to any combination of (but being not limited to) " gas phase reactive composition ", one " cleaning agent ", one " purge gas ", one " etching gas ", one " gaseous halide ", one " gaseous cleaning agent ", one " reactive halide ", one " cleaning compound ", one " cleaning combination ", one " cleaning steam ", one " etchant vapor " or this type of term.
As used herein, with regard to an Ion Implantation Equipment, " ion source region " includes, but are not limited to vacuum chamber, source arc chamber, source insulator, extractor electrode, suppression electrode, High-Voltage Insulation, source sleeve pipe, filament, negative electrode and repellel electrode.As those who familiarize themselves with the technology will understand, term " ion source region " uses with its widest meaning, such as, Claude Bernard (Bernas) or freeman (Freemen) ion source assembly comprise a filament and repellel electrode, and IHC source assembly comprises negative electrode and anticathode.
Contemplated by the invention the cleaning of semiconductor processing system and parts thereof, together with other substrate and device, these substrates and device are subject to the deposition impact that it is formed in its normal processing operation.This operation includes, but are not limited to the cleaning of vacuum foreline and roughing pump.In view of description herein, as those who familiarize themselves with the technology will understand, purge gas may flow through selected mouth in a plurality of mouth to walk around some district and/or the target given zone of implanter.For example, XeF 2or other purge gas can through being delivered through the mouth close to the district needing cleaning.Clenaing effect also can strengthen, if most of purge gas will be introduced into target area along circulation path and not exhaust by the reaction carried out with residue (as occurred when (such as) purge gas is only introduced through source housing).Selected mouth can be pre-existing in or be formed for this object/produce.This technology can be used for the ion source region, magnetic/analyzer region, vacuum system, the process chamber etc. that clean (but unrestricted) implanter.Cleaning can realize by being flowed continuously through by purge gas and/or going through predetermined time amount through the Suo Yao district of implanter or region.Alternatively, or combine with it, purge gas can through be closed in system go through predetermined time amount allow purge gas spread and react with unwanted residue and/or deposition.
The present invention provides an implanted ions system in different aspects, and this system has following ability: the filament growing/be etched in by the temperature suitably controlled in arc chamber in the ion source of arc chamber is so that the growth of filament desired by realizing or the etching of alternative filament.
Extra aspect system of the present invention is about using reactive gas such as WFx, AsFx, PFx and TaFx (wherein x has the scope of a stoichiometrically suitable value or value) for cleaning the region of Ion Implantation Equipment or the parts of Ion Implantation Equipment in position or in the cleaning arrangement of offing normal under electricity slurry or hot conditions.
Of the present invention another is about BrF about aspect system 3for cleaning the purposes of implanted ions system or its one or more parts in position or in the cleaning arrangement of offing normal under the condition of ambient temperature, high temperature or electricity slurry.
The operation of one implanted ions system causes the Shen producing the material relevant with ionization in this system or its parts to be amassed.Contemplated by the invention monitoring, control and/or clean this implanted ions system and/or its one or more parts, to remove this type of deposition relevant with ionization at least in part from this system and/or its parts.This cleaning method system carries out under the condition of reacting contacting to realize their at least part of removing this gas phase reactive material and this deposition can be made to carry out about by this system and/or its parts and the cleaning combination comprising a gas phase reactive material.
Except the deposition relevant with ionization caused by unstrpped gas itself, also have been found that the deposition that formed in an implanted ions system or residue may be due to unstrpped gas and the reactivity of material forming this system unit.For example, the vacuum chamber of an implanted ions system can use stainless steel or aluminium to form.System unit in this vacuum chamber can use graphite (such as, standard or vitreous), and insulating material (such as, boron nitride) and/or encapsulant are (such as kel-FTM, PEEKTM, DelrinTM, VespelTM, VitonTM, Buna-N, silicon etc.) construct.That can exist in this implanted ions system and pottery, epoxy composite, aluminium nitride, aluminium oxide, silicon dioxide and boron nitride containing lead oxide are included but not limited to other material of the chemical reaction susceptible that wherein deposition produces.
This ion source itself can be by tungsten, graphite, molybdenum or tantalum, sometimes have a small amount of copper and silver to form.This ion source arc is made up of or a graphite body tungsten or molybdenum usually, and this graphite body is lined with tungsten or molybdenum.In this case, a kind of feed material (such as BF of fluoride source 3, GeF 4, SiF 4, AsF 5, AsF 3, PF 5, and/or PF 3) react with the material of arc chamber (such as from tungsten or the molybdenum of the lining of arc chamber or this room) at the operational, to form an intermediate by-products, this accessory substance and then can move within the system and decompose and amass tungsten or molybdenum with Shen and discharge fluorine.
For example, a unstrpped gas such as GeF 4meeting be dissociated in this source housing and the free fluoride produced can etch the material in this arc chamber of corrosion, such as tungsten.Will be there is this kind of reaction in tungsten on a colder surface, so if at electricity slurry through clashing into and therefore this filament system heat, then this fluoride can react on these walls of arc chamber with tungsten, etches these walls and forms WF 6gas.WF 6then on the filament of this heat, tungsten can be amassed in Shen, cause the growth of its size.
Work as GeF 4when producing a large amount of free fluorine, unstrpped gas is BF such as 3or SiF 4produce less amount free fluorine and correspondingly on filament the tungsten Shen of less degree amass, although it lacks, but still very important.
Not fluorine-containing unstrpped gas (such as PH 3and AsH 3) be problematic, because the metal Shen on filament may be caused to amass on the wall of arc chamber, and result filament attenuates.
The present invention because contemplated that cleaning one implanted ions system or its one or more parts, for removing with the ionization relevant deposition identical with the material of this arc chamber at least in part.
Can carry out in an implanted ions system according to cleaning of the present invention, wherein plurality of raw materials gas is introduced in this system simultaneously.Unstrpped gas can also use with one or more gas phase reactive material simultaneously, or can be input in this system with one or more gas phase reactive material ALT pulse.
The deposition relevant with ionization of cleaning method indication of the present invention comprises multiple material, and these materials can such as by be formed in the equipment of ion source or other ionization process and accumulation carrys out the normal running of interfering ion implant system.The material that institute Shen is amassed differently can comprise, be formed by following or be substantially made up of following: silicon, boron, phosphorus, germanium, arsenic, tungsten, molybdenum, selenium, antimony, indium, carbon, aluminium and/or tantalum.
The deposition relevant with ionization in ion source arc and on extractor electrode can form thin slice and form little particle.These particles once be formed, then with an ion beam transmission, such as, can be implanted to the bundle of the Doped ions in a wafer.If this type of transmission particle arrives this wafer, then the particle contamination produced on the wafer seriously may reduce the productive rate of the useful device that can manufacture on the wafer.Cleaning method of the present invention was removed before this type of deposition relevant with ionization can form thin slice and particle, and realized the minimizing of particle on product wafer thus and improve the productive rate of semiconductor device.
Can comprise the effective any material of the deposition relevant with ionization removed at least in part in this implanted ions system for the gas phase reactive material that cleans or purge gas according to the present invention.
Invention also contemplates that and use gas phase reactive material to remove the deposition relevant with ionization from undesirable position by suitably controlling reaction, and/or amass material in the Shen, position of hope.In special execution mode of the present invention, tungsten constitutes as the material of undesirable deposition through removing, and in other embodiments, and tungsten Shen, ground that is supposed to is amassed and benefited from its surface existed.Therefore, a gas (the such as XeF of the tungsten fluoride intermediate product formed reactively 2, GeF 4, SiF 4, BF 3, AsF 5, AsF 3, PF 5, and/or PF 3) can be used in control of the present invention and cleaning method.In addition, multiple tungsten fluoride gas such as WF 6, WF 5, and/or WF 4can be directly used in control of the present invention and cleaning method.Thus, gas phase reactive material of the present invention includes, but are not limited to XeF 2, GeF 4, SiF 4, BF 3, AsF 5, AsF 3, PF 5, PF 3, F 2, TaF 3, TaF 5, WF 6, WF 5, and/or WF 4.
In different special execution modes, this gas phase reactive material can be used jointly with volatile one " the cleaning reinforcing agent " or " coreagent " increasing this gas phase reactive material, causes than using removing without the more deposition of gas phase reactive material cleaning reinforcing agent or coreagent.For example, XeF is used 2remove iridium deposition to strengthen by Lewis's (Lewis) alkali and jointly using of electronics feedback key class.In specific applications, carbon monoxide, trifluoro phosphine can be used, and trialkyl phosphine class.
As an other example, in an implanted ions system, wherein feed gas is ionized to continuous print electricity slurry in an arc chamber with tungsten wall, on these walls, while be provided with a filament and another side is provided with a repellel and they separate with these walls by the insulator of pottery, the parts of this arc chamber may be fed the catabolite of gas, the element of arc chamber and carbon contamination.
In this case, a cleaning agent (the such as XeF for removing the metal pollutant (such as tungsten) forming volatile fluoride is had 2) can combine with an oxygenated additive, this oxygenated additive is transformed into CO, CO by by pollutant carbon 2, and/or COF 2and effectively removed.The oxygenated additive component for this object is had to include but not limited to NO, N in special execution mode of the present invention 2o, NO 2, CO 2and/or O 2.
Therefore contemplated by the invention the cleaning combination of the cleaning agent comprising and effectively remove a metal pollutant (forming the fluoride compound of a volatility (gaseous state) of this metal by reaction) and both the cleaning agents effectively removing carbon contamination thing (by being formed volatile oxide or an oxyfluoride by it).These cleaning reagents can side by side or sequentially flow in this arc chamber.
In one embodiment, these reagent flow in this arc chamber under the condition of ionization simultaneously, and these cleaning agents have all carried out ionizing to be transformed into from this room the pollutant of metal and carbon by the volatile compound its machinery suction easily removed like this.
The condition that this gas phase reactive material and deposition can be made to carry out reacting can comprise the conditions such as any suitable temperature, pressure, flow velocity, composition, under these conditions, this gas phase reactive material and pollutant carry out contacting and chemically interact to remove this type of material from (surface that the implanter such as amassing material contamination by institute Shen is equipped) this substrate.
The example of operable different condition includes but not limited to ambient temperature, exceedes the temperature of ambient temperature, there is electricity slurry, does not have electricity slurry, lower than atmospheric pressure, atmospheric pressure and superatmospheric pressure.
The exact temperature that contact for gas phase reactive material removes deposition in various embodiments can from the scope of about 0 DEG C to about 2000 DEG C.Contact can comprise this gas phase reactive material in a carrier gas or with a pure form or with the mixture of an other cleaning agent, dopant etc. in send.This gas phase reactive material can carry out heating to carry out chemical reaction with deposition at ambient temperature, to improve kinetics.
Reaction between this gas phase reactive material and pollutant deposition can be carried out monitoring and/or regulating based on the response characteristic changed between cleaning agent and pollutant.This type of response characteristic can comprise pressure, time, temperature, concentration, the existence of a concrete material, the speed, the speed of (a concrete kind) concentration change, the change of electric current etc. of pressure change.Therefore, in system, introduce this gas phase reactive material to stop based on the realization of a predetermined response characteristic, such as a predetermined voltage in a vacuum chamber, tided over one predetermined time amount or the concentration of predetermined temperature, within the system a concrete element, the existence of a concrete accessory substance, product within the system or other material or the realization of a predetermined current condition in this monitoring operates.
Tungsten deposition can be caused by the reaction of the arc chamber of feed gas and an implanter system.Method for cleaning this type of deposition can depend on this system temperature gradient and/or flow to and via filament electric current and/or effectively determine and other characteristic any that can monitor.
For example, the fluorine from feed material can react at one first temperature with this arc chamber, forms WF by following reaction (1) or (2) 6:
3F 2(g)+W(s)→WF 6(g) (1)
6F(g)+W(s)→WF 6(g) (2)
Reaction between the tungsten material that can also there is purge gas and this arc chamber, such as:
3XeF 2+W→3Xe+WF 6 (3)
Alternatively, WF 6(or WF 5or WF 4) can directly be supplied to this system.
Then the tungsten fluoride once formed within the system or otherwise exist can move to the another location of this system.Depend on the temperature of other position, this tungsten fluoride can amass tungsten in the etching of this position or Shen.On this filament, the actual current flux that temperature will depend primarily on by it.Temperature in other position of this arc chamber can change, this depend on the design of concrete position and arc chamber, heater current, together with other non-heater current.
If the second place ties up to high temperature, then tungsten fluoride decomposes, and tungsten is amassed by Shen and fluorine is released, as long as tungsten fluoride exists, the size of tungsten deposition will grow.Reaction is amassed in Shen can comprise following reaction (4), (5) and/or (6):
WF 6→W+3F 2 (4)
2WF 5→2W+5F 2 (5)
WF 4→W+2F 2 (6)
On the contrary, if the second place ties up to moderate temperature, tungsten fluoride can etch this position, removes tungsten and retains fluorine in the reaction product, and the position of etching like this reduces along with the carrying out of etching.This etching reaction can comprise following reaction (7), (8) and/or (9):
WF 6(g)+2W(s)→3WF 2(g) (7)
2WF 6(g)+W(s)→3WF 4(g) (8)
5WF 6(g)+W(s)→6WF 5(g) (9)
Therefore, for removing of tungsten deposition, the temperature with the parts of deposition can be selected to maximize to make the speed that removes and scope.
In other embodiments of the present invention, in arc chamber, boron and/or molybdenum deposition remove in a corresponding mode.
Cleaning agent can be undertaken by the change of monitoring pressure in contact process with the contact that process is equipped in the method for the invention, when pressure is changed to zero, then contacts termination.
Alternatively, this contact can by this gas phase reactive material of monitoring or the reactant that obtains thus, or the dividing potential drop of the product produced in this contact and carrying out, and when this dividing potential drop reaches a predetermined value, that is, during a terminal, this contact stops.Such as, this kind of endpoint monitoring can use a suitable endpoint monitoring device to carry out, such as at U.S. Patent number 6,534,007 and U.S. Patent Application No.: 10/273,036,10/784,606,10/784,750 and 10/758, the endpoint monitoring device of the type described more comprehensively in 825, or a thermopile IR (TPIR) or other Infrared Detectors.
In another embodiment, this contact can use the parts of this process change system to be undertaken by the in check flowing of gas phase reactive material, and these parts allow regulate the dividing potential drop of gas phase reactive material and therefore control reaction rate.
In yet, the Continuous Flow of the gas reaction material of a predetermined flow velocity is used to carry out this cleaning operation.
As hereinbefore about reaction (1)-(9) discuss, it is long-pending and etch under low temperature to moderate temperature that the deposition of the tungsten relevant with ionization can carry out Shen at very high temperatures.In this regard, relevant with ionization deposition means owing to electricity slurry but the deposition that may not be formed owing to the operation of ion.Therefore, as long as still there is enough hot surface, the Shen of tungsten is long-pending also can be occurred in not having electricity slurry (such as, there is not ion) when.When the filament of the position system implanter system amassing or etch in Shen, temperature and current flux system directly related each other.When this filament is through etching, filament can attenuate and can increase along with the reduction in the cross section of this filament the resistance of electric current, can be reduced like this by the current flow of this filament.If the Shen that the condition of this filament facilitates on it is amassed, then can be long-pending and reduce along with constantly Shen to the resistance of electric current, because the cross section of this filament increases and filament is thicker, the current flow correspondingly wherein passed through there has also been increase.
In another aspect, system of the present invention, about the method that the Shen of monitoring on the filament of source is amassed and caused filament grows, is about the current flow of monitoring by this filament.Because because Shen is amassed, the cross section of filament increases, so to reduce the resistance of electric current and electric current can increase to keep this filament to be in being supported in the temperature required for electricity slurry in arc chamber.Therefore one of the electric current increase monitored can be used for representing the needs to filament cleaning.
In an other aspect, system of the present invention is about etching or the cleaning etching method of being monitored this filament by monitoring by the current flow of filament.Because due to etching, sputter or evaporation, filament cross section reduces, to increase the resistance of electric current and electric current can reduce to keep this filament to be in being supported in the temperature required for electricity slurry in arc chamber.Therefore one of this electric current reduction monitored can be used for representing the needs amassed in additional material Shen on a filament etched, or stops the needs of a cleaning or ionization process.
Another embodiment of the present invention comprises the method that the electric current flowing through this filament based on monitoring as set forth in more detail above controls the state of this filament.
In one embodiment, the reduction of the heater current of being monitored provides the instruction of filament close to fracture, responsively, one gas phase reactive material flows in this system (such as when when clashing into electricity slurry, or alternately, electricity slurry is closed but filament remain heat (such as, ~ 2000 DEG C)), the long-pending reaction in the Shen of metal on this filament is produced, such as, from the tungsten of this arc chamber walls to bring out.This reaction can allow to proceed to until this electric current in a preset range of this implanted ions system of valid function, indicate this filament " regrowth " to a gratifying degree.
In another embodiment, the increase of the heater current of monitoring provides an instruction, that is: grow because filament is amassed in Shen of material.Responsively, after allowing this filament to cool one period of predetermined time, or to after a predetermined temperature (it can be such as from room temperature to up in a scope of about 2000 DEG C), this gas phase reactive material flows into this system, like this, filament is cooled to is enough to allow this filament of etching.After this, can be allowed thereafter to proceed to until electric current in a preset range of this implanted ions system of valid function, indicates this filament to taper to a suitable degree by the etching reaction subsequently of this gas phase reactive material as media.
Therefore method of the present invention can remove a deposition by a time substrate and a gas phase reactive material being enough to remove deposition from this substrate at least in part from this substrate, this deposition comprise boron, silicon, arsenic, phosphorus, germanium, tungsten, molybdenum, selenium, antimony, indium, tantalum and carbon one of at least.Gas phase reactive material for this object can comprise one or more following material: XeF 2, XeF 4, XeF 6, GeF 4, SiF 4, BF 3, AsF 5, AsF 3, PF 5, PF 3, F 2, TaF 3, TaF 5, WF 6, WF 5, WF 4, NF 3, IF 5, IF 7, KrF 2, SF 6, C 2f 6, CF 4, Cl 2, HCl, ClF 3, ClO 2, N 2f 4, N 2f 2, N 3f, NFH 2, NH 2f, HOBr, Br 2, BrF 3, C 3f 8, C 4f 8, C 5f 8, CHF 3, CH 2f 2, CH 3f, COF 2, HF, C 2hF 5, C 2h 2f 4, C 2h 3f 3, C 2h 4f 2, C 2h 5f, C 3f 6, COCl 2, CCl 4, CHCl 3, CH 2cl 2, and CH 3cl.
In the operation of the present invention, the xenon compound fluoridized can be used as cleaning agent and electric slurry source reagent, and can comprise the fluorine atom of any suitable number.Relative to lower F/Xe compound, a higher ratio of F and Xe enables relatively sooner and more effectively cleans.Higher steam pressure improves the delivery rate of cleaning agent and enables to send more material.
In one embodiment of the present invention, xenon hexafluoride is used as a cleaning agent or electric slurry source reagent.Although XeF 6steam pressure at room temperature than XeF 2steam pressure approximately high seven times, XeF 6, and XeF 4, be fairly react with water.Xe F 6the most advantageously be not about the existence of water, hydro carbons, hydrogen or reducing agent or the cleaning environment of generation in use.But, when use there is the cleaning compound of more low vapor pressure time, may need to adjust circulation circuit to avoid unsuitable pressure drop in circulation path and to keep the suitably high delivery rate of cleaning agent.
The device implementing method of the present invention can form in any suitable manner and arrange, to provide gas phase reactive material to this cleaning.
In one embodiment, the invention provides an implanted ions and cleaning assembly, comprise: (i) implanted ions system, this system comprises one or more parts, in the process of the implanted ions process of this system, on these parts, accumulation has the deposition relevant with ionization, (ii) a cleaning assembly, comprise the cleaning combination source containing a cleaning combination, this cleaning combination comprises a gas phase reactive material, such as a kind of halide compound, this halide compound and deposition are reactive to realize removing deposition at least in part from one or more parts under cleaning condition, this cleaning condition comprises the contact of this cleaning combination and deposition, (iii) circulate circuit, it is suitable for cleaning combination being sent to one or more parts being used for carrying out under cleaning condition with it contacting from cleaning combination source, and (iv) flow component, it is suitable for the Cleaning Process composition controlled in cleaning state and flows through this circulation circuit, to realize removing deposition at least in part from one or more parts.
Flow component in above-mentioned assembly can be any suitable type, comprise such as valve, valve actuator, flow restricter, adjuster, pump, mass flow controller, pressure gauge, residual gas analyser, CPU, barrier film, etc.This type of flow component system adaptation to work under used concrete cleaning condition.
One or more parts (in these parts implanted ions processing procedure within the system, accumulation has the deposition relevant with ionization thereon) in implanter device can be any suitable types, such as, vacuum chamber, arc chamber, electrode, filament, bushing, electromagnetic waveguide, wafer-process parts, clamp ring, wheel, dish, etc.In one embodiment, this parts system one vacuum chamber or parts with which.
Cleaning combination source one material that can comprise containing this cleaning combination stores and distributes external member.This material stores and distributes external member and comprises a container, and this container can be, such as, what define its inner volume is as general as columniform container.In a special execution mode, this cleaning combination can be solid under the condition of ambient temperature and this cleaning combination can the surf zone strengthened in this container be supported.This surf zone strengthened can comprise structure wherein, such as pallet, as at U.S. Patent number 6,921, described in 062, or porous inert foams, such as be subject to anodized aluminium, stainless steel, nickel, bronze etc., to provide this cleaning material consistent evaporation rate and and then to provide and be enough to carry out the distribution of the cleaning process of being correlated with and the steam pressure of ionization steps.When utilizing pallet, in batch operation, cleaning combination can be subject to the support of some tray surfaces, and these pallets have relative flow channel pipe, upwards flow into its distribution openings in this embodiment for steam.
Circulation circuit in above-mentioned equipment arrangement adapts to and is sent to arc chamber from this cleaning combination source under cleaning condition by this cleaning combination.This adaptation can be the different qualities based on cleaning combination.Such as when this cleaning combination has a low steam pressure, high conduction can be used avoid the unnecessary pressure drop in circulation path.Maximizing conductance and minimizing the method compressed that circulates is well-known in this area.
In all cleaning methods of the present invention, can optionally carry out cleaning with other method and device thus extend the life-span of implanted ions system (particularly ion source).The method of this type of life-saving can comprise change one implanted ions system to adapt to the long-pending material in concrete substrate, Shen and/or gas phase reactive material.The change of system and device can include but not limited to provide the following: the extractor electrode with active thermal control system; Reduce the extractor electrode (actively heated extraction electrode) of the active heated of the frequency/generation of electric discharge; Comprise the extractor electrode of the preferred aluminium of metal, molybdenum or aluminium oxide (Al2O3); Long-range electric slurry source; Extractor electrode associates with heater; Extractor electrode associates with cooling device; Smooth undistinguishable (featureless) extractor electrode; Electricity slurry room, these electricity slurry rooms receive many source gas through being arranged to, and these source gases can be decomposed with a fluid stream produced by the outlet of this room and the reactant gas of conduit, this reactive gas is delivered to ionization room by electricity slurry; Hygrosensor, these hygrosensors are through being designed to the substantial end of the exothermic reaction of the gas of detection reaction and the pollution on the surface for the treatment of system; The protection (gear such as, providing this type of material of antagonism around the parts to gas phase reactive material susceptible protects thing) being subject to the parts of the infringement of gas phase reactive material in this process equipment; And/or comprise the use of system unit of aluminium or aluminium oxide.
The method extending the life-span of process equipment can include but not limited to: carry out active heated to reduce frequency and the generation of electric discharge to extractor electrode; Extractor electrode is being heated higher than on the condensing temperature being delivered to ionogenic source material; Control to be suitable for the temperature (such as heat with one or the ion source that cools carries out combined heated or cools this electrode) of the ionogenic extractor electrode of used particular type on one's own initiative; And/or under keeping this extractor electrode to be in high temperature in extraction process.The change of this type of additional device and method have carried out more fully describing in U.S. Patent Application Publication No. 2006/0272776 and 2006/0272775 and International Patent Publication No. W WO 05/059942, it are incorporated to by reference in full herein.
In a special execution mode, this implanted ions system comprises an arc chamber and a dopant source, and wherein this dopant source can comprise such as BF 3, XeF 2, AsH 3, PH 3, GeF 4, SiF 4, H 2se, AsF 5, AsF 3, PF 5, PF 3or other boron, silicon, arsenic, phosphorus or germanic dopant source.
In another embodiment, system of the present invention is about the method for an implanted ions, the method is included in the arc chamber of an implanted ions system and produces an electricity slurry by a dopant source gas, this dopant source gas flow by this arc chamber to form dopant source ion for implanting, in the process of the time at least partially wherein in the process of this dopant source gas flow by this arc chamber, gas phase reactive material and dopant source gas flow through this arc chamber concurrently, to realize the cleaning in this implanted ions system.
Generally, although the flowing that dopant source gas and gas phase reactive material can carry out walking abreast is to realize situ cleaning, but preferably typically carry out cleaning operation in a mode in succession, such as when this ion source produces one first electricity slurry from one first dopant source, and when this ion source produces one second electricity slurry from one second dopant source subsequently, use a cleaning step got involved, wherein gas phase reactive material flows through this ion source, is with or without electricity slurry and generates.
In one embodiment, the invention provides the method for the silicon substrate that formation one is adulterated, the method comprises in Xe+ implanted ions one silicon substrate, and in this silicon substrate, implants dopant ion after this.In the process, the crystal structure that Xe+ ion is used for amorphization (amorphize) this substrate is implanted.
At the xenon fluoridized electricity slurry (the such as XeF for cleaning 2electricity slurry) generation in, Xe+ ion can carry out some sources itself low energy sputter clean.After extraction, Xe+ ion can carry out ion source components downstream, some high energy sputters of such as vacuum wall, ion optics, wafer disks and wafer support.
Similarly, in use tungsten fluoride kind, such as WF 6, WF 5, and/or WF 4when, free fluoride can sputter cleaning, different ion source component and/or tungsten can amass on ionogenic different parts in Shen.The temperature of all parts is in systems in which depended in the behavior occurred between cleaning and Shen are amassed.
The present invention in different aspect systems about the method for the ion source region of the cleaning one implanted ions system used in the manufacture of a microelectronic device and device.This ion source region can comprise the negative electrode source of a such as indirect, a freeman (Freeman) source or a Claude Bernard (Bernas) source.
The present invention be in one embodiment about from Ion Implantation Equipment and wherein contained parts by by this vacuum chamber and/or parts and a gas phase reactive halide compositions time enough and enough carry out contacting under condition and original position removal of residue so that removal of residue from this vacuum chamber and/or parts at least in part, and be come about by such mode, namely, when residue is different from the material forming vacuum chamber and/or parts, this gas phase reactive material and residue optionally carry out reacting and carry out reacting (such as substantially not reacting with the formation vacuum chamber of Ion Implantation Equipment and/or the material minimally of parts, and preferably do not react completely), and when residue is identical with the material forming vacuum chamber and/or parts, then gas phase reactive material can be all in reactive with residue and vacuum chamber and/or parts.
As used herein, the reactive term " optionally " being applied to this gas phase reactive halide and a residue is the preferential reaction for being described between this gas phase reactive halide and a residue.Although keep not reacting in fact with the formation vacuum chamber of Ion Implantation Equipment and/or the material of parts, if this vacuum chamber and/or parts comprise element same or analogous with those residues itself, gas phase reactive halide can react with the formation vacuum chamber of Ion Implantation Equipment and/or some material of parts.Such as, when optionally reacting with the tungsten deposition from parts and removed, this gas phase reactive material may also react with the tungsten in parts itself.For the generation of this coreaction, residue and parts need not be accurately identical materials, but can comprise some common materials.
In another embodiment, Ion Implantation Equipment parts clean in an independent special room (parts move into wherein from an Ion Implantation Equipment) with offing normal.
Consider situ cleaning in further detail, this kind of cleaning depends primarily on following three factors: reactive character of cleaning precursor, the volatility of cleaning reaction accessory substance, and the reaction condition used in chemical cleaning.This cleaning combination must remove the wearing and tearing that unnecessary residue minimizes the material forming Ion Implantation Equipment simultaneously.The accessory substance produced by cleaning reaction must be enough volatile to facilitate removing of they by the vacuum system of Ion Implantation Equipment or other aspirator.
The cleaning of the residue that the material that one or more parts with Ion Implantation Equipment are identical is formed can cause parts itself some wear and tear.Exactly, XeF is used 2to utilize the system of tungsten arc room from one as a cleaning agent and remove tungsten deposition and can cause removing of some tungsten of the inside from arc chamber.But, in order to make system effectiveness maximize, not very critical from the loss of some internal material of angle (if this system is not carried out cleaning and allowed tungsten deposition the to accumulate within the system) arc chamber of systematic function reduction.
This gas phase reactive material can comprise such as a kind of xenon compound steam fluoridized, such as XeF 2steam.XeF 2be a preferred reactive halide gas, and at room temperature can distil, but a heater can be used to carry out heating to increase rate of sublimation.Known XeF 2be an effective silicon etchant and in the process of microelectromechanical systems (MEMS) device, be used as a silicon selective etch agent.Exactly, XeF 2react according to following reaction with silicon.
2XeF 2(g)+Si(s)→2Xe(g)+SiF 4(g) (10)
This silicon/XeF 2reaction can activate and occur, that is, not electricity consumption slurry or thermic heating.XeF 2with the reaction rate of Si far above XeF 2with SiO 2reaction rate, make XeF 2optionally react with Si.
XeF 2or other xenon compound fluoridized as the etchant for metal boron usefully for operation of the present invention.Although be not wishing to be bound by theory, think that boron is etched according to following reaction (11):
3XeF 2(g)+2B(s)→3Xe(g)+2BF 3(g) (11)
The present invention considers to use XeF2 as the etchant for arsenic, phosphorus and germanium, and can be about following reaction:
5XeF 2(g)+2As(s)→5Xe(g)+2AsF 5(g) (12)
5XeF 2(g)+2P(s)→5Xe(g)+2PF 5(g) (13)
2XeF 2(g)+Ge(s)→2Xe(g)+GeF 4(g) (14)
This type of reaction can use or do not use high energy activation and carry out.
When residual material is different from those materials, method of the present invention and device are used for removal of residue from the parts of Ion Implantation Equipment at least in part, such as, remove at least 25%, more preferably at least 50% and most preferably at least 75% this kind of residue, and come by such mode: with regard to forming the material of Ion Implantation Equipment parts, such as aluminium, tungsten, molybdenum, graphite, insulating material, sealant material etc., optionally remove residue.
When the material that residue is identical with the material system of component parts, wish the residue removing of similarity degree, keep material to be in low degree from removing parts, such as, in the scope of micron or some tens of pm, to affect the performance of parts indistinctively simultaneously.In addition, because deposition does not generally have uniform thickness or Shen to amass, they can have more reactivity than the material of parts itself in cleaning process, and this gas phase reactive material compositions is than more optionally reacting with this residue with the reaction of element portion like this.
Several form can be adopted gas phase reactive material compositions to be delivered to the ion source region may carrying out situ cleaning, to comprise an immobilising mode, a continuous print mode and a mode directly introduced.This type of cleaning way is more fully described in international publication WO 07/127865, together with the device effectively used in the operation of the present invention and methodology.The disclosure content of international publication WO 07/127865 is combined in this as a whole by quoting.Although there is described herein in conjunction with different execution mode of the present invention and use XeF 2as a cleaning combination, but it should be understood that the compound that other can be used to fluoridize, such as WF 6, WF 5, and/or WF 4, substitute or in conjunction with XeF 2, that maybe can use other and the extra compound fluoridized.Such as BrF can be used 3carry out etch tungsten and do not need electricity slurry.In another aspect, system of the present invention uses the performance of an implanted ions system of solid dopant material and extends the method in its life-span about improvement, and the method comprises use XeF 2or N 2f 4as a carrier gas of described solid dopant material.This solid dopant material includes, but are not limited to element arsenic, phosphorus, selenium, antimony, SbF 3, InCl, SeO 2, Sb 2o 3and InCl 3.As considered in the present invention, XeF is used 2or N 2f 4as Sb 2o 3, InCl 3or a carrier gas of other solid dopant material removes Sb, In and other dopant that on this room, source and its parts, institute Shen is long-pending.Even if this transient approach switches to boron also to have effectiveness after Sb implants.Advantage by this method gained is at least double: first, it provides real-time source cleaning and prevents from or reduce dopant being accumulated on this source housing and parts thereof, therefore improve Ion source characteristics and extend the ionogenic life-span simultaneously; The second, it strengthens and/or stabilizes electricity slurry and/or beam electronic current.
In another aspect, system of the present invention uses the performance of an implanted ions system of gaseous state dopant material about improvement and extends the method in its life-span, and the method comprises uses XeF 2or N 2f 4as the body of gas in the lump with described gas doping material.This gaseous state dopant material includes, but are not limited to GeH 4and BF 3.As considered in the present invention, XeF is used 2or N 2f 4as with GeH 4or the body of gas in the lump of other gaseous state dopant material removes Ge on Ji Yuan room, Shen and its parts or other dopant.The advantage obtained by this operation of the present invention is at least double: first, it provides the cleaning of real-time source and stops or reduce dopant and be accumulated on this source housing and parts thereof, therefore improve Ion source characteristics and extend ion source life; The second, it strengthens and/or stabilizes electricity slurry and/or beam electronic current.
In another aspect, system of the present invention about a fore line of cleaning one implanted ions system to remove the method for relevant with ionization deposition herein, comprise and contacted at the following reaction conditions with a purge gas by the fore line of an implanted ions system, wherein said purge gas and this deposition have chemical reactivity to realize wherein removing at least in part.Deposition includes, but are not limited to comprise B, Ge, Si, P and As, or those materials of their mixture.This purge gas includes but not limited to XeF 2, N 2f 4, F 2and be reactive material that other is fluoridized with a deposition of aforementioned formation.As have the knack of this operator understand, the amount of required purge gas depends on the amount of the deposition of existence.Similarly, the amount of the heat discharged in the process of reacting at purge gas and deposition depends on the flow velocity of purge gas.The identification of the accessory substance kind produced from cleaning process and concentration depend on the flow velocity of purge gas, the associativity of deposition is formed and pump purges flow velocity.Only for the object of non-limitative illustration, below to use XeF 2the example cleaning phosphorus from a fore line is described:
For determining the XeF in cleaning process 2the chemical reaction system of amount: 5XeF 2(g)+2P (s) → 5Xe (g)+2PF 5(g).GIFe_xM_y alloy (with kJ/mol) is take from Lange's Handbook of Chemistry (14th ed) and list for determining the heat discharged in course of reaction at this: XeF 2(-164); Xe (0); P (0); And PF 5(-1594.4).XeF 2flow velocity determine the length of time required for cleaning process together with discharged heat.Heating XeF is not provided 2the means of gas cylinder, the maximum lasting about 50sccm of flow velocity system, suppose there is and enough send pipeline conductivity.If keep this gas cylinder at room temperature by use one heating jacket, then flow velocity can be increased to 100sccm or larger.XeF needed for cleaning phosphorus deposition 2amount illustrate in Table 1, and the amount of the heat discharged in this cleaning reaction process illustrates in table 2.
Table 1
The quality (g) of phosphorus deposition Required XeF 2Amount (g)
10 137
100 1367
1000 13,666
Table 2
XeF 2Flow velocity (sccm) Produce the speed (watt) of heat
50 17.6
100 35
200 70
Maximum generation speed from the different accessory substances in above-mentioned cleaning reaction illustrates in table 3.
As have the knack of this operator understand, because the composition of residue may be different, the data system therefore illustrated in table 3 is based on following hypothesis: the composition that it is that element that the amount system of accessory substance is defined as often kind of element supposition 100% is formed.In addition, the Cmax of these materials depends on the dilution flow rate in gas extraction system.Such as, if roughing pump has the nitrogen purge of a 10slpm, then just in the downstream of this pump, PF 3maximum stable state concentration system 3330ppm.If XeF 2flow velocity be greater than 50sccm, then this value can increase.
In an execution mode of said method, this purge gas flows into this implant source room, and turbine pump cuts out and roughing pump is opened.This operation enhances the flow velocity of purge gas above the deposition of fore line, because herein is provided a cleaning process faster.The speed of cleaned gas stream can heat by this gas cylinder making this purge gas be stored in more than room temperature or room temperature wherein further.Preferably in this operation, the delivery line from gas cylinder to Ion Implantation Equipment is heated similarly.
In another execution mode of said method, this purge gas flows in this implant source room in a stream of pulses mode, wherein this implant source room, this pump and fore line is charged to a certain pressure and is then extracted into lower pressure.Repeat this process until remove the deposition on the fore line of implanted ions system.This operates the isolating valve be preferably used in the import of this roughing pump.
In one preferably operation, this gas cylinder that above-mentioned execution mode comprises further to making this purge gas be stored in more than room temperature or room temperature wherein heats.
For all execution modes, the method be preferably included in further this roughing pump outlet on a Drechsel system to remove produced volatile byproducts from cleaning process.
Each execution mode preferably comprises as from Air Products and Chemicals further, Inc. (the U.S., Pennsylvania) a commercially available Xe recovery system, and be described in http://www.fabtech.org/product_briefings/_a/new_product_air_pro ducts_offers_on_site_xenon_recovery., in, it is incorporated herein.
Another execution mode cleaning the method for a fore line of an implanted ions system is included in a turbine pump downstream to be provided this purge gas and described purge gas is continuously flowed through the fore line of this implanted ions system.The continuous flow of described purge gas directly can enter pressure vessel, region between pressure vessel and source turbine pump, or the downstream of source turbine pump.The deposition (even if when this implantation process carries out) on fore line is preferably cleaned in this operation, reduces the interruption of implanted ions operation thus.
In the above-described embodiment, this purgative gas is preferably stored in a gas cylinder; This gas cylinder that the method preferably comprises further to making this purge gas be stored in more than room temperature or room temperature wherein heats.
Above-mentioned execution mode is preferably included in this low vacuum delivery side of pump further and provides a Drechsel system to remove produced volatile byproducts from this cleaning process.
Above-mentioned execution mode comprises further to be provided just like from Air Products and Chemicals, Inc. (the U.S., continent, Pennsylvania) commercially available Xe recovery system, and be described in http://www.fabtech.org/product_briefings/_a/new_product_air_pro ducts_offers_on_site_xenon_re covery., in, it is incorporated herein.
In another aspect, system of the present invention is about improveing the performance and the method extending its life-span with an implanted ions system of a negative electrode, the method comprise by this negative electrode with amassed the admixture of gas that gas forms by least one purge gas and at least one Shen and contact, wherein said admixture of gas balances this material of the Shen Jiyu of material on this negative electrode or other material from the stripping this negative electrode.The purge gas of admixture of gas removes the material that dopant material on this negative electrode and negative electrode are amassed in Shen, and the Shen of admixture of gas is amassed gas and caused dopant material Shen to amass on this negative electrode directly or indirectly.This admixture of gas maintains the balance between the stripping of the accumulation of dopant material on this negative electrode and it or other material, and therefore extends the ionogenic life-span.To understand not only dopant material can amass through Shen or etch, and the material of arc chamber walls (such as, W or Mo) can amass through Shen or etch.Purge gas directly (via sputter or chemical etching) or indirectly (chemical deaeration via tungsten fluoride/molybdenum fluoride) prevent Shen long-pending or reduce rate of deposition.Gas is amassed via halogen cycle (from the fluorine etching of gas from W or Mo of cooling wall, and then being decomposed on very hot negative electrode by W or Mo) in Shen, or by negative electrode practically Shen amass dopant molecule/atom (such as, from BF 3b) and cause Shen on negative electrode to amass, and a similar mechanism is applied to the ionogenic filament of Claude Bernard.For the situation that the dopant Shen in other sensitive compressible members of insulator or arc chamber is long-pending, purge gas tends to the dopant deposition of chemical etching through being formed, or first purge gas can amass gas reaction to stop or to minimize Shen long-pending before dopant Shen is long-pending with Shen.Illustrate how purge gas can stop Shen to be amassed in the first situation with example: gas GeH is amassed in Shen 4ge deposition can be made to be formed on negative electrode, insulator or other parts.If purge gas is XeF 2, then its can with GeH 4react to form the GeF having more volatile at least one tittle than Ge 2and/or GeF 4, and therefore can remove from source region via suction.In addition, any one or both of gas and purge gas are amassed in Shen also can be dopant gas.The storage of this admixture of gas in ion source implanter and distribute and can come by use the following a: absorption-desorption device (being called as SDS-safe delivery source), it is described in U.S. Patent number 5,518, in 528, and its content is incorporated to herein by quoting; One comprises for keeping the fluid storage of the container of fluid under a desired pressure and distribution system (being called as the vacuum actuated gas cylinder of VAC) to be described in U.S. Patent number 6,101, and in 816, and its content is incorporated to herein by quoting; Or one the fluid-mixing of SDS and VAC store and distribution system (being called as VAC-Sorb), it is described in U.S. Patent number 6,089, in 027 and its content is incorporated to herein by quoting.These fluid storage and distribution system provide gas lower than sending under atmospheric pressure, and store than high-pressure fluid thus and distribution system safer and more effective.In addition, some gases in this admixture of gas can store together and distribute in SDS, VAC or VAC-Sorb system, and the coexistence system of these gases in high-pressure fluid storage and distribution system is incompatible.
In an execution mode of above method, the multiple gases of admixture of gas side by side flows to contact this negative electrode or to be subject to other sensitive compressible members that impact is amassed in Shen.
In another execution mode of above method, the multiple gases of admixture of gas sequentially flows to contact this negative electrode or to be subject to other sensitive compressible members that impact is amassed in Shen.
In another execution mode of above method, admixture of gas comprises a combination of at least one hydrogen-containing gas and at least one fluoro-gas, and wherein as purge gas, this fluoro-gas amasss gas as Shen to this hydrogen-containing gas.
In another execution mode of above method, this admixture of gas comprises a combination of at least one undoped gas (namely not containing the gas of As, P, Ge, B, Si or C) and at least one impurity gas, and wherein as purge gas, this impurity gas amasss gas as Shen to this undoped gas.
The example system of purge gas but be not limited to Xe/H 2, Ar/H 2, Ne/H 2, Xe/NH 3, Ar/NH 3, Ne/NH 3, Ar/Xe and Ar/Xe/H 2.
The example system (but being not limited to) of gas is amassed in Shen: F 2, N 2f 4, ClF 3, WF 6, MoF 6, GeF 4and NF 3.
The example system (but being not limited to) of admixture of gas: AsH 3/ AsF 3, AsH 3/ AsF 5, PH 3/ PF 3, PH 3/ PF 5, SiH 4/ SiF 4, H 2/ Xe/SiF 4, GeH 4/ GeF 4, H 2/ Xe/GeF 4, H 2/ GeF 4, B 2h 6/ BF 3, H 2/ BF 3, F 2/ BF 3, CO 2/ F 2, CO 2/ CF 4, CO/F 2, CO/CF 4, COF 2/ F 2, COF 2/ CH 4, COF 2/ H 2.
Feature of the present invention and advantage more fully illustrate by following nonrestrictive example.
Example 1
This example shows the utilization of improvement on ion source life and implanter, and this removes deposition by use one chemical and can realize.Preferably, deposition is removed with regular interval to stop the accumulation of pollutant thin slice in implanter and conductive membranes.
Situ cleaning system is by with regular interval, oneself is arranged in the XeF of the gas cabinet of Ion Implantation Equipment 2supply container introduce XeF 2carry out, wherein XeF 2cleaning steam was introduced in this ion source with each 10-15 minute of every day twice.A high electric current implanter is used to test to assess the flowing dynamics of this cleaning reagent.Determine XeF 2cleaning characteristics and confirm that the beam current tube parts of this cleaning agent to implanter do not have adverse influence.So, use XeF 2the cleaning process of reagent is qualified for using in a medium current implanter device.
Fig. 1 system by this class medium current implanter cleaning process in position enforcement before and a chart of collected afterwards ion source life data.These data systems develop for the doped compositions comprising arsenic hydride and hydrogen phosphide.Before washing, by the restriction of two kinds of common fault modes, this ion source has the average operation life-span of about 250 ± 90 hours.
Main fault mode system is from the excessive leakage of an inhibitor voltage source.In order to successfully extract a stabilizing ion bundle, inhibitor voltage being applied to and being positioned on an electrode of this arc chamber outside.This electrode carries out electric isolution by multiple little insulator, and the accumulation of a conductive membranes on one or more in these insulators may cause excessive inhibitor to reveal.
One second pattern system of fault is attributable to the short circuit that parts in the arc chamber of the thin slice of material are amassed in institute Shen.
Find, these fault modes can minimize by the Process of Chemical Cleaning of original position.Regular every day, twice cleaning added the life-span in source in production.
XeF 2illustrate further in fig. 2 the impact of the Leakage Current of inhibitor, Fig. 2 system is for a chart of medium current instrument Leakage Current before introducing situ cleaning operation and afterwards.Each data point represents the average inhibitor electric current in the process of the time needing implantation one wafer batch, and these points are along with several ionogenic life-span marks and draws.The size revealed depends on the elapsed time from the replacing of the insulator of last preventive maintenance.These data illustrate that regular situ cleaning greatly reduces Leakage Current, and it never reaches the upper control limit of 1.5mA like this, safeguard without the source of scheduling at these some needs one.
Also use and comprise BF 3and PH 3one implant doping mixing and have evaluated the effect of situ cleaning.This source operates 497 hours under these conditions and (being about the tungsten on filament or boron deposition) there occurs fault under an electric arc restrictive condition, and this is attributable to BF 3chemical property.Operation system is favourable about single source life-span and the history average long-term in identical systems of 497 hours in pilot system compared with 299 hours.This is a single data point, but its applicable model set up.In this case, the improvement of source service life looks it is owing to using XeF in this source arc chamber 2etched tungsten deposition.
The photo of Fig. 3 A and 3B provides the other evidence of cleaning agent effect.In two photos, show after approximately producing 98 days in each case, the outward appearance of ion pressure vessel after removing the ion source assembly of periodically preventive maintenance.For the photo in Fig. 3 A, every day carries out twice situ cleaning, and for the photo in Fig. 3 B, does not clean.
When not cleaning, there is the material that the Shen of real mass is long-pending, wherein some start layers from and slabbing.In periodic maintenance activity, manually scouring is used to remove the long-pending material in Shen from the inner surface of shell.Look cleaner with this shell of situ cleaning, carry out Manual-cleaning without the need to spending the less time or not taking time.Deposition is by unreacted XeF 2flow out this arc chamber and the wall passing to vacuum chamber removes, and dopant and other deposition remove by chemical reaction.
Among this ion source and around deposition produce so-called " implanter memory effect ".When becoming another kind of from a dopant source gas and changing, after this first impurity gas flows into termination for a long time, the ion from this first dopant element continues from extraction this ion source electricity slurry.This effect is causing the severe contamination of desired ion beam current in some cases and is causing the deterioration of implantation process.
One example of this implanter memory effect ties up to a BF 2p in implantation pollutes.This pollution is so serious to the consequence system of process yields, so that numerous semiconductor fabrication facility is all being avoided the implantation scheduling of phosphorus and boron in same tool.Substantive obstacle when this ties up to scheduling implant procedure.P/BF 2pollution results from and uses PH 3implantation source in phosphorus deposition.When for BF 2 +implantation is replaced with BF 3during gas, the reaction of some fluorine defines 31p 19f +. 31p 19f +quality be 50.This be enough to very much close to for 11b 19f 2the quality of desired 49, such PF +with BF 2 +ion is implanted altogether.Result system, BF 2 +implant and receive the restriction in extra fine quality-energy range with some high current system of MIN quality analytic ability.
With from PH 3the P+ ion beam of impurity gas uses a high electric current implanter in simulated production, to operate about 200 hours to XeF 2cleaning is assessed, to determine its impact on this implanter memory effect.This systematic evaluation becomes BF 3gas and use the BF2+ of a high dose (5 × 1015ions/cm2) directly to implant an exposed silicon monitor wafer.At BF 2 +in the process implanted, than usually opening larger, the resolving aperture of the analyzing magnet of system guarantees that polluting effect measures enough large to the routine using secondary ion mass spectroscopy (SIMS) to analyze.
BF 3, argon and XeF 2cleaning performance system by each in these 3 kinds of gases of operation and then by with BF 2 +the amount that implantation monitor wafer monitors contaminant remaining periodically compares.With BF 2the amount of the P implanted altogether measures by SIMS.One of implanted phosphorus typical SIMS spectrum is shown in Figure 4 A, and the peak wherein in phosphorus spectrum corresponds to the PF extracted from this ion source +the implantation depth of ion, and this dosage corresponds at BF 2in the pollution level of about 3%PF.
Fig. 4 B system uses BF 3or XeF 2pollution level is as the figure of a function of scavenging period, and wherein this figure is from PH 3be transformed into BF 3normalization immediately afterwards (normalize) is to pollution level.As operation BF 3even if pollute also almost without impact PF after 2 hours during electricity slurry.When using argon electricity slurry, obtain similar result (not shown).By comparing, PF pollutes with XeF 2situ cleaning only decreases twice after 15 minutes, and with XeF 2situ cleaning decreased almost 5 times after 30 minutes.
Before using situ cleaning, this medium current implanter unit is monthly changed in average 3.3 sources of every instrument system, and wherein average source Renewal process and conformity test subsequently need about 5 hours, is equivalent to nearly 200 hours of the annual production time loss of every instrument.Source service life has doubled effectively by situ cleaning, each medium current instrument is created to the extra production time of about 100 hours.The saving that test wafer produces, together with production time and qualified wafer reprocessing needed for the saving (each medium current implanter is carried out every year up to 40 conformity tests) of metrology tool, demonstrate the validity of situ cleaning.
Example 2
The control of this examples prove filament growth in the ion source of an illustrative ion implanter system.
Fig. 5 A system show with regard to increase heater current and weight with regard to XeF 2one chart of the effect of stream and arc power change.The figure shows the figure of filament weight (using gram) as the function of the operation elapsed time (in hour) of implanter system.Line representative higher in this figure is with the XeF of 2.2 standard cubic centimeters (sccm) per minute 2the arc power operation of flow and 100 volts/0.05 ampere, to this, the filament weight determining one 319 milli Grams Per Hours after 3 hours of operation increases.Line lower in this figure reflects the XeF of 0.5sccm 2flow and 40 volts/0.05 ampere arc power, this filament weight creating 63 milli Grams Per Hours in the lasting time of implementation of 3 hours increases.
Fig. 5 B shows XeF with regard to heater current 2one chart of the effect of flow and arc power change.The figure shows the figure of heater current (using ampere meter) as a function of the time of implementation of implanter system.Line representative higher in this figure is with the XeF of 2.2 standard cubic centimeters (sccm) per minute 2the arc power operation of flow and 100 volts/0.05 ampere, to this, increases at the heater current determining 16 amperes/hour.Line lower in figure reflects the XeF of 0.5sccm 2the arc power of flow and 40 volts/0.05 ampere, this heater current creating 2.3 amperes/hour in the lasting time of implementation of 3 hours increases.
Fig. 6 system filament weight change (per hour using milligram) is as a chart of a function of average heater current (with ampere meter).The data of this figure under the heated filament limited condition for low discharge and high flow capacity and the electricity slurry condition for low discharge and high flow capacity show the effect that heat flow (without electricity slurry) and electric slurry condition transmit for tungsten.These data illustrate, tungsten transmission in systems in which can be amassed or alternative etching to realize the Shen of material on filament by selecting suitable treatment conditions optionally carry out adjusting.
Example 3
This example demonstrates the improvement utilized at ion source life and implanter that can realize by the supply of monitoring cathode bias power.
The change that Fig. 7 system shows cathode bias power is as the chart of a function of time and gas type.Exactly, GeF is worked as 4during flowing, halogen cycle makes W Shen amass on negative electrode, and it causes substrate bias power to increase (keeping setting ion beam current).Work as PH 3during flowing, phosphonium ion sputtering cathode, causes the decline of cathode bias power.In this example, PH 3with GeF 4ratio system make substrate bias power finally after about 76 hours, arrive its maximum output.Monitor this substrate bias power in this way, and take suitable action to improve ion source life.
Fig. 8 system shows the chart of negative electrode W weight change as a function of substrate bias power.Exactly, XeF is used 2as source gas, tungsten (W) can be etched from negative electrode by simple change cathode bias power or Shen is amassed in negative electrode.The temperature of negative electrode is increased to and is conducive to the degree that reaction is amassed in W Shen by high substrate bias power, and temperature is reduced to the condition being conducive to W etching reaction by low substrate bias power to moderate bias power.Depend on the state of negative electrode, substrate bias power can be selected with from the unwanted deposition of cathodic etching, be maybe back to long-pending for the W Shen of needs on negative electrode, and therefore, it is possible to improvement ion source life.
Although invention has been described with reference to different special execution modes, be understood that the present invention is not thereby restricted, and extend to and contain as have the knack of this operator different other understood change and execution mode.Therefore, the present invention is intended to come broadly to explain and annotate according to appended claim.

Claims (23)

1. the implanted ions system that operates is to maintain a method for its operating efficiency, and described method comprises:
In the ion source of described implanted ions system, one or more realize the state owing to being incorporated into deposition that described ionogenic dopant gas brings or etching in monitoring;
Response is made to this monitoring, i temperature in described ion source is adjusted to the temperature being enough to deposition or etching occur by (), and (ii) introduces the adjustment gas of adjustment deposition or etching, to maintain the operating efficiency of described implanted ions system to described ion source.
2. method according to claim 1, is characterized in that, the long filament in described ion source or the temperature of negative electrode are adjusted to the temperature being enough to etching occurs.
3. method according to claim 1, is characterized in that, the long filament in described ion source or the temperature of negative electrode are adjusted to be enough to the temperature from described adjustment gas aggradation material occurs.
4. method according to claim 2, is characterized in that, described temperature is higher than 2000 DEG C.
5. method according to claim 3, is characterized in that, described temperature is lower than 2000 DEG C.
6. method according to claim 1, is characterized in that, described dopant gas comprises and being selected from by BF 3, XeF 2, PH 3, AsH 3, PF 5, AsF 3, SiF 4, PF 3, AsF 5, H 2se and GeF 4gas in the group of composition.
7. method according to claim 1, is characterized in that, described dopant gas comprises the dopant gas source for boron, silicon, arsenic, phosphorus, selenium, antimony, indium or germanium.
8. method according to claim 1, is characterized in that, described adjustment gas comprises and being selected from by XeF 2, XeF 4, XeF 6, GeF 4, SiF 4, BF 3, AsF 5, AsF 3, PF 5, PF 3, F 2, TaF 3, TaF 5, WF 6, WF 5, WF 4, NF 3, IF 5, IF 7, KrF 2, SF 6, C 2f 6, CF 4, ClF 3, N 2f 4, N 2f 2, N 3f, NFH 2, NH 2f, BrF 3, C 3f 8, C 4f 8, C 5f 8, CHF 3, CH 2f 2, CH 3f, COF 2, HF, C 2hF 5, C 2h 2f 4, C 2h 3f 3, C 2h 4f 2, C 2h 5f, C 3f 6and MoF 6gas in the group of composition, wherein this adjustment gas is different from described dopant gas.
9. method according to claim 1, is characterized in that, described adjustment gas comprises gas halide.
10. method according to claim 1, is characterized in that, described dopant gas comprises fluoro-gas, and described adjustment gas comprises hydrogen-containing gas.
11. methods according to claim 1, is characterized in that, described dopant gas and described adjustment gas are to described ion source as an admixture of gas common stream.
12. methods according to claim 11, is characterized in that, described admixture of gas is selected from by AsH 3/ AsF3, AsH 3/ AsF 5, PH 3/ PF 3, PH 3/ PF 5, SiH 4/ SiF 4, H 2/ Xe/SiF 4, GeH 4/ GeF 4, H 2/ Xe/GeF 4, H 2/ GeF 4, B 2h 6/ BF 3, H 2/ BF 3, F 2/ BF 3, CO 2/ F 2, CO 2/ CF 4, CO/F 2, CO/CF 4, COF 2/ F 2, COF 2/ CH 4, COF 2/ H 2the group of composition.
13. methods according to claim 11, is characterized in that, the described adjustment gas in described admixture of gas does not comprise As, P, Ge, B, Si or C.
14. methods according to claim 1, is characterized in that, described adjustment gas comprises multiple gases.
15. methods according to claim 14, is characterized in that, described adjustment gas is selected from by Xe/H 2, Ar/H 2, Ne/H 2, Xe/NH 3, Ar/Xe and Ar/Xe/H 2the group of composition.
16. methods according to claim 1, is characterized in that, described dopant gas and adjustment gas comprise PH 3and NF 3.
17. methods according to claim 1, is characterized in that, the state of described monitoring comprises the current drain of long filament in described ion source.
18. methods according to claim 1, is characterized in that, the state of described monitoring comprises the use power of negative electrode in described ion source.
19. methods according to claim 1, is characterized in that, the state of described monitoring comprises the resistance of long filament in described ion source.
20. methods according to claim 1, is characterized in that, the state of described monitoring comprises the temperature of the temperature of long filament in described ion source and the arc chamber walls of described ion source place arc chamber.
21. methods according to claim 20, is characterized in that, described method comprises and controls the temperature of long filament and the temperature of described arc chamber walls in described ion source, to affect deposition of material on long filament or etching, are convenient to maintain predetermined resistance.
22. 1 kinds of implanted ions systems, is characterized in that, this system comprises:
One indirected heated cathode source;
The controller of the described system of one control;
Wherein, this controller
A) measure by a scheduled time use power that cathode bias power determines the negative electrode source of described indirect;
B) this use power and initial power of this scheduled time is compared; And
C) compare take corrective action (i) or (ii) to control the state of the negative electrode of this indirect in response to this, by this
If i this use power of () this scheduled time is higher than this initial power, then etch the negative electrode of this indirect;
(ii) if this use power of this scheduled time is lower than this initial power, then the negative electrode of this indirect of regrowth.
23. 1 kinds of implanted ions systems, is characterized in that, this system comprises:
One ionogenic arc chamber;
One negative electrode being positioned at described arc chamber;
The controller of the described system of one control;
Wherein, described negative electrode contacts with tungsten reagent being selected under by the state of the following group formed by this controller:
A) tungsten deposition state is on the cathode realized; And
B) state etching deposited material from described negative electrode is realized;
And described contact is carried out according to a timetable, this timetable maintains the use power of described negative electrode in predetermined restriction and controls the temperature of this negative electrode and the temperature of this arc chamber walls, to realize deposition or the etching of the material on this negative electrode, maintain predetermined resistance.
CN201410367226.5A 2009-02-11 2009-08-12 Ion source cleaning method in semi-conductor manufacturing system Active CN104217981B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
USPCT/US2009/033754 2009-02-11
PCT/US2009/033754 WO2009102762A2 (en) 2008-02-11 2009-02-11 Ion source cleaning in semiconductor processing systems
CN200980158194.5A CN102396048B (en) 2009-02-11 2009-08-12 Ion source cleaning in semiconductor processing systems

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN200980158194.5A Division CN102396048B (en) 2009-02-11 2009-08-12 Ion source cleaning in semiconductor processing systems

Publications (2)

Publication Number Publication Date
CN104217981A true CN104217981A (en) 2014-12-17
CN104217981B CN104217981B (en) 2018-01-09

Family

ID=42562402

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201410367226.5A Active CN104217981B (en) 2009-02-11 2009-08-12 Ion source cleaning method in semi-conductor manufacturing system
CN200980158194.5A Active CN102396048B (en) 2009-02-11 2009-08-12 Ion source cleaning in semiconductor processing systems

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN200980158194.5A Active CN102396048B (en) 2009-02-11 2009-08-12 Ion source cleaning in semiconductor processing systems

Country Status (6)

Country Link
EP (1) EP2396809A1 (en)
KR (1) KR101658975B1 (en)
CN (2) CN104217981B (en)
SG (1) SG173621A1 (en)
TW (2) TWI463516B (en)
WO (1) WO2010093380A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI777291B (en) * 2020-07-29 2022-09-11 台灣積體電路製造股份有限公司 Method and system for detecting processing chamber condition, and computer-readable medium

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120235058A1 (en) * 2010-09-15 2012-09-20 Ashwini Sinha Method for extending lifetime of an ion source
US9093372B2 (en) * 2012-03-30 2015-07-28 Varian Semiconductor Equipment Associates, Inc. Technique for processing a substrate
US9396902B2 (en) * 2012-05-22 2016-07-19 Varian Semiconductor Equipment Associates, Inc. Gallium ION source and materials therefore
JP6201496B2 (en) * 2013-08-02 2017-09-27 セントラル硝子株式会社 IF7-derived iodine fluoride compound recovery method and recovery device
US10170286B2 (en) * 2016-09-30 2019-01-01 Axcelis Technologies, Inc. In-situ cleaning using hydrogen peroxide as co-gas to primary dopant or purge gas for minimizing carbon deposits in an ion source
JP6529000B2 (en) * 2017-09-27 2019-06-12 日新イオン機器株式会社 Ion source, operating method of ion source
US10700207B2 (en) 2017-11-30 2020-06-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device integrating backside power grid and related integrated circuit and fabrication method
KR20200144151A (en) * 2018-05-17 2020-12-28 엔테그리스, 아이엔씨. Germanium tetrafluoride and hydrogen mixture for ion implantation systems
US10784079B2 (en) 2018-09-26 2020-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Ion implantation system and source bushing thereof
KR102563298B1 (en) 2021-01-18 2023-08-03 주식회사 유진테크 Method for removing impurities in thin film and substrate processing apparatus
US11664183B2 (en) * 2021-05-05 2023-05-30 Applied Materials, Inc. Extended cathode and repeller life by active management of halogen cycle
CN117813496A (en) 2021-06-01 2024-04-02 英福康公司 Method for detecting free radicals using mass spectrometry

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5943594A (en) * 1997-04-30 1999-08-24 International Business Machines Corporation Method for extended ion implanter source lifetime with control mechanism
WO2005060602A2 (en) * 2003-12-12 2005-07-07 Semequip, Inc. Controlling the flow of vapors sublimated from solids
TW200802564A (en) * 2006-04-26 2008-01-01 Advanced Tech Materials Cleaning of semiconductor processing systems
US20080129209A1 (en) * 2006-11-30 2008-06-05 Veeco Instruments, Inc. Adaptive controller for ion source

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5370568A (en) * 1993-03-12 1994-12-06 Harris Corporation Curing of a tungsten filament in an ion implanter
US5497006A (en) * 1994-11-15 1996-03-05 Eaton Corporation Ion generating source for use in an ion implanter
JP3836991B2 (en) * 1999-02-02 2006-10-25 三菱化学株式会社 Film forming method and magnetic recording medium manufacturing method
JP4374487B2 (en) * 2003-06-06 2009-12-02 株式会社Sen Ion source apparatus and cleaning optimization method thereof
US7819981B2 (en) * 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
TW200839829A (en) * 2007-03-21 2008-10-01 Advanced Micro Fab Equip Inc Capacitance-coupled plasma chamber, structure and manufacturing method of gas distribution head, refurbishment and reuse method thereof

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5943594A (en) * 1997-04-30 1999-08-24 International Business Machines Corporation Method for extended ion implanter source lifetime with control mechanism
WO2005060602A2 (en) * 2003-12-12 2005-07-07 Semequip, Inc. Controlling the flow of vapors sublimated from solids
CN1894763A (en) * 2003-12-12 2007-01-10 山米奎普公司 Method and apparatus for extracting ions from an ion source for use in ion implantation
TW200802564A (en) * 2006-04-26 2008-01-01 Advanced Tech Materials Cleaning of semiconductor processing systems
US20080129209A1 (en) * 2006-11-30 2008-06-05 Veeco Instruments, Inc. Adaptive controller for ion source

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI777291B (en) * 2020-07-29 2022-09-11 台灣積體電路製造股份有限公司 Method and system for detecting processing chamber condition, and computer-readable medium

Also Published As

Publication number Publication date
SG173621A1 (en) 2011-09-29
KR20110128848A (en) 2011-11-30
TW201030792A (en) 2010-08-16
CN104217981B (en) 2018-01-09
TW201438052A (en) 2014-10-01
CN102396048A (en) 2012-03-28
WO2010093380A1 (en) 2010-08-19
CN102396048B (en) 2014-08-27
TWI567775B (en) 2017-01-21
EP2396809A1 (en) 2011-12-21
TWI463516B (en) 2014-12-01
KR101658975B1 (en) 2016-09-23

Similar Documents

Publication Publication Date Title
CN102396048B (en) Ion source cleaning in semiconductor processing systems
JP5686423B2 (en) Ion source cleaning and ion implantation system in semiconductor process system
US8603252B2 (en) Cleaning of semiconductor processing systems
JP5934222B2 (en) Method for extending the lifetime of an ion source

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
ASS Succession or assignment of patent right

Owner name: ANGES INC.

Free format text: FORMER OWNER: ADVANCED TECHNOLOGY MATERIALS, INC.

Effective date: 20150601

C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20150601

Address after: Massachusetts, USA

Applicant after: MYKROLIS Corp.

Address before: No. 7 Connecticut Avenue Danbury trade

Applicant before: Advanced Technology Materials Inc.

CB02 Change of applicant information

Address after: Massachusetts, USA

Applicant after: Entergris Co.

Address before: Massachusetts, USA

Applicant before: MYKROLIS Corp.

COR Change of bibliographic data
GR01 Patent grant
GR01 Patent grant