CN102396048B - Ion source cleaning in semiconductor processing systems - Google Patents

Ion source cleaning in semiconductor processing systems Download PDF

Info

Publication number
CN102396048B
CN102396048B CN200980158194.5A CN200980158194A CN102396048B CN 102396048 B CN102396048 B CN 102396048B CN 200980158194 A CN200980158194 A CN 200980158194A CN 102396048 B CN102396048 B CN 102396048B
Authority
CN
China
Prior art keywords
cleaning
gas
negative electrode
filament
shen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200980158194.5A
Other languages
Chinese (zh)
Other versions
CN102396048A (en
Inventor
约瑟·D·史威尼
莎拉德·N·叶达夫
欧利格·拜
罗伯·金姆
大卫·艾德瑞吉
丰琳
史蒂芬·E·毕夏普
W·卡尔·欧兰德
唐瀛
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from PCT/US2009/033754 external-priority patent/WO2009102762A2/en
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Priority to CN201410367226.5A priority Critical patent/CN104217981B/en
Publication of CN102396048A publication Critical patent/CN102396048A/en
Application granted granted Critical
Publication of CN102396048B publication Critical patent/CN102396048B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J27/00Ion beam tubes
    • H01J27/02Ion sources; Ion guns
    • H01J27/08Ion sources; Ion guns using arc discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/08Ion sources; Ion guns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/16Vessels; Containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/18Vacuum locks ; Means for obtaining or maintaining the desired pressure within the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3171Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/0203Protection arrangements
    • H01J2237/0209Avoiding or diminishing effects of eddy currents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/08Ion sources
    • H01J2237/0815Methods of ionisation
    • H01J2237/082Electron beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/22Treatment of data

Landscapes

  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Combustion & Propulsion (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Cleaning of an ion implantation system or components thereof, utilizing temperature and/or a reactive cleaning reagent enabling growth/etching of the cathode in an indirectly heated cathode for an ion implantation system by monitoring the cathode bias power and taking corrective action depending upon compared values to etch or regrow the cathode.

Description

Ion source cleaning method in semi-conductor manufacturing system
Technical field
The present invention is about semiconductor processing system, particularly long-pending monitoring, control and the cleaning in the material Shen on the parts of implanted ions system.
Background technology
Implanted ions is used to the manufacture of integrated circuit so that accurately to introducing the impurity of controlled amount in semiconductor crystal wafer and being the critical processes in microelectronics/semiconductor production.In this type of implant system, an ion source makes a desirable doped chemical gas ionization become ion and this plasma to extract from source with the form of the ion beam with desired energy.Extraction system strides across the extractor electrode of suitable moulding and realizes by applying a high voltage, and these extractor electrodes have been merged into multiple holes in the passage of extraction bundle.Ion beam then on the surface of workpiece, for example, carries out orientation on semiconductor wafer, to implant doped chemical to this workpiece.The surface of this intrafascicular this workpiece of ion penetration forms the region with desirable conductance.
The ion source of several types is generally used in the implanted ions system of business, comprise: the negative electrode source of use thermoelectrode and the freeman (Freeman) of powering by an electric arc and Claude Bernard (Bernas) type, the microwave-type that uses a magnetron, indirect and RF electricity slurry source, all these plasma sources move conventionally in a vacuum.Ion source is by introducing electronics in the vacuum chamber of impurity gas (being commonly referred to as " unstrpped gas ") and produce ion to having filled.Foreign atom in electronics and gas and the collision of molecule have caused the generation by ionization electricity slurry positive and that negative doping ion forms.The extractor electrode with a negative or positive bias voltage using allow respectively this positive or negative ion as collimated ion beam surely by hole and from ion source out, this ion beam is accelerated towards workpiece.Unstrpped gas includes but not limited to BF 3, B 10h 14, B 18h 22, PH 3, AsH 3, PF 5, AsF 5, H 2se, N 2, Ar, GeF 4, SiF 4, O 2, H 2, and GeH 4.
At present, in the manufacture of the device of prior art, reach 10-15 implantation step.The complexity that increase wafer size, reduces critical dimension and growth circuit is proposing that just release and the mean free error time (MTBF) of better processing controls, the high beam electronic current of low energy reduce more requirement for ion implant tool.
The parts that need most the Ion Implantation Equipment instrument of maintenance comprise: ion source, and it must overhaul (depending on its service conditions) after the running time of about 100 to 300 hours; Extractor electrode and High-Voltage Insulation, they conventionally need cleaning after operation hundreds of hour; The fore line of implanted ions vacuum system and vacuum pump, comprise ion source turbine pump and associated fore line thereof.In addition, the various parts of ion source (such as filament, negative electrode and fellow thereof) may need to change after operation.
In ideal conditions, all raw molecules all can be ionized and extract, and decompose but in fact can there is a certain amount of raw material, and this has caused the Shen on ion source region amass and pollute.For example, the residue of phosphorus (for example getting such as the unstrpped gas of hydrogen phosphide by using) promptly amasss on the surface in this ion source region in Shen.This residue can form on the low-voltage insulator in ion source, causes electrical short, and this may interrupt producing the needed electric arc of hot electron.This phenomenon is commonly referred to " source flicker (glitching) ", and it is the key factor of ion beam instability, and may finally cause the too early damage in this source.Residue also for example,, upper formation of high-voltage part (insulator in this source or the surface of extractor electrode) of Ion Implantation Equipment, causes the high voltage sparking of high energy.Another factor that this type of spark is beaminstability, and the energy being discharged by these sparks can damage sensitive electronic unit, causes the equipment failure and the poor MTBF that increase.
For using Sb 2o 3as the implantation of the antimony (Sb+) of solid dopant material, can there is another common problem, this can worsen by even only flowing into boron (B) after Sb+ implants several hours.This boron beam electronic current can make this obvious impaired ionogenic performance and aobvious landing of life-span degenerate.The reason of this type of performance degradation is owing to the excessive long-pending Sb in Shen on the chamber in source and parts thereof.Because output is because preventive maintenance or beam electronic current still less have more frequently reduced, aobvious the landing of ionogenic fault reduced the productivity ratio of implanter.Because the implantation of Sb is widely used in similar bipolar device, and the n-type doping forming as the shallow junction for MOS (metal-oxide semiconductor (MOS)) device, therefore there are the needs of development one method this area, in the time that Sb+ is used as dopant, when particularly Sb converts B to after implanting, the method can remove the long-pending Sb in Shen from the chamber in source and parts thereof.
In addition, foreign atom (for example B, Ge, Si, P and As) can amass in the downstream of ion source turbine pump, its associated vacuum fore line in Shen, and is arranged in the roughing pump in fore line downstream.Through the passing of time, these depositions accumulate and need and clean, and are wherein manually to complete in the past.But some deposition (for example solid phosphorus) is pyrophoric and may in the operating process of manual maintenance, catches fire.This is not only fire hazard, but also may discharge poisonous compound.Therefore exist the needs of method of development one improvement in this area, the method can be with purge of gas agent ground these depositions of situ cleaning of achieving one's goal.
In another reason of ion source fault, various materials (for example tungsten, W) can be accumulated on negative electrode in long-term implanted ions process.Once these buildup of material reach a critical level, this cathode power no longer can keep being enough to meet the temperature of beam electronic current set point.This causes the loss of ion beam current, need to change ion source.The Ion source characteristics degeneration producing and the lost of life have reduced the productivity ratio of Ion Implantation Equipment system.
And the etching (or sputter) of another reason series cathode material of ion source fault.For example, such as, from the metal material (W, Mo etc.) of negative electrode by the ion beam sputtering deposition in electricity slurry in arc chamber.Because sputter is subject to the control of the heaviest ion in electricity slurry, so along with mass of ion increases, sputter effect may degenerate.In fact, the sputter of continuous material makes this negative electrode " attenuation ", finally causes forming a hole (" negative electrode break-through ") on negative electrode.Result is greatly to reduce in ionogenic performance and life-span.Therefore this area continues to seek to keep the method for the balance between accumulation and the corrosion of material on negative electrode to extend the ionogenic life-span.
Other residue may be produced by reacting between this ion source material and the parts of this implanted ions system, and this depends on intrasystem condition.This type of reaction can cause residue Shen to be amassed in the additional components of system.For example, tungsten must can be formed in arc chamber extraction aperture by crystalline substance, thereby causes restrainting non-uniformity problem.
Deposition is very common on ionogenic assembly, for example filament and repellel electrode.This type of inner deposition is made up of arc chamber material generally, and modal be when having that the height electricity slurry power supply of raw material in monofluoride source is combined with the arc chamber being made up of tungsten or molybdenum and while operating.Although using the ionogenic life expectancy of the implanted ions system of the material in non-halide source is generally about 100 hours to 300 hours, for example, and some halide material (GeF4) is due to the adverse effect of inner deposition in ion source operation, the ionogenic life-span can be low to moderate 10 hours to 50 hours.
Except the operating difficulties causing due to the residue in this Ion Implantation Equipment, owing to giving out poisonous or corrosion steam in order to clean while removing parts, also there is great personal security problem.The generation Anywhere that safety problem can exist at residue, but concerned be especially in ion source region because ion source is the parts that Ion Implantation Equipment is the most often safeguarded.In order to minimize downtime, aobvious contaminated ion source is removed from implanter under landing higher than the temperature of room temperature through being everlasting, this has increased distributing of steam and has deepened safety problem.
The existing method of processing above difficulty has comprised attempts stoping the formation of deposition and cleans the deposition that produces (on extractor electrode and ion source, on this extractor electrode, as discussed in the International Patent Application WO 2005/059942A2 of the U.S. Patent application 2006/0272776 of announcing, the U.S. Patent application 2006/0272775 of announcing and announcement).But, still need the additional procedure of all elements that cleans implanted ions system.
Therefore in implanted ions field, be desirable to provide a cleaning method of offing normal with an independent rinsing table, can clear up the contaminated parts that remove from this implanter safely thus and without any mechanical wear, this mechanical wear may be damaged the meticulous parts of for example graphite electrode.Therefore provide an off-line rinsing table also in implanted ions field, one showing progressive by being, it can be used for after parts remove from this implant system selectivity and non-destruction and clean them, and downtime is the shortest.
It is progressive that the cleaning method that one original position is provided will be also that one in implanted ions field showing, and the method is for effectively, optionally removing unnecessary this implanter (particularly this ion source region) long-pending residue in Shen that spreads all at implantation process.This situ cleaning can improve personal security and promote the operation of stable, continual implantation equipment.
Can carry out a situ cleaning process and not take this process chamber apart.For original position process, a gaseous reagent is flow through from this process chamber in case with continuous, pulse or mix continuously-pulse mode removes the film of accumulation.Depend on situation, an electric slurry can be in or be not in this cleaning process and produce.
Use chlorine trifluoride (ClF 3) and other material (for example, the CF in fluorine source 4, NF 3, C 2f 6, C 3f 8, SF 6and ClF 3) without electricity slurry or dry cleaning method can be used for removing solid residue from semiconductor process chamber, for example, by reacting with solid residue to form by vacuum or other the condition that removes removable volatile reaction product from this process chamber, and in such cases, these cleaning reagents may need the cleaning condition of high temperature.See Y.Saito etc., " Plasmaless Cleaning Process of Silicon Surface Using Chlorine Trifluoride ", APPLIED PHYSICS LETTERS, the 56th (8) volume, 1119-1121 page (1990); Also see D.E.Ibbotson etc., " Plasmaless Dry Etching of Silicon with Fluorine-Containing Compounds ", JOURNAL OF APPLIED PHYSICS, the 56th (10) volume, 2939-2942 page (1984).
U.S. Patent number 4,498,953 have described a situ cleaning method, wherein for example, by compound (BrF between a kind of halogen 5, BrF 3, ClF 3, or IF 5) flow through continuously this process chamber, maintain this indoor predetermined pressure simultaneously.In the time that this processing finishes, stop flowing of chemical compound gas between this halogen.These class methods can produce the accessory substance containing Cl, Br or I, together with fluorine-containing accessory substance, produce thus a large amount of needs processing or the dangerous waste material of other disposal.In addition, this type of continuous flow is cleaned and is carried out under low-down pressure condition, and under this pressure, cleaning efficiency has reduced in fact.
In some ion source application, carry out BF 3, PH 3, and/or AsH 3tactic sequence to realize longer ion source life.
Between fluorine-based or fluorine-containing halogen, compound is used for the relevant deficiency of restricted its commercial viability of application that cleans semiconductor processing equipment.Between fluorine-based or fluorine-containing halogen, compound (comprises ClF 3) there is the feature of high corrosion.In addition the intense stimulus thing of series of compounds to human airway between halogen.For example, for ClF 3the limit Human Tolerance level of facing of steam can be low to 100ppb, and LC50 is the rank of 1 hour under 300ppm.
This area is continued to seek new cleaning reagent and is offed normal and in-situ system and method, and relevant monitoring and control device and method.
Summary of the invention
The present invention is about device and method for monitoring, control and clean implanted ions system or its parts generally, is also about the composition for this kind of cleaning effectively.
The method that the invention provides the filament state of monitoring an implanted ions system in system operating process in an aspect, the method comprises: (a) in an ionogenic arc chamber, using is enough to produce an initial current of an electricity slurry in described arc chamber and powers to a filament; (b) measure the electric current input to this filament to keep the electricity slurry in this arc chamber in the scheduled time that electricity slurry generates continuously; (c) the electric current input measuring in this scheduled time is compared with this initial current, and (d) determine according to this simulation whether material amasss on this filament or the no etching that this filament has occurred in Shen, wherein, represent that at one of this scheduled time larger electric current the Shen of material on this filament is long-pending with respect to this initial current, and represent the etching of this filament with respect to this initial current at one of this scheduled time less electric current.
The invention provides the method for controlling the state of a filament of an implanted ions system in this system operating process in another aspect, comprise: (a) in an ionogenic arc chamber, using is enough to produce an initial current of an electricity slurry in described arc chamber and powers to a filament, (b) measure the electric current input to this filament to keep the electricity slurry in this arc chamber in the scheduled time that electricity slurry generates continuously, (c) the electric current input measuring in this scheduled time is compared with this initial current, (d) determine according to this simulation whether material amasss on this filament or the no etching that this filament has occurred in Shen, wherein, represent that at one of this scheduled time larger electric current the Shen of material on this filament is long-pending with respect to this initial current, and represent the etching of this filament at one of this scheduled time less electric current with respect to this initial current, and (e) determine in response to this, remove the long-pending material in Shen or the long-pending additional material in Shen on this filament from this filament, to a degree, re-establish this initial current input in this degree, an or electric current input in a preset range of described initial current input.In another execution mode of this aspect, step (a) to step (d) can be carried out during implanted ions process; Step (e) can be before implanted ions process, afterwards or between carry out.
In another aspect, the invention provides the method for controlling the state in negative electrode (IHC) source of one of implanted ions system indirect in system operating period, it comprises: (a) by the use power of supplying the negative electrode source of determining indirect at scheduled time measurement cathode bias power; (b) relatively this use power and initial power of this scheduled time; And (c) relatively take corrective action (i) in response to this or (ii) to control the state of negative electrode of this indirect, by this (i) if at this use power of this scheduled time higher than this initial power, the negative electrode of this indirect of etching; If or (ii) at this use power of this scheduled time lower than this initial power, the negative electrode of this indirect of regrowth.Initial power is included in the value of the cathode bias power of the time before the measurement of a scheduled time, and for example, it can be the power while startup, or power under normal operating condition, or any other preheating setting time point or be worth.As those who familiarize themselves with the technology will understand, cathode bias power measurement and initial gain value depend on that implantation process or other situation can be the form of a scope or multiple scopes.Should (c) etching (i) be included in and be enough to etched low temperature to the negative electrode that operates this indirect under the condition of moderate temperature.Low temperature in this regard to moderate temperature through being illustrated as from about room temperature up to approximately 2000 DEG C.Should (c) regrowth (ii) comprise a fluorinated gas is flowed on the negative electrode in this indirect under an electric pulpous state condition, wherein this fluorinated gas comprises with one of lower or many persons: XeF 2, XeF 4, XeF 6, GeF 4, SiF 4, BF 3, AsF 5, AsF 3, PF 5, PF 3, F 2, TaF 3, TaF 5, WF 6, WF 5, WF 4, NF 3, IF 5, IF 7, KrF 2, SF 6, C 2f 6, CF 4, ClF 3, N 2f 4, N 2f 2, N 3f, NFH 2, NH 2f, BrF 3, C 3f 8, C 4f 8, C 5f 8, CHF 3, CH 2f 2, CH 3f, COF 2, HF, C 2hF 5, C 2h 2f 4, C 2h 3f 3, C 2h 4f 2, C 2h 5f, C 3f 6and MoF 6.Should (c) regrowth (ii) be included in the negative electrode that operates this indirect under the situation that is enough to occur the long-pending high temperature in metal Shen.High temperature is in this regard greater than 2000 DEG C through being illustrated as.Aligning step (c) can be before implanted ions process, afterwards or between carry out.In addition, for regrowth, if be selected from the one in the fluorinated gas of Direct function description above through the material system implanting, can during implantation process, carry out aligning step.The method step that above or herein other place is discussed can be undertaken by suitable control device (such as microcontroller, controller, microprocessor etc.) and electric, the electronics being associated and/or electromechanical component, this equal controller through proper procedure and/or through configuration to carry out automatic reparation or the cleaning of ionogenic parts (such as filament, repellel electrode, negative electrode and counterelectrode).
In another aspect, the invention provides the method that an ionogenic arc chamber comprises the implanted ions system of a filament or negative electrode (or can through etching or have ionogenic other parts such as, but not limited to counterelectrode, repellel and fellow's thereof deposition) that operates in, keep this ionogenic operating efficiency, described method comprise by this filament or negative electrode or as the aforementioned ionogenic other parts contact with a tungsten reagent under the following conditions, these conditions are selected from the group being constructed as follows:
(a) realize tungsten long-pending condition in Shen on this filament; And
(b) condition of realization long-pending material in etching institute Shen from this filament.
In an execution mode in this regard, (for example) other ion source component of negative electrode, repellel (it corresponds respectively to negative electrode and filament) or its fellow can possess the surface temperature of suitable heating component with adjustment component, with etching optionally from its material or the long-pending material in Shen thereon.
In another embodiment, the negative electrode of indirect (IHC) ion source can comprise two negative electrodes (substituting negative electrode and counterelectrode).During implanting, a negative electrode can be used as anticathode and operates, and during reparation or trimming process, the temperature of two negative electrodes can be as required through controlling or etching material long-pending with Shen.
The present invention system is about be method about one or more parts of the implanted ions system of cleaning in another aspect, for removing at least in part the deposition relevant with ionization from described one or more parts, described method comprises a purgative gas is flow through to this system under the following conditions, and these conditions are selected from the group being constructed as follows:
(a) realize material this filament, negative electrode or as other aforementioned ion source component on the long-pending condition in Shen; And
(b) realize from this filament, negative electrode or the condition as the long-pending material in etching institute Shen other aforementioned ion source component.
Another aspect of the present invention system is about the method that the ionogenic filament in an arc chamber is kept to a predetermined resistance, the method comprise by this filament and the temperature that depends on filament with respect to the temperature of this arc chamber walls effectively on this filament the long-pending material in Shen or effectively from this filament a reagent of etching material contact, and control temperature in temperature and this arc chamber walls of this filament and carry out effectively on this filament the long-pending or etching material in Shen, to keep described predetermined resistance.Generally, if be low temperature during to moderate temperature (being less than the temperature of filament) in arc chamber walls, the temperature of filament is high (being for example greater than 2000 DEG C) enough, and the Shen that material on filament occurs is long-pending.If do not consider the temperature (although the temperature that the temperature of arc chamber walls is less than or greater than filament for preferably) of arc chamber walls, the temperature of filament be low temperature to moderate temperature (for example, be less than approximately 1500 DEG C to 2000 DEG C), occur from filament etching material.
In another aspect, the present invention system is about the implanted ions system of cleaning or its one or more parts to therefrom remove the method for the deposition relevant with ionization, and the method comprises this implanted ions system or its one or more parts BrF therein 3have under chemically reactive condition and described BrF with these depositions 3contact removes at least in part to realize them.
In another aspect, the present invention system about a fore line of the implanted ions system of cleaning to therefrom remove the method for a deposition relevant with ionization, the method comprise by the fore line of an implanted ions system and a purge gas therein described purge gas have under chemically reactive condition and contact to remove at least in part them with this deposition.The method can improve the performance of an implanted ions system and extend its life-span.
In another aspect, the present invention system is about the performance of improvement one implanted ions system and the method that extends its life-span, the method comprises this negative electrode is contacted with an admixture of gas that comprises the long-pending gas at least one purge gas and at least one Shen, wherein said admixture of gas balance the Shen of material on negative electrode long-pending and the long-pending material in this Shen or the corrosion of other material from this negative electrode.
Other aspect of the present invention, feature and execution mode will be more obvious from disclosure and appended claim subsequently.
Brief description of the drawings
One chart of source service life data before Fig. 1 ties up to and introduces situ cleaning process and afterwards, shows the life-time dilatation bringing due to this process.
Fig. 2 is that chart has shown XeF 2one chart of the impact of the leakage current on inhibitor, as described in detail in example 1.
Fig. 3 A and 3B system show the photo of the cleaning performance that proves situ cleaning, as described in detail in example 1.
Fig. 4 A and 4B show the cleaning performance of situ cleaning, as described in detail in example 5.
Fig. 5 A and the XeF of 5B system 2stream is through the filament weight (Fig. 5 A) of increase and the chart of heater current (Fig. 5 B) of an elapsed time section.
Fig. 6 is for using XeF 2stream is in this intrasystem tungsten transmission, and filament changes in weight is as a chart of a function of heater current.
Fig. 7 be shown cathode bias power variation as the chart of a function of time and gas type.
Fig. 8 has shown the chart of negative electrode W changes in weight as a function of substrate bias power.
Embodiment
The present invention is about the device for monitoring, control and clean semiconductor processing system and/or its parts and method, and is about the composition for this kind of cleaning.
In an aspect, the present invention is about remove deposition from the parts of this semiconductor processing system or semiconductor processing system, wherein this system or system unit is contacted with a cleaning combination that comprises a gas phase reactive material.
As used herein, term " gas phase reactive material " is intended to broadly be construed as denoting following material, comprise: one or more halide and/or misfit thing (with the form of gaseous state or steam), the ion of this one or more compound and/or misfit thing and electric slurry form, and from this one or more compound, one or more misfit thing and ion and derivative element and the ion of electric slurry form.As the gas phase reactive material being used in wide in range operation of the present invention can also differently refer to any combination of (but being not limited to) " gas phase reactive composition ", one " cleaning agent ", one " purge gas ", one " etching gas ", one " gaseous halide ", one " gaseous cleaning agent ", " reactive halide ", one " cleaning compound ", one " cleaning combination ", " cleaning steam ", one " etchant vapor " or this type of term.
As used herein, with regard to an Ion Implantation Equipment, " ion source region " includes, but are not limited to vacuum chamber, source arc chamber, source insulator, extractor electrode, inhibition electrode, High-Voltage Insulation, source sleeve pipe, filament, negative electrode and repellel electrode.As those who familiarize themselves with the technology will understand, term " ion source region " uses with its widest meaning, for example, Claude Bernard (Bernas) or freeman (Freemen) ion source assembly comprise a filament and repellel electrode, and IHC source assembly comprises negative electrode and anticathode.
The present invention has considered the cleaning of semiconductor processing system and parts thereof, together with other substrate and device, and the deposition impact that these substrates and device are subject to form on it in its normal process operation.This operation includes, but are not limited to the cleaning of vacuum fore line and roughing pump.In view of description herein, as those who familiarize themselves with the technology will understand, the selected mouth that purge gas may be flowed through in a plurality of mouthfuls is to walk around some district and/or the target given zone of implanter.For example, XeF 2or other purge gas can be through sending the mouth that needs the district of cleaning by approaching.Clenaing effect also can strengthen, as long as most of purge gass will be introduced into target area and do not exhausted (for example, as occurred) by reacting of carrying out with residue in the situation that () purge gas is only introduced by source housing along circulation path.Selected mouth can be pre-existing in or form/produce for this object.This technology can be used for cleaning ion source region, magnetic/analyzer region, vacuum system, process chamber of (but unrestricted) implanter etc.Cleaning can by by purge gas continuous flow by and/or go through predetermined time through implanter Suo Yao district or region and measure to realize.Alternatively, or combination with it, purge gas can be gone through predetermined time and measures to allow purge gas diffusion and react with unwanted residue and/or deposition in being closed in system.
The present invention provides an implanted ions system in different aspects, and this system has following ability: the filament by being suitably controlled at temperature in arc chamber and in the ion source of the arc chamber of growing/be etched in is to realize the growth of desirable filament or the etching of alternative filament.
Extra aspect of the present invention system about use reactive gas for example WFx, AsFx, PFx and TaFx (wherein x has the suitable value in a stoichiometry ground or the scope of value) in position or the cleaning arrangement of offing normal under electricity slurry or hot conditions, clean the region of Ion Implantation Equipment or the parts of Ion Implantation Equipment.
Of the present invention another is to be about BrF about aspect 3for in position or the cleaning arrangement of the offing normal purposes of cleaning implanted ions system or its one or more parts under the condition of ambient temperature, high temperature or electricity slurry.
The operation of one implanted ions system causes the Shen that produces the material relevant with ionization in this system or its parts to be amassed.The present invention has considered monitoring, control and/or has cleaned this implanted ions system and/or its one or more parts, to remove at least in part this type of deposition relevant with ionization from this system and/or its parts.This cleaning method is about this system and/or its parts are contacted to realize their at least part of removing under the condition that can make this gas phase reactive material react with this deposition with a cleaning combination that comprises a gas phase reactive material.
Except the deposition relevant with ionization being caused by unstrpped gas itself, also have been found that the deposition that forms or residue may be due to unstrpped gas and the reactivity of material that forms this system unit in an implanted ions system.For example, the vacuum chamber of an implanted ions system can form with stainless steel or aluminium.System unit in this vacuum chamber can use graphite (for example, standard or vitreous), insulating material (for example, boron nitride) and/or encapsulant (for example Teflon kel-FTM, PEEKTM, DelrinTM, VespelTM, VitonTM, Buna-N, silicon etc.) construct.Other material of chemical reaction susceptible that can exist in this implanted ions system and that deposition is wherein produced includes but not limited to pottery, epoxy composite, aluminium nitride, aluminium oxide, silicon dioxide and boron nitride containing lead oxide.
This ion source itself can be by tungsten, graphite, molybdenum or tantalum, sometimes have a small amount of copper and silver to form.This ion source arc is made up of or a graphite body tungsten or molybdenum conventionally, and this graphite body is lined with tungsten or molybdenum.In this case, a kind of feed material of fluoride source (for example BF 3, GeF 4, SiF 4, AsF 5, AsF 3, PF 5, and/or PF 3) under operating temperature, for example, react with the material of arc chamber tungsten or the molybdenum of the lining of arc chamber or this chamber (from), to form an intermediate by-products, this accessory substance and then can move and decompose with Shen long-pending tungsten or molybdenum in this system and discharge fluorine.
For example, such as GeF of a unstrpped gas 4the free fluoride that can dissociate in this source housing and produce can etching corrode the material in this arc chamber, for example tungsten.Will there is this kind of reaction in tungsten on a colder surface, so if at electricity slurry through clashing into and therefore this filament system heat, this fluoride can react with tungsten on these walls of arc chamber, these walls of etching also form WF 6gas.WF 6then can on this hot filament, amass tungsten in Shen, cause the growth of its size.
Work as GeF 4while producing a large amount of free fluorine, unstrpped gas is BF such as 3or SiF 4produce the free fluorine of less amount and correspondingly still less the tungsten Shen of degree is long-pending on filament, although it is few, but still very important.
Not fluorine-containing unstrpped gas (for example PH 3and AsH 3) be problematic, because may cause that the metal Shen on filament amasss on the wall of arc chamber, and result filament attenuates.
Therefore the present invention has considered to clean an implanted ions system or its one or more parts, for removing at least in part identical with the material of this arc chamber deposition relevant with ionization.
Can in an implanted ions system, carry out according to cleaning of the present invention, wherein plurality of raw materials gas is introduced in this system simultaneously.Unstrpped gas can also be used with one or more gas phase reactive material simultaneously, or can be input in this system with one or more gas phase reactive material ALT pulse.
The deposition relevant with ionization of cleaning method indication of the present invention comprises multiple material, and these materials can be for example by the normal running that forms and accumulate interfering ion implant system in the equipment of ion source or other ionization process.The long-pending material in institute Shen can differently comprise, by forming below or substantially by forming below: silicon, boron, phosphorus, germanium, arsenic, tungsten, molybdenum, selenium, antimony, indium, carbon, aluminium and/or tantalum.
The deposition relevant with ionization in ion source arc and on extractor electrode can form thin slice and form little particle.Once these particles form, can be with an ion beam transmission, be for example implanted to the bundle of the doping of one in wafer ion.If this type of transmission particle arrives this wafer, the particle contamination producing on this wafer may seriously reduce the productive rate of the useful device that can manufacture on this wafer.Cleaning method of the present invention was removed before this type of deposition relevant with ionization can form thin slice and particle, and realized thus the minimizing of particle on product wafer and the productive rate of raising semiconductor device.
Can comprise the effective any material of the deposition relevant with ionization to removing at least in part this implanted ions system according to the present invention for the gas phase reactive material or the purge gas that clean.
The present invention has also considered to use gas phase reactive material to remove the deposition relevant with ionization from undesirable position by suitably controlling reaction, and/or at the long-pending material in the Shen, position of hope.In special execution mode of the present invention, tungsten has formed the material through removing as undesirable deposition, and in other execution mode, and amass on the surface of benefiting from its existence in tungsten Shen, ground that is supposed to.One gas (for example XeF of the tungsten fluoride intermediate product therefore, forming reactively 2, GeF 4, SiF 4, BF 3, AsF 5, AsF 3, PF 5, and/or PF 3) can be used in control of the present invention and cleaning method.In addition, such as WF of multiple tungsten fluoride gas 6, WF 5, and/or WF 4can be directly used in control of the present invention and cleaning method.Thereby gas phase reactive material of the present invention includes, but are not limited to XeF 2, GeF 4, SiF 4, BF 3, AsF 5, AsF 3, PF 5, PF 3, F 2, TaF 3, TaF 5, WF 6, WF 5, and/or WF 4.
In different special execution modes, this gas phase reactive material can be used jointly with volatile one " the cleaning reinforcing agent " or " coreagent " that increase this gas phase reactive material, causes removing than the more deposition of gas phase reactive material of use nothing cleaning reinforcing agent or coreagent.For example, use XeF 2removing iridium deposition can be by Lewis's (Lewis) alkali and using of electronics feedback key class and strengthen jointly.In specific application, can use carbon monoxide, trifluoro phosphine, and trialkyl phosphine class.
As an other example, in an implanted ions system, wherein feed gas is ionized to continuous electricity slurry in an arc chamber with tungsten wall, on these walls, on one side a filament is installed and another side is provided with a repellel and they separate by ceramic insulator and these walls, the parts of this arc chamber may be fed the catabolite of gas, element and the carbon contamination of arc chamber.
In this case, be useful on a cleaning agent (for example XeF that removes the metal pollutant (for example tungsten) that forms volatile fluoride 2) can combine with an oxygenated additive, this oxygenated additive is by pollutant carbon is transformed into CO, CO 2, and/or COF 2and effectively removed.The oxygenated additive component that is useful on this object includes but not limited to NO, N in special execution mode of the present invention 2o, NO 2, CO 2and/or O 2.
Therefore the present invention has considered to comprise a cleaning agent that effectively removes a metal pollutant (forming the fluoride compound of a volatility (gaseous state) of this metal by reaction) and both cleaning combinations of a cleaning agent that effectively remove carbon contamination thing (by formed volatile oxide or an oxyfluoride by it).These cleaning reagents can side by side or sequentially flow in this arc chamber.
In one embodiment, these reagent flow in this arc chamber under the condition of ionization simultaneously, and these cleaning agents have all carried out ionization to the pollutant of metal and carbon is transformed into from this chamber by the volatile compound that its machinery suction is easily removed like this.
The condition that can make this gas phase reactive material react with deposition can comprise the conditions such as any suitable temperature, pressure, flow velocity, composition, under these conditions, this gas phase reactive material contacts with pollutant and chemically interacts and for example, removes this type of material to (be subject to the surface of the implanter equipment of the long-pending material contamination in institute Shen) from this substrate.
The example of operable different condition includes but not limited to ambient temperature, exceedes the temperature of ambient temperature, exists electricity slurry, there is no electricity slurry, lower than atmospheric pressure, atmospheric pressure and superatmospheric pressure.
The exact temperature that removes deposition for the contact of gas phase reactive material can be from the scope of about 0 DEG C to about 2000 DEG C at different execution modes.Contact can comprise this gas phase reactive material in a carrier gas or with a pure form or with the mixture of other cleaning agent, a dopant etc. in send.This gas phase reactive material can heat to carry out chemical reaction with deposition at ambient temperature, to improve kinetics.
Reacting between this gas phase reactive material and pollutant deposition can monitor and/or regulate by the response characteristic based on changing between cleaning agent and pollutant.This type of response characteristic can comprise the existence of pressure, time, temperature, concentration, a concrete material, the speed of pressure change, the speed that (a concrete kind) concentration changes, change of electric current etc.Therefore, stop to introducing the realization that this gas phase reactive material can be based on a predetermined response characteristic in system, for example the predetermined voltage in vacuum chamber, tided over one predetermined time an amount or predetermined temperature, existence, product in this system or other material or the realization of a predetermined current condition in this monitoring operates of concentration, a concrete accessory substance of a concrete element in this system.
Tungsten deposition can be caused with the reacting of arc chamber of an implanter system by feed gas.Can depend on the temperature gradient of this system and/or flow to and via the electric current of filament and/or any other characteristic of effectively determining and can monitor for the method for cleaning this type of deposition.
For example, can at one first temperature, react with this arc chamber from the fluorine of feed material, form WF by following reaction (1) or (2) 6:
3F 2(g)+W(s)→WF 6(g) (1)
6F(g)+W(s)→WF 6(g) (2)
Can also there is reacting between the tungsten material of purge gas and this arc chamber, for example:
3XeF 2+W→3Xe+WF 6 (3)
Alternatively, WF 6(or WF 5or WF 4) can directly offer this system.
Then the tungsten fluoride once forming in this system or otherwise exist can move to the another location of this system.Depend on the temperature of other position, this tungsten fluoride can be at the long-pending tungsten of this position etching or Shen.On this filament, temperature is by the actual current flux depending primarily on by it.Temperature in other position of this arc chamber can change, and this depends on the design, heater current of concrete position and arc chamber, together with other non-heater current.
If the second place ties up to high temperature, tungsten fluoride decomposes, and tungsten is amassed by Shen and fluorine is released, as long as tungsten fluoride exists, the size of tungsten deposition will be grown.The long-pending reaction in Shen can comprise following reaction (4), (5) and/or (6):
WF 6→W+3F 2 (4)
2WF 5→2W+5F 2 (5)
WF 4→W+2F 2 (6)
On the contrary, if the second place ties up to moderate temperature, tungsten fluoride can this position of etching, removes tungsten and in product, retains fluorine, and etched like this position dwindles along with etched carrying out.This etching reaction can comprise following reaction (7), (8) and/or (9):
WF 6(g)+2W(s)→3WF 2(g) (7)
2WF 6(g)+W(s)→3WF 4(g) (8)
5WF 6(g)+W(s)→6WF 5(g) (9)
Therefore,, for removing of tungsten deposition, can select with the temperature of the parts of deposition so that the speed removing and scope maximize.
In other execution mode of the present invention, in arc chamber, boron and/or molybdenum deposition remove in a corresponding mode.
Cleaning agent can be undertaken by the variation of monitoring pressure in contact process with contacting of processing equipment in the method for the invention, and in the time that pressure is changed to zero, contact stops.
Alternatively, this contact can be by this gas phase reactive material of monitoring or the reactant that obtains thus, or the dividing potential drop of the product producing in this contact and carrying out, and when this dividing potential drop reaches a predetermined value, that is, when a terminal, this contact stops.For example, this kind of endpoint monitoring can use a suitable endpoint monitoring device to carry out, for example, at U.S. Patent number 6,534,007 and Application No.: 10/273,036,10/784,606,10/784, the endpoint monitoring device of a type of describing more comprehensively in 750 and 10/758,825, or a thermoelectric pile infrared (TPIR) or other Infrared Detectors.
In another embodiment, this contact can be used the parts of this processing change system to be undertaken by check the flowing of gas phase reactive material, and these parts allow regulate the dividing potential drop of gas phase reactive material and therefore control reaction rate.
In another execution mode, carry out this cleaning operation by the Continuous Flow of the gas reaction material of a predetermined flow velocity.
As discussed about reaction (1)-(9) hereinbefore, the deposition of the tungsten relevant with ionization can carry out Shen and amasss and to moderate temperature, carry out etching at low temperature at very high temperature.In this regard, the deposition relevant with ionization means owing to electricity slurry but the deposition that may not form owing to the operation of ion.Therefore,, as long as still there is enough surfaces of heat, the Shen of tungsten is long-pending also can for example, be occurred in the situation that there is no electricity slurry (, not having ion).In Shen, long-pending or etched position is the filament of implanter system, and temperature and current flux system are directly related each other.When this filament is during through etching, filament can attenuate and the resistance of electric current can be increased along with the reducing of cross section of this filament, can reduce like this by the current flow of this filament.If the condition of this filament has promoted the Shen on it long-pending, can be along with Shen is constantly long-pending and reduce to the resistance of electric current, because the cross section of this filament increases and filament chap, the current flow of correspondingly wherein passing through has also had increase.
In another aspect, the present invention system about monitoring the Shen on the filament of source long-pending and due to the method for filament growth, be by the current flow of this filament about monitoring.Because long-pending due to Shen, the cross section of filament has increased, so can reduce and electric current can increase to keep the electricity of this filament in being supported in arc chamber to starch needed temperature the resistance of electric current.Therefore one of the electric current increase monitoring can be used for representing the needs to filament cleaning.
In an other aspect, the present invention system is about being monitored the etching of this filament or cleaned etching method by the current flow of filament by monitoring.Because due to etching, sputter or evaporation, filament cross section reduces, can increase and electric current can reduce to keep the electricity of this filament in being supported in arc chamber to starch needed temperature the resistance of electric current.Therefore one of this electric current monitor reduce can be used for representing additional material Shen to amass needs that are subject on etched filament, or stop cleaning or the needs of ionization process.
Another embodiment of the present invention comprises that the electric current based on crossing this filament as the above monitoring stream describing in detail controls the method for the state of this filament.
In one embodiment, an instruction that reduces to provide the approaching fracture of filament of the heater current of being monitored, as response, one gas phase reactive material flow in this system (for example, when in the time clashing into electricity slurry, or alternately, make electricity slurry close but filament remain heat (for example,~2000 DEG C)), produce the Shen long-pending reaction of metal on this filament to bring out, for example, from the tungsten of this arc chamber walls.This reaction can allow to proceed to until this electric current in a preset range of this implanted ions system of valid function, indicate this filament " regrowth " to a gratifying degree.
In another embodiment, the increase of the heater current of monitoring provides an instruction, that is: because the long-pending filament in Shen of material is grown.As response, allow after cooling one period of predetermined time of this filament, or to a predetermined temperature (it can be for example from room temperature in the scope up to approximately 2000 DEG C) afterwards, this gas phase reactive material flows into this system, like this, filament is cooled to is enough to allow this filament of etching.After this, can be allowed thereafter to proceed to until electric current in a preset range of this implanted ions system of valid function, indicates this filament to taper to a suitable degree as the etching reaction subsequently of media by this gas phase reactive material.
Therefore method of the present invention can be enough at least in part to remove from this substrate the time of deposition and removes a deposition from this substrate by a substrate is contacted with a gas phase reactive material, this deposition comprise boron, silicon, arsenic, phosphorus, germanium, tungsten, molybdenum, selenium, antimony, indium, tantalum and carbon one of at least.Gas phase reactive material for this object can comprise one or more following material: XeF 2, XeF 4, XeF 6, GeF 4, SiF 4, BF 3, AsF 5, AsF 3, PF 5, PF 3, F 2, TaF 3, TaF 5, WF 6, WF 5, WF 4, NF 3, IF 5, IF 7, KrF 2, SF 6, C 2f 6, CF 4, Cl 2, HCl, ClF 3, ClO 2, N 2f 4, N 2f 2, N 3f, NFH 2, NH 2f, HOBr, Br 2, BrF 3, C 3f 8, C 4f 8, C 5f 8, CHF 3, CH 2f 2, CH 3f, COF 2, HF, C 2hF 5, C 2h 2f 4, C 2h 3f 3, C 2h 4f 2, C 2h 5f, C 3f 6, COCl 2, CCl 4, CHCl 3, CH 2cl 2, and CH 3cl.
In the operation of the present invention, the xenon compound of fluoridizing can be used as cleaning agent and electric slurry source reagent, and can comprise the fluorine atom of any suitable number.With respect to lower F/Xe compound, the ratio that F is higher with one of Xe enables relatively to clean sooner and more effectively.Higher steam pressure has improved the delivery rate of cleaning agent and has enabled to send more material.
In one embodiment of the present invention, xenon hexafluoride is used as a cleaning agent or electric slurry source reagent.Although XeF 6steam pressure at room temperature than XeF 2steam pressure approximately high seven times, XeF 6, and XeF 4, be very easily to react with water.Xe F 6the most advantageously not being to use in about the existence of water, hydro carbons, hydrogen or reducing agent or the cleaning environment of generation.But, in the time that use has the cleaning compound of low vapor pressure more, may need to adjust circulation circuit to avoid in circulation path unsuitable pressure drop and keep the suitable high delivery rate of cleaning agent.
The device of implementing method of the present invention can form in any suitable manner and arrange, to provide gas phase reactive material to this cleaning.
In one embodiment, the invention provides an implanted ions and clean assembly, comprise: (i) implanted ions system, this system comprises one or more parts, in the process of the implanted ions processing of this system, on these parts, accumulation has the deposition relevant with ionization, (ii) one clean assembly, comprise the cleaning combination source containing a cleaning combination, this cleaning combination comprises a gas phase reactive material, for example a kind of halide compound, this halide compound and deposition are reactive and under cleaning condition, at least in part remove deposition from one or more parts to realize, this cleaning condition comprises contacting of this cleaning combination and deposition, (iii) circulation circuit, it is suitable for cleaning combination to be sent to from cleaning combination source one or more and is used for the parts that contact with it under cleaning condition, and (iv) flow component, the Cleaning Process composition that it is suitable for being controlled at cleaning state flows by this circulation circuit, from one or more parts, remove at least in part deposition to realize.
Flow component in above-mentioned assembly can be any suitable type, for example comprise valve, valve actuator, flow restricter, adjuster, pump, mass flow controller, pressure gauge, residual gas analyser, CPU, barrier film, etc.This type of flow component system adaptive to work under used concrete cleaning condition.
One or more parts (in the implanted ions processing procedure of these parts in this system, accumulation has the deposition relevant with ionization thereon) in implanter device can be any suitable types, for example, vacuum chamber, arc chamber, electrode, filament, bushing, electromagnetic waveguide, wafer-process parts, clamp ring, wheel, dish, etc.In one embodiment, these parts are a vacuum chamber or parts with which.
Cleaning combination source can comprise a material storage that contains this cleaning combination and distribute external member.This material storage and distribution external member comprise a container, and this container can be for example, to define the columniform container of being as general as of its inner volume.In a special execution mode, this cleaning combination can be that solid and this cleaning combination can be supported on the surf zone strengthening in this container under the condition of ambient temperature.The surf zone of this enhancing can comprise structure wherein, for example pallet, as at U.S. Patent number 6,921, described in 062, or porous inertia foams, for example be subject to anodized aluminium, stainless steel, nickel, bronze etc., consistent evaporation rate of this cleaning material to be provided and and then to provide and be enough to carry out the distribution of relevant cleaning process and the steam pressure of ionization steps.In the situation that utilizing pallet, in batch operation, cleaning combination can be subject to the support of some tray surfaces, and these pallets have relative flow channel pipe, upwards flow into its distribution openings for steam at this container.
Circulation circuit in above-mentioned equipment arrangement adapts to this cleaning combination is sent to arc chamber from this cleaning combination source under cleaning condition.This adaptation can be the different qualities based on cleaning combination.For example, in the time that this cleaning combination has a low steam pressure, can avoid the unnecessary pressure drop in circulation path with high conduction.Maximizing conductance and minimizing the method for compressing that circulates is well-known in this area.
In all cleaning methods of the present invention, thereby can optionally clean with other method and device the life-span that extends implanted ions system (particularly ion source).The method of this type of life-saving can comprise that change one implanted ions system is to adapt to concrete substrate, long-pending material and/or the gas phase reactive material in Shen.The change of system and device can include but not limited to provide the following: the extractor electrode with active thermal control system; Reduce the extractor electrode (actively heated extraction electrode) of the active heating of the frequency/generation of electric discharge; The extractor electrode that comprises the preferred aluminium of metal, molybdenum or aluminium oxide (Al2O3); Long-range electric slurry source; Extractor electrode is associated with heater; Extractor electrode is associated with cooling device; Smooth undistinguishable (featureless) extractor electrode; Electricity slurry chamber, these electricity slurry chambers receive many source gas through being arranged to, and these source gases can be decomposed to produce by a fluid stream of the outlet of this chamber and the reactant gas of conduit, to this reactive gas is delivered to ionization chamber by electricity slurry; Hygrosensor, these hygrosensors are through being designed to the substantial end of the gas of detection reaction and the exothermic reaction in the lip-deep pollution for the treatment of system; The protection (for example, providing the gear that resists this type of material to protect thing around to the parts of gas phase reactive material susceptible) of the parts of the infringement that is subject to gas phase reactive material in this processing equipment; And/or the use of the system unit that comprises aluminium or aluminium oxide.
The method that extends the life-span of processing equipment can include but not limited to: extractor electrode is carried out to initiatively heating to reduce frequency and the generation of electric discharge; Heating extractor electrode higher than being delivered on the condensing temperature of ionogenic source material; Control on one's own initiative the temperature (for example carrying out combined heated or cooling this electrode with a heating or cooling ion source) of the ionogenic extractor electrode that is suitable for used particular type; And/or in extraction process, keep this extractor electrode under high temperature.The change of this type of additional device and method U.S. Patent Application Publication No. 2006/0272776 and 2006/0272775 and International Patent Publication No. W WO 05/059942 in carried out more fully describing, it is incorporated to herein in full by reference.
In a special execution mode, this implanted ions system comprises an arc chamber and a dopant source, and wherein this dopant source can comprise for example BF 3, XeF 2, AsH 3, PH 3, GeF 4, SiF 4, H 2se, AsF 5, AsF 3, PF 5, PF 3or other boron, silicon, arsenic, phosphorus or germanic dopant source.
In another embodiment, the present invention system is about the method for an implanted ions, the method is included in the arc chamber of an implanted ions system by a dopant source γ-ray emission one electricity slurry, this dopant source gas flow is the dopant source ion to be formed for implanting by this arc chamber, wherein pass through in the process of at least a portion time in the process of this arc chamber in this dopant source gas flow, gas phase reactive material and dopant source gas flow through this arc chamber concurrently, to realize the cleaning in this implanted ions system.
Generally, although what dopant source gas and gas phase reactive material can walk abreast flows to realize situ cleaning, but preferably carry out cleaning operation in a mode in succession typically, for example, when this ion source produces one first electricity slurry from one first dopant source, and when this ion source produces one second electricity slurry from one second dopant source subsequently, use a cleaning step of getting involved, wherein gas phase reactive material flows through this ion source, is with or without electricity slurry and generates.
In one embodiment, the invention provides the method for the silicon substrate that forms a doping, the method comprises in Xe+ implanted ions one silicon substrate, and in this silicon substrate, implants dopant ion after this.In this process, implant the crystal structure of Xe+ ion for this substrate of amorphization (amorphize).
For example, at the xenon of the fluoridizing electricity slurry (XeF for cleaning 2electricity slurry) generation in, Xe+ ion can carry out the low energy sputter cleaning in some sources itself.After extraction, Xe+ ion can carry out ion source components downstream, some high energy sputters of for example vacuum wall, ion optics, wafer disks and wafer support.
Similarly, using tungsten fluoride kind, for example WF 6, WF 5, and/or WF 4situation under, free fluoride can ion source component sputter cleaning, different and/or tungsten can amass on ionogenic different parts in Shen.Depend on the temperature of all parts in system in the behavior of cleaning and Shen occurs between long-pending.
The present invention is method and the device about the ion source region of the cleaning one implanted ions system using in the manufacture of a microelectronic device in different aspect systems.This ion source region can comprise the negative electrode source of a for example indirect, a freeman (Freeman) source or a Claude Bernard (Bernas) source.
The present invention be in one embodiment about from Ion Implantation Equipment and wherein contained parts by this vacuum chamber and/or parts are contacted under time enough and enough conditions with a gas phase reactive halide compositions original position removal of residue so that removal of residue from this vacuum chamber and/or parts at least in part, and be about completing by such mode, , in the time that residue is different from the material that forms vacuum chamber and/or parts, this gas phase reactive material optionally reacts with residue and reacts and (for example substantially do not react with the formation vacuum chamber of Ion Implantation Equipment and/or the material minimally of parts, and preferably do not react completely), and in the time that residue is identical with the material that forms vacuum chamber and/or parts, gas phase reactive material can be to be all reactive with residue and vacuum chamber and/or parts.
As used herein, being applied to this gas phase reactive halide and reactive term " optionally " of a residue is for being described in preferential the reacting between this gas phase reactive halide and a residue.Although keep not reacting in fact with the formation vacuum chamber of Ion Implantation Equipment and/or the material of parts, if this vacuum chamber and/or parts comprise and the same or analogous element of those residues itself, gas phase reactive halide can react with the formation vacuum chamber of Ion Implantation Equipment and/or some material of parts.For example, in the time optionally reacting and removed with the tungsten deposition from parts, this gas phase reactive material may also react with the tungsten in parts itself.For the generation of this coreaction, residue and parts needn't be accurately identical materials, but can comprise some common materials.
In another embodiment, Ion Implantation Equipment parts clean in an independent special chamber (parts move into wherein from an Ion Implantation Equipment) with offing normal.
Consider in further detail situ cleaning, this kind of cleaning depends primarily on following three factors: clean reactive character of precursor, the volatility of cleaning reaction accessory substance, and the reaction condition using in chemical cleaning.This cleaning combination must remove the wearing and tearing of the material of unnecessary residue simultaneous minimization formation Ion Implantation Equipment.The accessory substance producing by cleaning reaction must be enough volatile to facilitate removing of they by vacuum system or other the aspirator of Ion Implantation Equipment.
The cleaning of the residue forming for the material identical with one or more parts of Ion Implantation Equipment can cause some wearing and tearing of parts itself.Exactly, use XeF 2utilize and the system of tungsten arc chamber, remove tungsten deposition and can cause removing from some tungsten of the inside of arc chamber from one as a cleaning agent.But for system effectiveness is maximized, the loss of some internal material of angle (if this system is not cleaned and allow tungsten deposition to be accumulated in this system) arc chamber reducing from systematic function is not very critical.
This gas phase reactive material can comprise for example a kind of xenon compound steam of fluoridizing, for example XeF 2steam.XeF 2be a preferred reactive halide gas, and at room temperature can distil, but can use a heater to heat to increase rate of sublimation.Known XeF 2be an effective silicon etchant and microelectromechanical systems (MEMS) device process in be used as a silicon selective etch agent.Exactly, XeF 2react below with silicon basis.
2XeF 2(g)+Si(s)→2Xe(g)+SiF 4(g)(10)
This silicon/XeF 2reaction can activate and occur, that is, and not electricity consumption slurry or thermic heating.XeF 2with the reaction rate of Si far above XeF 2with SiO 2reaction rate, make XeF 2optionally react with Si.
XeF 2or other xenon compound of fluoridizing as the etchant for metal boron usefully for operation of the present invention.Although be not wishing to be bound by theory, think that boron is etched according to following reaction (11):
3XeF 2(g)+2B(s)→3Xe(g)+2BF 3(g)(11)
The present invention considers to use XeF2 as the etchant for arsenic, phosphorus and germanium, and can be about following reaction:
5XeF 2(g)+2As(s)→5Xe(g)+2AsF 5(g)(12)
5XeF 2(g)+2P(s)→5Xe(g)+2PF 5(g) (13)
2XeF 2(g)+Ge(s)→2Xe(g)+GeF 4(g) (14)
This type of reaction can be used or do not use high energy activation and carry out.
In the time that residual material is different from those materials, method of the present invention and device are used for the parts removal of residue from Ion Implantation Equipment at least in part, for example, remove at least 25%, more preferably at least 50% and most preferably at least 75% this kind of residue, and complete by such mode: with regard to forming the material of Ion Implantation Equipment parts, such as aluminium, tungsten, molybdenum, graphite, insulating material, sealant material etc., optionally remove residue.
In the time that residue and the material of component parts are identical material, wish the residue removing of similarity degree, keep material removing in low degree from parts, for example, in the scope of micron or tens of microns, to affect indistinctively the performance of parts simultaneously.In addition, because generally not having uniform thickness or Shen, amasss deposition, they can have more reactivity than the material of parts itself in cleaning process, and this gas phase reactive material compositions is than reacting with this residue with reacting more optionally of parts part like this.
Can adopt several forms gas phase reactive material compositions to be delivered to the ion source region that may carry out situ cleaning, comprise an immobilising mode, a continuous mode and a mode of directly introducing.This type of cleaning way is more fully described in international publication WO 07/127865, together with the device and the methodology that effectively use in the operation of the present invention.The disclosure content of international publication WO 07/127865 is combined in this as a whole by quoting.Although described use XeF in conjunction with different execution modes of the present invention at this 2as a cleaning combination, but it should be understood that and can use other compound of fluoridizing, for example WF 6, WF 5, and/or WF 4, substitute or in conjunction with XeF 2, maybe can use other and the extra compound of fluoridizing.For example can use BrF 3carry out etch tungsten and do not need electricity slurry.In another aspect, the present invention system is about the performance of an implanted ions system of improvement use solid dopant material and the method that extends its life-span, and the method comprises use XeF 2or N 2f 4as a carrier gas of described solid dopant material.This solid dopant material includes, but are not limited to element arsenic, phosphorus, selenium, antimony, SbF 3, InCl, SeO 2, Sb 2o 3and InCl 3.As considered in the present invention, use XeF 2or N 2f 4as Sb 2o 3, InCl 3or a carrier gas of other solid dopant material removed this chamber, source with and parts on long-pending Sb, In and other dopant in institute Shen.Even if switching to boron after Sb implants, this instantaneous method also there is effectiveness.At least double by the advantage of this method gained: first, it provides real-time source to clean to prevent or reduced dopant and has been accumulated on this source housing and parts thereof, has therefore improved Ion source characteristics and has extended the ionogenic life-span simultaneously; The second, it strengthens and/or has stablized electric slurry and/or beam electronic current.
In another aspect, the present invention system is about the performance of an implanted ions system of improvement use gaseous state dopant material and the method that extends its life-span, and the method comprises uses XeF 2or N 2f 4as with the body of gas in the lump of described gas doping material.This gaseous state dopant material includes, but are not limited to GeH 4and BF 3.As considered in the present invention, use XeF 2or N 2f 4as with GeH 4or the body of gas in the lump of other gaseous state dopant material removed Ji Yuan chamber, Shen with and parts on Ge or other dopant.The advantage obtaining by this operation of the present invention is at least double: first, it provides real-time source to clean to stop or reduced dopant and has been accumulated on this source housing and parts thereof, has therefore improved Ion source characteristics and has extended ion source life; The second, it strengthens and/or has stablized electric slurry and/or beam electronic current.
In another aspect, the present invention system about a fore line of the implanted ions system of cleaning to remove the method for relevant with ionization deposition herein, comprise the fore line of an implanted ions system is contacted under following reaction condition with a purge gas, wherein said purge gas and this deposition have chemical reactivity and wherein remove at least in part to realize.Deposition includes, but are not limited to comprise B, Ge, Si, P and As, or those materials of their mixture.This purge gas includes but not limited to XeF 2, N 2f 4, F 2and be reactive material that other is fluoridized with a deposition of aforementioned formation.As have the knack of this operator and understand, the amount of needed purge gas depends on the amount of the deposition of existence.The hot amount discharging in the process of reacting with deposition at purge gas similarly, depends on the flow velocity of purge gas.The identification of the accessory substance kind producing from cleaning process and concentration depend on that the flow velocity of purge gas, the associativity of deposition form and pump purging flow velocity.Only for the object of non-limitative illustration, below to using XeF 2an example that cleans phosphorus from a fore line describes:
Be used for determining at the needed XeF of cleaning process 2the chemical reaction system of amount: 5XeF 2(g)+2P (s) → 5Xe (g)+2PF 5(g).Forming enthalpy (with kJ/mol) takes from Lange ' s Handbook of Chemistry (14th ed) and lists the heat being discharged in course of reaction for determining: XeF at this 2(164); Xe (0); P (0); And PF 5(1594.4).XeF 2flow velocity determined the required time of cleaning process length together with discharged heat.Heating XeF is not provided 2the means of gas cylinder, maximum lasting flow velocity is about 50sccm, supposes and has enough pipeline conductivities of sending.If by using a heating jacket to keep this gas cylinder at room temperature, flow velocity can be increased to 100sccm or larger.Clean the required XeF of phosphorus deposition 2amount shown in table 1, and the hot amount discharging in this cleaning reaction process is shown in table 2.
Table 1
The quality (g) of phosphorus deposition Required XeF 2Amount (g)
10 137
100 1367
1000 13,666
Table 2
XeF 2Flow velocity (sccm) Produce hot speed (watt)
50 17.6
100 35
200 70
Produce speed shown in table 3 from the maximum of the different accessory substances in above-mentioned cleaning reaction.
As have the knack of this operator and understand, because the composition of residue may be different, be therefore based on following hypothesis in the data shown in table 3: the composition that it is that element that the amount system of accessory substance is defined as for every kind of element supposition 100% forms.In addition, the Cmax of these materials depends on the dilution flow rate in gas extraction system.For example,, if roughing pump has the nitrogen purge of a 10slpm, just at the downstream of this pump, PF 3maximum stable state concentration be 3330ppm.If XeF 2flow velocity be greater than 50sccm, this value can increase.
In an execution mode of said method, this purge gas flows into this implant source chamber, and turbine pump cuts out and roughing pump is opened.This operation has strengthened the flow velocity through the purge gas of the deposition top of fore line, and therefore a cleaning process is faster provided.The speed of cleaned gas stream can be further by making therein this purge gas be stored in room temperature or this more than room temperature gas cylinder heats.Preferably in this operation, the delivery line from gas cylinder to Ion Implantation Equipment is heated similarly.
In another execution mode of said method, this purge gas flows in this implant source chamber in a stream of pulses mode, wherein this implant source chamber, this pump and fore line is charged to a certain pressure and is then extracted into lower pressure.Repeat this process until remove the deposition on the fore line of implanted ions system.This operation is preferably used the isolating valve in the import of this roughing pump.
In a preferred operation, above-mentioned execution mode further comprises making therein this purge gas be stored in room temperature or this more than room temperature gas cylinder heats.
For all execution modes, the method is preferably further included in a Drechsel system in the outlet of this roughing pump to remove produced volatile byproducts from cleaning process.
Each execution mode preferably further comprises as from Air Products and Chemicals, Inc. (the U.S., Pennsylvania) a commercially available Xe recovery system, and be described in http://www.fabtech.org/product_briefings/_a/new_product_air_pro ducts_offers_on_site_xenon_recovery., in, it is incorporated herein.
Another execution mode that cleans the method for a fore line of an implanted ions system is included in the fore line that a turbine pump downstream provides this purge gas and described purge gas flow through continuously to this implanted ions system.The continuous flow of described purge gas can directly enter the region between pressure vessel, pressure vessel and source turbine pump, or the downstream of source turbine pump.The deposition (even in the time that this implantation process carries out) on fore line is preferably cleaned in this operation, reduces thus the interruption of implanted ions operation.
In the above-described embodiment, this purgative gas is preferably stored in a gas cylinder; The method preferably further comprises making therein this purge gas be stored in room temperature or this more than room temperature gas cylinder heats.
Above-mentioned execution mode is preferably further included in this low vacuum delivery side of pump and provides a Drechsel system to remove produced volatile byproducts from this cleaning process.
Above-mentioned execution mode further comprises to be provided just like from Air Products and Chemicals, Inc. (the U.S., continent, Pennsylvania) commercially available Xe recovery system, and be described in http://www.fabtech.org/product_briefings/_a/new_product_air_pro ducts_offers_on_site_xenon_recovery., in, it is incorporated herein.
In another aspect, the present invention system has the performance of an implanted ions system of a negative electrode and the method that extends its life-span about improvement, the method comprises this negative electrode is contacted with the admixture of gas being made up of the long-pending gas at least one purge gas and at least one Shen, wherein said admixture of gas balance this material of the Shen Jiyu of material on this negative electrode or other material peeling off from this negative electrode.The purge gas of admixture of gas has removed Shen and has amassed dopant material on this negative electrode and the material of negative electrode, and the long-pending gas in the Shen of admixture of gas causes that dopant material Shen amasss on this negative electrode directly or indirectly.This admixture of gas has kept the balance between peeling off of the accumulation of dopant material on this negative electrode and it or other material, and has therefore extended the ionogenic life-span.To understand not only dopant material can amass or etching through Shen, and the material of arc chamber walls (for example, W or Mo) can amass or etching through Shen.Purge gas directly (via sputter or chemical etching) or indirectly (via the chemical deaeration of tungsten fluoride/molybdenum fluoride) prevent that Shen is long-pending or reduce rate of deposition.The long-pending gas in Shen is via halogen cycle (W or Mo from the fluorine etching of gas from cooling wall, and then W or Mo are decomposed on very hot negative electrode), or by negative electrode practically Shen amass dopant molecule/atom (for example,, from BF 3b) and cause Shen on negative electrode long-pending, and a similar mechanism is applied to the ionogenic filament of Claude Bernard.For the long-pending situation in dopant Shen in other sensitive compressible members of insulator or arc chamber, purge gas tends to the dopant deposition of chemical etching through forming, or first purge gas can amass to stop or to minimize Shen with the long-pending gas reaction in Shen before dopant Shen is long-pending.Can how to stop Shen long-pending with example explanation purge gas in the first situation: gas GeH is amassed in Shen 4can make Ge deposition be formed on negative electrode, insulator or other parts.If purge gas is XeF 2, its can with GeH 4reaction is to form the GeF that has more volatile at least one tittle than Ge 2and/or GeF 4, and therefore can remove from source region via suction.In addition, any one or both of the long-pending gas in Shen and purge gas can be also dopant gas.The storage of this admixture of gas in ion source implanter and distribute can be by completing with the following: an absorption-desorption device (being called as SDS-safe delivery source), it is described in U.S. Patent number 5, in 518,528, and its content is incorporated to herein by quoting; One fluid storage and the distribution system (being called as the vacuum actuated gas cylinder of VAC) comprising for keeping the container of a fluid under a desirable pressure is described in U.S. Patent number 6,101, and in 816, and its content is incorporated to herein by quoting; Or fluid-mixing storage and the distribution system (being called as VAC-Sorb) of SDS and a VAC, it is described in U.S. Patent number 6,089, in 027 and its content be incorporated to herein by quoting.These fluid storage and distribution system provide gas lower than sending under atmospheric pressure, and more safer and more effective than high-pressure fluid storage and distribution system thus.In addition, some gases in this admixture of gas can be stored together and distribute in SDS, VAC or VAC-Sorb system, and the coexistence system of these gases in high-pressure fluid storage and distribution system is incompatible.
In an execution mode of above method, the multiple gases of admixture of gas side by side flows to contact this negative electrode or be subject to long-pending other sensitive compressible members affecting in Shen.
In another execution mode of above method, the multiple gases of admixture of gas sequentially flows to contact this negative electrode or be subject to long-pending other sensitive compressible members affecting in Shen.
In another execution mode of above method, the combination that admixture of gas comprises at least one hydrogen-containing gas and at least one fluoro-gas, wherein this hydrogen-containing gas as purge gas and this fluoro-gas as the long-pending gas in Shen.
In another execution mode of above method, the combination that this admixture of gas comprises at least one non-impurity gas (not containing the gas of As, P, Ge, B, Si or C) and at least one impurity gas, wherein this non-impurity gas as purge gas and this impurity gas as the long-pending gas in Shen.
The example of purge gas is but is not limited to Xe/H 2, Ar/H 2, Ne/H 2, Xe/NH 3, Ar/NH 3, Ne/NH 3, Ar/Xe and Ar/Xe/H 2.
(but being not limited to): the F of example system of the long-pending gas in Shen 2, N 2f 4, ClF 3, WF 6, MoF 6, GeF 4and NF 3.
(but being not limited to): the AsH of example system of admixture of gas 3/ AsF 3, AsH 3/ AsF 5, PH 3/ PF 3, PH 3/ PF 5, SiH 4/ SiF 4, H 2/ Xe/SiF 4, GeH 4/ GeF 4, H 2/ Xe/GeF 4, H 2/ GeF 4, B 2h 6/ BF 3, H 2/ BF 3, F 2/ BF 3, CO 2/ F 2, CO 2/ CF 4, CO/F 2, CO/CF 4, COF 2/ F 2, COF 2/ CH 4, COF 2/ H 2.
Feature of the present invention and advantage more fully illustrate by following nonrestrictive example.
Example 1
This example shows improvement on ion source life and the utilization of implanter, and this can realize by removing deposition with a chemical.Preferably, remove deposition with regular interval so that the pollutant thin slice in prevention implanter and the accumulation of conductive membranes.
Situ cleaning system is by the XeF that is certainly arranged in the gas cabinet of Ion Implantation Equipment with regular interval 2supply container introduce XeF 2carry out, wherein XeF 2clean steam to introduce in this ion source each 10-15 minute of twice of every day.Test to assess the flowing dynamics of this cleaning reagent with a high electric current implanter.Determine XeF 2cleaning characteristics and confirmed that this cleaning agent does not have adverse influence to the beam current tube parts of implanter.So, use XeF 2the cleaning process of reagent is for using and be associated lattice in the moderate electric current implanter device.
Fig. 1 system is by this class moderate electric current implanter in position before the enforcement of cleaning process and a chart of the ion source life data that collected afterwards.These data systems develop for a doped compositions that comprises arsenic hydride and hydrogen phosphide.Before cleaning, be subject to the restriction of two kinds of common fault modes, this ion source has the average operation life-span of about 250 ± 90 hours.
Main fault mode system is from the excessive leakage of an inhibitor voltage source.In order successfully to extract a stabilizing ion bundle, inhibitor voltage is applied on an electrode that is positioned this arc chamber outside.This electrode carries out electricity isolation by multiple little insulators, and the accumulation of a conductive membranes on one or more in these insulators may cause that excessive inhibitor reveals.
One second pattern system of fault is attributable to the short circuit of parts in the arc chamber of thin slice of the long-pending material in institute Shen.
Find, these fault modes can minimize by the Process of Chemical Cleaning of original position.Regularly twice cleaning every day increased the life-span in source in production.
XeF 2the impact of the Leakage Current on inhibitor further illustrates in Fig. 2, and Fig. 2 system is for a chart of moderate electric current instrument Leakage Current before introducing situ cleaning operation and afterwards.Each data point is illustrated in the average inhibitor electric current in the process of the time that need to implant a wafer batch, and these points are along with several ionogenic life-spans mark and draw.The size of revealing depends on the elapsed time starting from the replacing of the insulator of last preventive maintenance.These data illustrate that regular situ cleaning greatly reduces Leakage Current, and it never reaches the upper control limit of 1.5mA like this, need a source without scheduling to safeguard at this point.
Also use and comprise BF 3and PH 3one implant doping and mix and assessed the effect of situ cleaning.This source under these conditions, operated 497 hours and under an electric arc restrictive condition (being about the tungsten on filament or boron deposition) there is fault, this is attributable to BF 3chemical property.Operation system is favourable about single source life-span and the history average long-term in same systems of 497 hours in pilot system compared with 299 hours.This is a single data point, but it is applicable to the model of setting up.In this case, the improvement of source service life looks it is owing to using XeF in this source arc chamber 2etching tungsten deposition.
The photo of Fig. 3 A and 3B provides the other evidence of cleaning agent effect.In two photos, after showing and approximately producing 98 days in each case, remove the outward appearance of ion pressure vessel after the ion source assembly of periodically preventive maintenance.For the photo in Fig. 3 A, carry out situ cleaning every day twice, and for the photo in Fig. 3 B, do not clean.
In the time not cleaning, there is the long-pending material in Shen of real mass, wherein some has started leafing and slabbing.In periodic maintenance activity, with manually cleaning and remove the long-pending material in Shen from the inner surface of shell.Look cleaner with this shell of situ cleaning, without spending the less time or not taking time and carry out Manual-cleaning.Deposition is by unreacted XeF 2flow out this arc chamber and pass on the wall of vacuum chamber and remove, and dopant and other deposition remove by chemical reaction.
Among this ion source and around deposition produce so-called " implanter memory effect ".In the time becoming another kind of from a dopant source gas and changing, after flowing into termination, this first impurity gas for a long time, continues extraction from this ion source electricity slurry from the ion of this first dopant element.This effect is causing in some cases the severe contamination of desirable ion beam current and is causing the deterioration of implantation process.
One example of this implanter memory effect ties up to a BF 2p in implantation pollutes.This pollution is so serious to the consequence system of process yields, so that numerous semiconductor production facilities is all being avoided the implantation scheduling of phosphorus and boron on same tool.Substantive obstacle when this ties up to scheduling implant procedure.P/BF 2pollution results from and uses PH 3the source of implantation in phosphorus deposition.When for BF 2 +implantation is replaced with BF 3when gas, some fluorine reactions have formed 31p 19f +. 31p 19f +quality be 50.This be enough to very much approach for 11b 19f 2desirable 49 quality, like this PF +with BF 2 +ion is implanted altogether.Result system, BF 2 +implantation has been subject to having in extra fine quality-energy range the restriction of some high current system of MIN quality analytic ability.
Use from PH 3the P+ ion beam of impurity gas uses a high electric current implanter to operate about 200 hours to XeF in simulation is produced 2cleaning is assessed, to determine its impact on this implanter memory effect.This system switches to BF 3the BF2+ of gas and use one high dose (5 × 1015ions/cm2) directly implants an exposed silicon monitor wafer.At BF 2 +in the process of implanting, the parsing boring ratio of the analysis magnet of system opens to guarantee that polluting effect is enough large to using the routine of secondary ion mass spectroscopy (SIMS) analysis to measure conventionally largelyr.
BF 3, argon and XeF 2cleaning performance system by these 3 kinds of gases of operation each and then by using BF 2 +the amount that implantation monitor wafer is monitored residual contamination thing periodically compares.With BF 2the amount of the P implanting altogether measures by SIMS.In a typical SIMS spectrum of the phosphorus of implanting shown in Fig. 4 A, wherein the peak in phosphorus spectrum is corresponding to the PF from this ion source extraction +the implantation depth of ion, and this dosage is corresponding at BF 2in an about pollution level of 3%PF.
Fig. 4 B system is used BF 3or XeF 2pollution level is as the figure of a function of scavenging period, and wherein this figure is from PH 3be transformed into BF 3normalization immediately afterwards (normalize) is to pollution level.As operation BF 3even PF is polluted also almost without impact after 2 hours when electricity slurry.In the time using argon electricity slurry, obtain similar result (not shown).By comparing, PF pollutes and is using XeF 2situ cleaning has only reduced twice after 15 minutes, and is using XeF 2situ cleaning reduced almost 5 times after 30 minutes.
Use before situ cleaning, this medium current implanter unit monthly every instrument is that average 3.3 sources are changed, and wherein average source Renewal process and conformity test subsequently need about 5 hours, is equivalent to the annual production time of every instrument lose nearly 200 hours.Source service life has doubled effectively by situ cleaning, has produced the extra production time of approximately 100 hours for each medium current instrument.The saving that test wafer produces, together with the saving (carrying out every year up to 40 conformity tests for each medium current implanter) of the required metrology tool of the reprocessing of production time and qualified wafer, has proved the validity of situ cleaning.
Example 2
This examples prove the control of filament growth in the ion source of an illustrative Ion Implantation Equipment system.
Fig. 5 A system shows XeF with regard to the heater current of increase and weight 2one chart of the effect that stream and arc power change.The figure shows the figure of filament weight (using gram) as the function of the operation elapsed time of implanter system (in hour).Line higher in this figure represents the XeF with 2.2 standard cubic centimeters per minute (sccm) 2the arc power operation of flow and 100 volts/0.05 ampere to this, has been determined the filament weight increases of one 319 milli Grams Per Hours after operation in 3 hours.In this figure, lower line has reflected the XeF of 0.5sccm 2flow and 40 volts/0.05 ampere arc power, this filament weight that has produced 63 milli Grams Per Hours in the lasting time of implementation of 3 hours increases.
Fig. 5 B shows XeF with regard to heater current 2one chart of the effect that flow and arc power change.The figure shows the figure of heater current (using ampere meter) as the function of the time of implementation of implanter system.Line higher in this figure represents the XeF with 2.2 standard cubic centimeters per minute (sccm) 2the arc power operation of flow and 100 volts/0.05 ampere, to this, increases at the heater current of having determined 16 amperes/hour.In figure, lower line has reflected the XeF of 0.5sccm 2the arc power of flow and 40 volts/0.05 ampere, this heater current that has produced 2.3 amperes/hour in the lasting time of implementation of 3 hours increases.
Fig. 6 is the chart of filament changes in weight (per hour using milligram) as a function of average heater current (with ampere meter).This figure uses for the heated filament utmost point condition of low discharge and high flow capacity and for the data under the electricity slurry condition of low discharge and high flow capacity and shows the effect that heat flow (without electricity slurry) and electric slurry condition transmit for tungsten.These data illustrate, the transmission of tungsten in system can be by selecting suitable treatment conditions optionally adjust to realize the long-pending or alternative etching in the Shen of material on filament.
Example 3
This example demonstrates the improvement in ion source life and implanter utilization that can realize by the supply of monitoring cathode bias power.
Fig. 7 be shown cathode bias power variation as the chart of a function of time and gas type.Exactly, work as GeF 4while flowing, halogen cycle is amassed on negative electrode W Shen, and it causes that substrate bias power increases (to keeping setting ion beam current).Work as PH 3while flowing, phosphonium ion sputtering cathode, causes the decline of cathode bias power.In this example, PH 3with GeF 4ratio system make substrate bias power finally about 76 hours after, arrive its maximum output.Monitor in this way this substrate bias power, and take suitable action can improve ion source life.
Fig. 8 has shown the chart of negative electrode W changes in weight as a function of substrate bias power.Exactly, use XeF 2as source gas, tungsten (W) can by simple change cathode bias power and from negative electrode etched or Shen amass in negative electrode.High substrate bias power is increased to the temperature of negative electrode a degree that is conducive to the long-pending reaction in W Shen, and low substrate bias power is reduced to temperature to moderate bias power the condition that is conducive to W etching reaction.Depend on the state of negative electrode, can select substrate bias power with from the unwanted deposition of cathodic etching, be maybe back on negative electrode long-pending the W Shen of needs, and therefore can improve ion source life.
Although invention has been described with reference to different special execution modes, be understood that the present invention is not thereby restricted, and extend to and contain different other change and the execution mode understood as haveing the knack of this operator.Therefore, the present invention is intended to broadly explain and annotate according to appended claim.

Claims (5)

1. a method of controlling the state in the negative electrode source of an indirect in an implanted ions system, is characterized in that, the method comprises:
A) by the use power of determining the negative electrode source of this indirect at scheduled time measurement cathode bias power;
B) relatively this use power and initial power of this scheduled time; And
C) relatively take corrective action (i) in response to this or (ii) to control this state of negative electrode of this indirect, by this
(i) if this use power of this scheduled time higher than this initial power, the negative electrode of this indirect of etching; Or
(ii) if this use power of this scheduled time lower than this initial power, the negative electrode of this indirect of regrowth.
2. the method for claim 1, is characterized in that, wherein this c) etching of (i) be included in the negative electrode that operates this indirect under the condition that is enough to etched room temperature to 2000 DEG C.
3. the method for claim 1, is characterized in that, wherein this c) regrowth of (ii) comprise make a fluorinated gas under an electric slurry condition on the negative electrode in this indirect flow.
4. method as claimed in claim 3, is characterized in that, wherein this fluorinated gas comprises with one of lower or many persons: XeF 2, XeF 4, XeF 6, GeF 4, SiF 4, BF 3, AsF 5, AsF 3, PF 5, PF 3, F 2, TaF 3, TaF 5, WF 6, WF 5, WF 4, NF 3, IF 5, IF 7, KrF 2, SF 6, C 2f 6, CF 4, ClF 3, N 2f 4, N 2f 2, N 3f, NFH 2, NH 2f, BrF 3, C 3f 8, C 4f 8, C 5f 8, CHF 3, CH 2f 2, CH 3f, COF 2, HF, C 2hF 5, C 2h 2f 4, C 2h 3f 3, C 2h 4f 2, C 2h 5f, C 3f 6and MoF 6.
5. the method for claim 1, is characterized in that, wherein this c) regrowth of (ii) be included in the negative electrode that operates this indirect under the condition that is greater than 2000 DEG C that is enough to occur metal deposition.
CN200980158194.5A 2009-02-11 2009-08-12 Ion source cleaning in semiconductor processing systems Active CN102396048B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201410367226.5A CN104217981B (en) 2009-02-11 2009-08-12 Ion source cleaning method in semi-conductor manufacturing system

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
PCT/US2009/033754 WO2009102762A2 (en) 2008-02-11 2009-02-11 Ion source cleaning in semiconductor processing systems
USPCT/US2009/033754 2009-02-11
PCT/US2009/053520 WO2010093380A1 (en) 2009-02-11 2009-08-12 Ion source cleaning in semiconductor processing systems

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201410367226.5A Division CN104217981B (en) 2009-02-11 2009-08-12 Ion source cleaning method in semi-conductor manufacturing system

Publications (2)

Publication Number Publication Date
CN102396048A CN102396048A (en) 2012-03-28
CN102396048B true CN102396048B (en) 2014-08-27

Family

ID=42562402

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201410367226.5A Active CN104217981B (en) 2009-02-11 2009-08-12 Ion source cleaning method in semi-conductor manufacturing system
CN200980158194.5A Active CN102396048B (en) 2009-02-11 2009-08-12 Ion source cleaning in semiconductor processing systems

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201410367226.5A Active CN104217981B (en) 2009-02-11 2009-08-12 Ion source cleaning method in semi-conductor manufacturing system

Country Status (6)

Country Link
EP (1) EP2396809A1 (en)
KR (1) KR101658975B1 (en)
CN (2) CN104217981B (en)
SG (1) SG173621A1 (en)
TW (2) TWI463516B (en)
WO (1) WO2010093380A1 (en)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180104171A (en) * 2010-09-15 2018-09-19 프랙스에어 테크놀로지, 인코포레이티드 Method for extending lifetime of an ion source
US9064795B2 (en) * 2012-03-30 2015-06-23 Varian Semiconductor Equipment Associates, Inc. Technique for processing a substrate
US9396902B2 (en) * 2012-05-22 2016-07-19 Varian Semiconductor Equipment Associates, Inc. Gallium ION source and materials therefore
JP6201496B2 (en) * 2013-08-02 2017-09-27 セントラル硝子株式会社 IF7-derived iodine fluoride compound recovery method and recovery device
US10170286B2 (en) * 2016-09-30 2019-01-01 Axcelis Technologies, Inc. In-situ cleaning using hydrogen peroxide as co-gas to primary dopant or purge gas for minimizing carbon deposits in an ion source
JP6529000B2 (en) * 2017-09-27 2019-06-12 日新イオン機器株式会社 Ion source, operating method of ion source
US10700207B2 (en) 2017-11-30 2020-06-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device integrating backside power grid and related integrated circuit and fabrication method
SG11202010757QA (en) * 2018-05-17 2020-11-27 Entegris Inc Germanium tetraflouride and hydrogen mixtures for an ion implantation system
US10784079B2 (en) * 2018-09-26 2020-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Ion implantation system and source bushing thereof
US11791141B2 (en) 2020-07-29 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for residual gas analysis
KR102563298B1 (en) 2021-01-18 2023-08-03 주식회사 유진테크 Method for removing impurities in thin film and substrate processing apparatus
US11664183B2 (en) 2021-05-05 2023-05-30 Applied Materials, Inc. Extended cathode and repeller life by active management of halogen cycle
US11784031B2 (en) 2021-06-01 2023-10-10 Inficon, Inc. Method of detecting radicals using mass spectrometry

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5943594A (en) * 1997-04-30 1999-08-24 International Business Machines Corporation Method for extended ion implanter source lifetime with control mechanism

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5370568A (en) * 1993-03-12 1994-12-06 Harris Corporation Curing of a tungsten filament in an ion implanter
US5497006A (en) * 1994-11-15 1996-03-05 Eaton Corporation Ion generating source for use in an ion implanter
JP3836991B2 (en) * 1999-02-02 2006-10-25 三菱化学株式会社 Film forming method and magnetic recording medium manufacturing method
JP4374487B2 (en) * 2003-06-06 2009-12-02 株式会社Sen Ion source apparatus and cleaning optimization method thereof
JP4643588B2 (en) * 2003-12-12 2011-03-02 セメクイップ, インコーポレイテッド Control of vapor flow sublimated from solids
US7819981B2 (en) * 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
TWI473149B (en) * 2006-04-26 2015-02-11 Advanced Tech Materials Cleaning of semiconductor processing systems
US7853364B2 (en) * 2006-11-30 2010-12-14 Veeco Instruments, Inc. Adaptive controller for ion source
TW200839829A (en) * 2007-03-21 2008-10-01 Advanced Micro Fab Equip Inc Capacitance-coupled plasma chamber, structure and manufacturing method of gas distribution head, refurbishment and reuse method thereof

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5943594A (en) * 1997-04-30 1999-08-24 International Business Machines Corporation Method for extended ion implanter source lifetime with control mechanism

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JP特开2000-222724A 2000.08.11

Also Published As

Publication number Publication date
TWI567775B (en) 2017-01-21
KR101658975B1 (en) 2016-09-23
CN102396048A (en) 2012-03-28
SG173621A1 (en) 2011-09-29
TW201030792A (en) 2010-08-16
EP2396809A1 (en) 2011-12-21
WO2010093380A1 (en) 2010-08-19
CN104217981A (en) 2014-12-17
KR20110128848A (en) 2011-11-30
CN104217981B (en) 2018-01-09
TW201438052A (en) 2014-10-01
TWI463516B (en) 2014-12-01

Similar Documents

Publication Publication Date Title
CN102396048B (en) Ion source cleaning in semiconductor processing systems
TWI619153B (en) Ion source cleaning in semiconductor processing systems
US8603252B2 (en) Cleaning of semiconductor processing systems
TWI595526B (en) Method for extending lifetime of an ion source

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: ANGES INC.

Free format text: FORMER OWNER: ADVANCED TECHNOLOGY MATERIALS, INC.

Effective date: 20150508

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20150508

Address after: Massachusetts, USA

Patentee after: MYKROLIS Corp.

Address before: American Connecticut

Patentee before: Advanced Technology Materials Inc.

C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: Massachusetts, USA

Patentee after: Entergris Co.

Address before: Massachusetts, USA

Patentee before: MYKROLIS Corp.