CN103676491B - Method for reducing roughness of photoresist in electron beam lithography - Google Patents

Method for reducing roughness of photoresist in electron beam lithography Download PDF

Info

Publication number
CN103676491B
CN103676491B CN201210353546.6A CN201210353546A CN103676491B CN 103676491 B CN103676491 B CN 103676491B CN 201210353546 A CN201210353546 A CN 201210353546A CN 103676491 B CN103676491 B CN 103676491B
Authority
CN
China
Prior art keywords
hard mask
layer
mask layer
etching
electron beam
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201210353546.6A
Other languages
Chinese (zh)
Other versions
CN103676491A (en
Inventor
孟令款
贺晓彬
李春龙
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ruili Flat Core Microelectronics Guangzhou Co Ltd
Original Assignee
Institute of Microelectronics of CAS
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Institute of Microelectronics of CAS filed Critical Institute of Microelectronics of CAS
Priority to CN201210353546.6A priority Critical patent/CN103676491B/en
Publication of CN103676491A publication Critical patent/CN103676491A/en
Application granted granted Critical
Publication of CN103676491B publication Critical patent/CN103676491B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Drying Of Semiconductors (AREA)

Abstract

本发明公开了一种降低电子束光刻时光刻胶粗糙度的方法,包括:在衬底上形成结构材料层和第一硬掩模层;在第一硬掩模层上形成第二硬掩模层;在第二硬掩模层上形成电子束光刻胶图形;以电子束光刻胶图形为掩模,刻蚀第二硬掩模层形成第二硬掩模图形;以第二硬掩模图形为掩模,刻蚀第一硬掩模层形成第一硬掩模图形;以第一和第二硬掩模图形为掩模,刻蚀结构材料层,形成所需要的线条。依照本发明的方法,采用材质不同的多层硬掩模层并且多次刻蚀,防止了电子束光刻胶侧壁粗糙度传递到下层的结构材料层,有效降低了线条的粗糙度,提高了工艺的稳定性,降低了器件性能的波动变化。

The invention discloses a method for reducing the roughness of resist in electron beam lithography, comprising: forming a structure material layer and a first hard mask layer on a substrate; forming a second hard mask layer on the first hard mask layer mold layer; forming an electron beam photoresist pattern on the second hard mask layer; using the electron beam photoresist pattern as a mask, etching the second hard mask layer to form a second hard mask pattern; The mask pattern is a mask, and the first hard mask layer is etched to form the first hard mask pattern; the first and second hard mask patterns are used as masks to etch the structural material layer to form required lines. According to the method of the present invention, multi-layer hard mask layers with different materials are used and etched multiple times, which prevents the transfer of the side wall roughness of the electron beam photoresist to the underlying structural material layer, effectively reduces the roughness of the lines, and improves The stability of the process is improved, and the fluctuation of device performance is reduced.

Description

降低电子束光刻时光刻胶粗糙度的方法Method for Reducing Resist Roughness in Electron Beam Lithography

技术领域technical field

本发明涉及半导体集成电路制造领域,更具体地,涉及一种降低电子束光刻时光刻胶粗糙度的方法。The invention relates to the field of semiconductor integrated circuit manufacturing, in particular to a method for reducing the roughness of resist during electron beam lithography.

背景技术Background technique

随着超大规模集成电路特征尺寸逐渐缩小,在半导体器件的制造方法中,进入22nm技术代后,普通的光学曝光的技术极限也已经到来。目前,45nm工艺节点之后,普遍采用i193nm浸入式光刻技术结合双曝光双刻蚀技术以制备更小的线条。22nm以下节点的精细图形通常需要采用电子束或EUV进行曝光和光刻。With the gradual reduction of VLSI feature size, the technical limit of ordinary optical exposure has come after entering the 22nm technology generation in the manufacturing method of semiconductor devices. At present, after the 45nm process node, i193nm immersion lithography technology combined with double exposure and double etching technology is generally used to prepare smaller lines. Fine patterning at nodes below 22nm typically requires exposure and lithography using e-beam or EUV.

关于EUV光刻技术,目前还处于研发阶段,尚有若干关键技术需要攻克及改进,还无法应用于大规模集成电路制造当中。相比之下,电子束曝光技术经过多年的发展,比较成熟,并且电子束曝光具有很高的精度,分辨率可以达到几个纳米,写出超精细图形的线条来,但效率较低,因而扫描精度和扫描效率的矛盾成为电子束光刻的主要矛盾。Regarding EUV lithography technology, it is still in the research and development stage, and there are still several key technologies that need to be overcome and improved, and it cannot be applied to large-scale integrated circuit manufacturing. In contrast, after years of development, the electron beam exposure technology is relatively mature, and the electron beam exposure has high precision, the resolution can reach several nanometers, and the lines of ultra-fine graphics can be written, but the efficiency is low, so The contradiction between scanning accuracy and scanning efficiency has become the main contradiction in electron beam lithography.

另一方面,进入32nm节点工艺之后,线条粗糙度成为必须考虑的关键问题,具体包括线条边缘粗糙度(LER)与线条宽度粗糙度(LWR)。对于EUV或者电子束技术而言,都会遇到线条粗糙度的问题。特别地,当采用电子束曝光技术,对光刻胶(抗蚀剂)的要求更高,往往在线条分辨率与光刻胶厚度之间存在矛盾。越薄的光刻胶越能曝光出越小的线条,然而,这样薄的光刻胶由于刻蚀工艺不够高的选择性,往往在刻蚀过程中会早早损失掉,进而无法制得所需的线条,并且存在严重的线条粗糙度问题。On the other hand, after entering the 32nm node process, line roughness has become a key issue that must be considered, including line edge roughness (LER) and line width roughness (LWR). For EUV or electron beam technology, the problem of line roughness is encountered. In particular, when the electron beam exposure technology is used, the requirements on the photoresist (resist) are higher, and there is often a contradiction between the line resolution and the thickness of the photoresist. The thinner the photoresist, the smaller the lines can be exposed. However, such a thin photoresist is often lost early in the etching process due to the insufficient selectivity of the etching process, and thus cannot produce the desired , and have severe line roughness issues.

发明内容Contents of the invention

有鉴于此,本发明的目的在于独立于光刻胶之外,结合一种新的硬掩模技术,使得线条粗糙度大大降低,使得工艺更加稳定,阀值电压的变化也得以降低。In view of this, the purpose of the present invention is to be independent of photoresist, combined with a new hard mask technology, so that the roughness of the line is greatly reduced, the process is more stable, and the variation of the threshold voltage is also reduced.

实现本发明的上述目的,是通过提供一种降低电子束光刻时光刻胶粗糙度的方法,包括:在衬底上形成结构材料层和第一硬掩模层;在第一硬掩模层上形成第二硬掩模层;在第二硬掩模层上形成电子束光刻胶图形;以电子束光刻胶图形为掩模,刻蚀第二硬掩模层形成第二硬掩模图形;以第二硬掩模图形为掩模,刻蚀第一硬掩模层形成第一硬掩模图形;以第一和第二硬掩模图形为掩模,刻蚀结构材料层,形成所需要的线条。Achieving the above-mentioned purpose of the present invention is by providing a method for reducing the roughness of the resist during electron beam lithography, comprising: forming a structural material layer and a first hard mask layer on a substrate; Form a second hard mask layer on the second hard mask layer; form an electron beam photoresist pattern on the second hard mask layer; use the electron beam photoresist pattern as a mask, etch the second hard mask layer to form a second hard mask pattern; using the second hard mask pattern as a mask, etching the first hard mask layer to form a first hard mask pattern; using the first and second hard mask patterns as masks, etching the structural material layer to form required lines.

其中,第一硬掩模层包括氧化硅、氮化硅、氮氧化硅及其组合。Wherein, the first hard mask layer includes silicon oxide, silicon nitride, silicon oxynitride and combinations thereof.

其中,第一硬掩模层为氧化硅与氮化硅的叠层结构。Wherein, the first hard mask layer is a laminated structure of silicon oxide and silicon nitride.

其中,第二硬掩模层包括多晶硅、非晶硅、微晶硅、非晶碳、非晶锗、SiC、SiGe、类金刚石无定形碳及其组合。Wherein, the second hard mask layer includes polysilicon, amorphous silicon, microcrystalline silicon, amorphous carbon, amorphous germanium, SiC, SiGe, diamond-like amorphous carbon and combinations thereof.

其中,第一硬掩模层和/或第二硬掩模层和/或结构材料层的刻蚀采用等离子体干法刻蚀技术。Wherein, the etching of the first hard mask layer and/or the second hard mask layer and/or the structural material layer adopts plasma dry etching technology.

其中,等离子体干法刻蚀采用CCP或ICP或TCP设备。Wherein, plasma dry etching adopts CCP, ICP or TCP equipment.

其中,刻蚀之后还包括干法去胶和/或湿法腐蚀清洗。Wherein, after etching, dry stripping and/or wet etching cleaning are also included.

其中,湿法腐蚀清洗采用SPM+APM。Among them, wet corrosion cleaning adopts SPM+APM.

其中,结构材料层为假栅电极层、金属栅电极层、局部互连层中的一种。Wherein, the structural material layer is one of a dummy gate electrode layer, a metal gate electrode layer, and a local interconnection layer.

其中,第一和/或第二硬掩模层采用LPCVD、PECVD、HDPCVD、MBE、ALD方法制备。Wherein, the first and/or second hard mask layer is prepared by LPCVD, PECVD, HDPCVD, MBE, ALD method.

依照本发明的方法,采用材质不同的多层硬掩模层并且多次刻蚀,防止了电子束光刻胶侧壁粗糙度传递到下层的结构材料层,有效降低了线条的粗糙度,提高了工艺的稳定性,降低了器件性能的波动变化。According to the method of the present invention, multi-layer hard mask layers with different materials are used and etched multiple times, which prevents the transfer of the side wall roughness of the electron beam photoresist to the underlying structural material layer, effectively reduces the roughness of the lines, and improves The stability of the process is improved, and the fluctuation of device performance is reduced.

附图说明Description of drawings

以下参照附图来详细说明本发明的技术方案,其中:Describe technical scheme of the present invention in detail below with reference to accompanying drawing, wherein:

图1为电子束光刻版图的示意图;1 is a schematic diagram of an electron beam lithography layout;

图2至图5为依照本发明的降低电子束光刻时光刻胶粗糙度的方法各步骤的剖面示意图;以及2 to 5 are schematic cross-sectional views of various steps of the method for reducing the roughness of the resist during electron beam lithography according to the present invention; and

图6为依照本发明的降低电子束光刻时光刻胶粗糙度的方法的流程图。FIG. 6 is a flowchart of a method for reducing the roughness of a resist during electron beam lithography according to the present invention.

具体实施方式detailed description

以下参照附图并结合示意性的实施例来详细说明本发明技术方案的特征及其技术效果。需要指出的是,类似的附图标记表示类似的结构,本申请中所用的术语“第一”、“第二”、“上”、“下”、“厚”、“薄”等等可用于修饰各种器件结构。这些修饰除非特别说明并非暗示所修饰器件结构的空间、次序或层级关系。The features and technical effects of the technical solution of the present invention will be described in detail below with reference to the accompanying drawings and in combination with exemplary embodiments. It should be pointed out that similar reference numerals represent similar structures, and the terms "first", "second", "upper", "lower", "thick", "thin" and the like used in this application can be used for Modify various device structures. These modifications do not imply a spatial, sequential or hierarchical relationship of the modified device structures unless specifically stated.

参考附图1,为采用电子束技术制备的小线宽图形的俯视示意图。其中,图示中的多个平行的矩形线条表示需要采用电子束曝光的图形,可以制备图形尺寸小于22nm以下节点的线条。线条例如是假栅极层、金属栅极层、局部互连层等各种结构材料层的线条。以下图2至图5将以栅极制造为例进行说明。Referring to accompanying drawing 1, it is a schematic top view of a pattern with a small line width prepared by electron beam technology. Wherein, a plurality of parallel rectangular lines in the illustration represent patterns that need to be exposed by electron beams, and lines with pattern sizes smaller than nodes below 22nm can be prepared. The lines are, for example, lines of various structural material layers such as a dummy gate layer, a metal gate layer, and a local interconnection layer. The following FIGS. 2 to 5 will be described by taking gate manufacturing as an example.

参考图2,提供衬底1,在衬底1上依次形成结构材料层2/3、以及第一硬掩膜层4A,并在第一硬掩模层4A上形成第二硬掩模层4B。衬底1依照器件用途需要而合理选择,可包括单晶体硅(Si)、SOI、单晶体锗(Ge)、GeOI、应变硅(Strained Si)、锗硅(SiGe),或是化合物半导体材料,例如氮化镓(GaN)、砷化镓(GaAs)、磷化铟(InP)、锑化铟(InSb),以及碳基半导体例如石墨烯、SiC、碳纳管等等。出于与CMOS工艺兼容的考虑,衬底1优选地为体Si或者SSOI。以制作栅极线条为例,结构材料层包括栅极绝缘层2以及栅极导电层3。在衬底1上通过LPCVD、PECVD、HDPCVD、RTO、化学氧化、MBE、ALD等方法沉积形成栅极绝缘层2,其材质可以是氧化硅、氮氧化硅、高k材料,其中高k材料包括但不限于铪基氧化物(例如HfO2、HfSiON、HfLaON)、金属氧化物(主要为副族和镧系金属元素氧化物,例如Al2O3、Ta2O5、TiO2、ZnO、ZrO2、CeO2、Y2O3、La2O3)、钙钛矿相氧化物(例如PbZrxTi1-xO3(PZT)、BaxSr1-xTiO3(BST))。在栅极绝缘层2上通过PECVD、HDPCVD、MOCVD、MBE、ALD、蒸发、溅射等沉积方法形成栅极导电层3。在前栅工艺中,栅极导电层3为掺杂多晶硅、金属及其氮化物,其中所述金属包括Al、Cu、Ti、Ta、W、Mo及其组合。在后栅工艺中,栅极导电层3可以是假栅极,包括多晶硅、非晶硅、微晶硅、非晶碳、非晶锗等及其组合。在栅极导电层3上通过LPCVD、PECVD、HDPCVD等方法沉积第一硬掩模层4A,其可以是单层也可以是多层的层叠结构,其材质可以包括氧化硅、氮化硅、氮氧化硅及其组合。在本发明一个实施例中,硬掩模层4A是ONO的多层结构,也即包括氧化硅的底层、氮化硅的中层以及氧化硅的顶层(图中并未显示该ONO的分层结构)。通过LPCVD、PECVD、HDPCVD、MBE、ALD、蒸发、溅射等常规工艺,在绝缘材料的第一硬掩模层4A上沉积材质不同的第二硬掩模层4B。层4B的材料例如是多晶硅、非晶硅、微晶硅、非晶碳、非晶锗、SiC、SiGe、类金刚石无定形碳(DLC)等及其组合。可选地,层4B与作为假栅极的栅极导电层3的材质相同,例如均为非晶硅。Referring to FIG. 2 , a substrate 1 is provided, and a structural material layer 2/3 and a first hard mask layer 4A are sequentially formed on the substrate 1, and a second hard mask layer 4B is formed on the first hard mask layer 4A. . The substrate 1 is reasonably selected according to the application requirements of the device, and may include single crystal silicon (Si), SOI, single crystal germanium (Ge), GeOI, strained silicon (Strained Si), silicon germanium (SiGe), or compound semiconductor materials, such as nitrogen Gallium nitride (GaN), gallium arsenide (GaAs), indium phosphide (InP), indium antimonide (InSb), and carbon-based semiconductors such as graphene, SiC, carbon nanotubes, etc. In consideration of compatibility with the CMOS process, the substrate 1 is preferably bulk Si or SSOI. Taking the fabrication of gate lines as an example, the structural material layer includes a gate insulating layer 2 and a gate conductive layer 3 . The gate insulating layer 2 is deposited on the substrate 1 by methods such as LPCVD, PECVD, HDPCVD, RTO, chemical oxidation, MBE, ALD, etc., and its material can be silicon oxide, silicon oxynitride, and high-k materials, wherein the high-k materials include But not limited to hafnium-based oxides (such as HfO2, HfSiON, HfLaON), metal oxides (mainly subgroup and lanthanide metal element oxides, such as Al 2 O 3 , Ta 2 O 5 , TiO 2 , ZnO, ZrO 2 , CeO 2 , Y 2 O 3 , La 2 O 3 ), perovskite phase oxides (eg PbZr x Ti 1-x O 3 (PZT), Ba x Sr 1-x TiO 3 (BST)). A gate conductive layer 3 is formed on the gate insulating layer 2 by deposition methods such as PECVD, HDPCVD, MOCVD, MBE, ALD, evaporation, and sputtering. In the gate-front process, the gate conductive layer 3 is doped polysilicon, metals and nitrides thereof, wherein the metals include Al, Cu, Ti, Ta, W, Mo and combinations thereof. In the gate-last process, the gate conductive layer 3 may be a dummy gate, including polysilicon, amorphous silicon, microcrystalline silicon, amorphous carbon, amorphous germanium, etc. and combinations thereof. The first hard mask layer 4A is deposited on the gate conductive layer 3 by methods such as LPCVD, PECVD, HDPCVD, etc., which can be a single layer or a multi-layer stacked structure, and its material can include silicon oxide, silicon nitride, nitrogen Silicon oxide and combinations thereof. In one embodiment of the present invention, the hard mask layer 4A is a multilayer structure of ONO, that is, including a bottom layer of silicon oxide, a middle layer of silicon nitride, and a top layer of silicon oxide (the layered structure of the ONO is not shown in the figure ). A second hard mask layer 4B of different materials is deposited on the first hard mask layer 4A of insulating material by conventional processes such as LPCVD, PECVD, HDPCVD, MBE, ALD, evaporation, and sputtering. The material of layer 4B is, for example, polysilicon, amorphous silicon, microcrystalline silicon, amorphous carbon, amorphous germanium, SiC, SiGe, diamond-like amorphous carbon (DLC), etc. and combinations thereof. Optionally, the layer 4B is made of the same material as the gate conductive layer 3 serving as a dummy gate, for example, both are amorphous silicon.

参照图3,在硬掩膜4A/4B上涂覆光刻胶5,为适应于电子束直写技术的光刻胶,例如PMMA、环氧618、COP等等。采用电子束直写技术进行曝光,在异丙酮等显影液中显影得出例如在22nm节点或以下的超精细图形,也即图中所示的光刻胶图形5P。在此过程中,由于电子束直写技术以及光刻胶自身的特性(例如邻近效应等),图形5P的侧面可能不够准直,线条边缘粗糙度(LER)和线条宽度粗糙度(LWR)较大,因此需要硬掩模层4A特别是层4B来修饰调整。Referring to FIG. 3 , a photoresist 5 is coated on the hard mask 4A/4B, which is a photoresist suitable for electron beam direct writing technology, such as PMMA, epoxy 618, COP and so on. The electron beam direct writing technology is used for exposure, and the ultra-fine pattern at or below the 22nm node is obtained by developing in a developing solution such as isopropanone, that is, the photoresist pattern 5P shown in the figure. In this process, due to the electron beam direct writing technology and the characteristics of the photoresist itself (such as the proximity effect, etc.), the side of the pattern 5P may not be aligned enough, and the line edge roughness (LER) and line width roughness (LWR) are relatively high. Large, so the hard mask layer 4A, especially the layer 4B, is required for modification and adjustment.

参照图4,以光刻胶图形5P为掩模,采用各向异性刻蚀技术刻蚀硬掩模层4A/4B,形成硬掩模图形4P。具体地,采用等离子体刻蚀、反应离子刻蚀(RIE)等干法刻蚀技术,先刻蚀上层的第二硬掩模层44B,停止在第一硬掩模层4A上,形成具有略陡直的形貌的第二硬掩模图形(图中4P的上部4PB)。刻蚀气体可以是碳氟基气体,并且还可以包括惰性气体以及氧化性气体以调节刻蚀速率。其中,干法刻蚀设备可以是ICP、TCP、CCP设备。然后以第二硬掩模图形为掩模,同样采用上述干法刻蚀技术,刻蚀第一硬掩模层4A,停止在结构材料层(具体为栅极导电层3)上,形成更陡直的第一硬掩模图形(图中4P的下部4PA)。由于第二硬掩模层的存在,使得干法刻蚀时先得到初步陡直的图形,然后继续深入刻蚀形成更陡直的图形,由此可以避免光刻胶图形侧壁粗糙度向下转移到结构材料层2/3,有效降低了线条的粗糙度(LER与LWR)。随后,优选地,采用干法刻蚀和/或湿法腐蚀工艺去除刻蚀过程中产生的聚合物及其颗粒,干法刻蚀例如采用氟基等离子体刻蚀,湿法腐蚀例如SPM(例如硫酸∶双氧水=4∶1)/APM(例如氨水∶双氧水∶去离子水=1∶1∶5或者0.5∶1∶5)湿法清洗。Referring to FIG. 4 , using the photoresist pattern 5P as a mask, the hard mask layer 4A/4B is etched using an anisotropic etching technique to form a hard mask pattern 4P. Specifically, dry etching techniques such as plasma etching and reactive ion etching (RIE) are used to etch the upper second hard mask layer 44B first, and stop on the first hard mask layer 4A to form a slightly steep The second hard mask pattern of the straight topography (upper part 4PB of 4P in the figure). The etching gas may be a fluorocarbon-based gas, and may also include an inert gas and an oxidizing gas to adjust an etching rate. Wherein, the dry etching equipment may be ICP, TCP, CCP equipment. Then, using the second hard mask pattern as a mask, the above dry etching technique is also used to etch the first hard mask layer 4A, stopping on the structural material layer (specifically, the gate conductive layer 3) to form a steeper layer. Straight first hard mask pattern (lower part 4PA of 4P in the figure). Due to the existence of the second hard mask layer, a preliminary steep pattern is obtained during dry etching, and then a steeper pattern is formed by further etching, thereby avoiding the downward roughness of the side wall of the photoresist pattern. Transferred to the structural material layer 2/3, effectively reducing the roughness of the lines (LER and LWR). Subsequently, preferably, the polymer and its particles generated during the etching process are removed by dry etching and/or wet etching, such as dry etching using fluorine-based plasma etching, wet etching such as SPM (such as Sulfuric acid: hydrogen peroxide = 4: 1)/APM (eg ammonia water: hydrogen peroxide: deionized water = 1: 1: 5 or 0.5: 1: 5) wet cleaning.

参照图5,以硬掩模图形4P为掩模,刻蚀结构材料层,形成最终的线条。例如采用各向异性的干法刻蚀技术,如等离子体刻蚀、RIE等,刻蚀栅极导电层3直至暴露栅极绝缘层2,形成了陡直的栅电极图案3P。之后,采用上述湿法腐蚀工艺去除刻蚀过程中形成的聚合物。Referring to FIG. 5 , using the hard mask pattern 4P as a mask, the structural material layer is etched to form final lines. For example, an anisotropic dry etching technique, such as plasma etching, RIE, etc., is used to etch the gate conductive layer 3 until the gate insulating layer 2 is exposed, forming a steep gate electrode pattern 3P. Afterwards, the above wet etching process is used to remove the polymer formed during the etching process.

以上以刻蚀栅极线条为例说明了本发明的一个实施例,然而实际上本发明的方法可以应用于各种半导体结构,层2和3可以是任何的结构材料层,例如假栅极堆叠结构、局部互连结构、顶部焊垫结构等等。An embodiment of the present invention has been described above by taking the etching of gate lines as an example, but in fact the method of the present invention can be applied to various semiconductor structures, and layers 2 and 3 can be any structural material layers, such as dummy gate stacks structures, local interconnect structures, top pad structures, and more.

依照本发明的方法,采用材质不同的多层硬掩模层并且多次刻蚀,防止了电子束光刻胶侧壁粗糙度传递到下层的结构材料层,有效降低了线条的粗糙度,提高了工艺的稳定性,降低了器件性能的波动变化。According to the method of the present invention, multi-layer hard mask layers with different materials are used and etched multiple times, which prevents the transfer of the side wall roughness of the electron beam photoresist to the underlying structural material layer, effectively reduces the roughness of the lines, and improves The stability of the process is improved, and the fluctuation of device performance is reduced.

尽管已参照一个或多个示例性实施例说明本发明,本领域技术人员可以知晓无需脱离本发明范围而对形成器件结构的方法做出各种合适的改变和等价方式。此外,由所公开的教导可做出许多可能适于特定情形或材料的修改而不脱离本发明范围。因此,本发明的目的不在于限定在作为用于实现本发明的最佳实施方式而公开的特定实施例,而所公开的器件结构及其制造方法将包括落入本发明范围内的所有实施例。While the invention has been described with reference to one or more exemplary embodiments, those skilled in the art will recognize various suitable changes and equivalents in the method of forming the device structure without departing from the scope of the invention. In addition, many modifications, possibly suited to a particular situation or material, may be made from the disclosed teaching without departing from the scope of the invention. Therefore, it is intended that the invention not be limited to the particular embodiment disclosed as the best mode for carrying out this invention, but that the disclosed device structures and methods of making the same will include all embodiments falling within the scope of the invention .

Claims (9)

1.一种降低电子束光刻时光刻胶粗糙度的方法,包括:1. A method for reducing the roughness of resist during electron beam lithography, comprising: 在衬底上形成结构材料层和第一硬掩模层;forming a layer of structural material and a first hard mask layer on the substrate; 在第一硬掩模层上形成第二硬掩模层,第二硬掩模层包括多晶硅、非晶硅、微晶硅、非晶碳、非晶锗、SiC、S iGe、类金刚石无定形碳及其组合;A second hard mask layer is formed on the first hard mask layer, and the second hard mask layer includes polysilicon, amorphous silicon, microcrystalline silicon, amorphous carbon, amorphous germanium, SiC, SiGe, diamond-like amorphous Carbon and its combinations; 在第二硬掩模层上形成电子束光刻胶图形;forming an electron beam photoresist pattern on the second hard mask layer; 以电子束光刻胶图形为掩模,刻蚀第二硬掩模层形成第二硬掩模图形;Using the electron beam photoresist pattern as a mask, etching the second hard mask layer to form a second hard mask pattern; 以第二硬掩模图形为掩模,刻蚀第一硬掩模层形成第一硬掩模图形;Using the second hard mask pattern as a mask, etching the first hard mask layer to form the first hard mask pattern; 以第一和第二硬掩模图形为掩模,刻蚀结构材料层,形成所需要的线条。Using the first and second hard mask patterns as masks, the structural material layer is etched to form required lines. 2.如权利要求1所述的方法,其中,第一硬掩模层包括氧化硅、氮化硅、氮氧化硅及其组合。2. The method of claim 1, wherein the first hard mask layer comprises silicon oxide, silicon nitride, silicon oxynitride, and combinations thereof. 3.如权利要求2所述的方法,其中,第一硬掩模层为氧化硅与氮化硅的叠层结构。3. The method of claim 2, wherein the first hard mask layer is a stacked structure of silicon oxide and silicon nitride. 4.如权利要求1所述的方法,其中,第一硬掩模层和/或第二硬掩模层和/或结构材料层的刻蚀采用等离子体干法刻蚀技术。4. The method according to claim 1, wherein the etching of the first hard mask layer and/or the second hard mask layer and/or the structural material layer adopts plasma dry etching technology. 5.如权利要求4所述的方法,其中,等离子体干法刻蚀采用CCP或ICP或TCP设备。5. The method according to claim 4, wherein the dry plasma etching adopts CCP or ICP or TCP equipment. 6.如权利要求4所述的方法,其中,刻蚀之后还包括干法去胶和/或湿法腐蚀清洗。6 . The method according to claim 4 , further comprising dry stripping and/or wet etching cleaning after etching. 7 . 7.如权利要求6所述的方法,其中,湿法腐蚀清洗采用SPM+APM。7. The method according to claim 6, wherein SPM+APM is used for wet etching cleaning. 8.如权利要求1所述的方法,其中,结构材料层为假栅电极层、金属栅电极层、局部互连层中的一种。8. The method according to claim 1, wherein the structural material layer is one of a dummy gate electrode layer, a metal gate electrode layer, and a local interconnection layer. 9.如权利要求1所述的方法,其中,第一和/或第二硬掩模层采用LPCVD、PECVD、HDPCVD、MBE、ALD方法制备。9. The method according to claim 1, wherein the first and/or the second hard mask layer is prepared by LPCVD, PECVD, HDPCVD, MBE, ALD method.
CN201210353546.6A 2012-09-20 2012-09-20 Method for reducing roughness of photoresist in electron beam lithography Active CN103676491B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201210353546.6A CN103676491B (en) 2012-09-20 2012-09-20 Method for reducing roughness of photoresist in electron beam lithography

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201210353546.6A CN103676491B (en) 2012-09-20 2012-09-20 Method for reducing roughness of photoresist in electron beam lithography

Publications (2)

Publication Number Publication Date
CN103676491A CN103676491A (en) 2014-03-26
CN103676491B true CN103676491B (en) 2016-12-28

Family

ID=50314491

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210353546.6A Active CN103676491B (en) 2012-09-20 2012-09-20 Method for reducing roughness of photoresist in electron beam lithography

Country Status (1)

Country Link
CN (1) CN103676491B (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105428317B (en) * 2014-09-12 2018-09-18 中国科学院微电子研究所 Semiconductor device manufacturing method
CN106558485A (en) * 2015-09-28 2017-04-05 中国科学院微电子研究所 Preparation method of dielectric nano structure compatible with CMOS (complementary metal oxide semiconductor) process
CN106553993A (en) * 2015-09-28 2017-04-05 中国科学院微电子研究所 Method for preparing nano structure compatible with CMOS process
CN114815059A (en) * 2022-03-08 2022-07-29 嘉兴微智光子科技有限公司 Preparation method of film photonic chip with smooth and steep side wall

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6696222B2 (en) * 2001-07-24 2004-02-24 Silicon Integrated Systems Corp. Dual damascene process using metal hard mask
JP2004079901A (en) * 2002-08-21 2004-03-11 Nec Electronics Corp Semiconductor device and method for manufacturing the same
KR100640657B1 (en) * 2005-07-25 2006-11-01 삼성전자주식회사 Method of forming fine pattern of semiconductor device
TWI476816B (en) * 2009-06-26 2015-03-11 羅門哈斯電子材料有限公司 Self-aligned spacer multiple patterning methods

Also Published As

Publication number Publication date
CN103676491A (en) 2014-03-26

Similar Documents

Publication Publication Date Title
CN106653606B (en) Gate replacement process for FINFET
US9437443B2 (en) Low-temperature sidewall image transfer process using ALD metals, metal oxides and metal nitrides
US8071485B2 (en) Method of semiconductor manufacturing for small features
US9425053B2 (en) Block mask litho on high aspect ratio topography with minimal semiconductor material damage
CN111386610B (en) Method for manufacturing electronic components with dual quantum dots
CN108155088B (en) Method for removing etching mask
TW201731107A (en) Semiconductor device and method of manufacturing same
CN102473635A (en) Method for manufacturing a semiconductor device
CN103676491B (en) Method for reducing roughness of photoresist in electron beam lithography
TW202215494A (en) Method of manufacturing semiconductor structure
CN104037159B (en) Semiconductor structure and forming method thereof
CN105428317B (en) Semiconductor device manufacturing method
CN103779190B (en) Fine line preparation method
CN103854984B (en) Manufacturing method of back gate process dummy gate and back gate process dummy gate
CN105742153B (en) Method for forming cascaded nanowires
CN112864015A (en) GaN device and preparation method
CN103633123A (en) Nanowire substrate structure and preparation method thereof
CN103676493B (en) Hybrid photoetching method for reducing line roughness
CN103777466A (en) Photoetching method for reducing line roughness
CN103676492A (en) Electron beam lithography method
CN106558536B (en) Manufacturing method of semiconductor device
US20180144947A1 (en) Photomask manufacturing method
CN103681251B (en) Hybrid optical and electron beam lithography method
CN114975100A (en) Methods to Improve Uniformity After Hardmask Etching
CN114927479A (en) Preparation method of semiconductor structure and semiconductor structure

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20201229

Address after: 510000 601, building a, 136 Kaiyuan Avenue, Huangpu District, Guangzhou City, Guangdong Province

Patentee after: AoXin integrated circuit technology (Guangdong) Co.,Ltd.

Address before: 100029 No. 3 Beitucheng West Road, Chaoyang District, Beijing

Patentee before: Institute of Microelectronics of the Chinese Academy of Sciences

TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20220525

Address after: 510000 room 710, Jianshe building, No. 348, Kaifa Avenue, Huangpu District, Guangzhou, Guangdong

Patentee after: Ruili flat core Microelectronics (Guangzhou) Co.,Ltd.

Address before: 510000 601, building a, 136 Kaiyuan Avenue, Huangpu District, Guangzhou City, Guangdong Province

Patentee before: AoXin integrated circuit technology (Guangdong) Co.,Ltd.