CN103531464B - Etching method for silicon nitride high depth-to-width ratio hole - Google Patents

Etching method for silicon nitride high depth-to-width ratio hole Download PDF

Info

Publication number
CN103531464B
CN103531464B CN201210229544.6A CN201210229544A CN103531464B CN 103531464 B CN103531464 B CN 103531464B CN 201210229544 A CN201210229544 A CN 201210229544A CN 103531464 B CN103531464 B CN 103531464B
Authority
CN
China
Prior art keywords
etching
hole
gas
silicon nitride
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201210229544.6A
Other languages
Chinese (zh)
Other versions
CN103531464A (en
Inventor
孟令款
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ruili Flat Core Microelectronics Guangzhou Co Ltd
Original Assignee
Institute of Microelectronics of CAS
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Institute of Microelectronics of CAS filed Critical Institute of Microelectronics of CAS
Priority to CN201210229544.6A priority Critical patent/CN103531464B/en
Publication of CN103531464A publication Critical patent/CN103531464A/en
Application granted granted Critical
Publication of CN103531464B publication Critical patent/CN103531464B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

The invention discloses an etching method for a silicon nitride high depth-to-width ratio hole. The method comprises: first of all, placing a semiconductor device of a silicon nitride film, already forming the graph needed by a semiconductor, into en etching cavity; then using a dry-method plasma technology, letting in a high carbon chain molecule fluorocarbon-based gas, an oxidizing gas, a dilution gas and a fluorocarbon-based gas containing hydrogen, adding radio frequency power and exciting plasma; and after a plasma stabilization step, performing etching of the silicon nitride film until the etching morphology, the hole diameter size and the depth of a high depth-to-width ratio hole reach requirements. According to the invention, the silicon nitride film is etched by using the unique fluorocarbon-based gas, through adjusting gas component and power size, the deposition amount of fluorocarbon polymers on the side wall of the deep hole can be controlled, the key dimensions of the hole can be prevented from becoming larger, and polymers already deposited at the bottom of the deep hole can be removed so as to ensure that the etching can be continued, thus the etching morphology of the hole can be adjusted.

Description

The lithographic method of silicon nitride high depth-to-width ratio hole
Technical field
The invention belongs to semiconductor integrated circuit manufacture field, more particularly, it relates to a kind of silicon nitride film is advanced wide Than the lithographic method in hole.
Background technology
Contact hole etching is the key technology of super large-scale integration, as CMOS is into the technique epoch after 32nm, High-aspect-ratio hole etches and its fill the yield to device considerable influence.For advanced memorizer, depth-to-width ratio More than 40: 1 ratio is reached, this causes challenge huger.
The medium of the contact hole etching of traditional cmos device is silica membrane, is situated between as the extensive electricity of Another Application Material silicon nitride, due to its K value and stress is larger does not almost use it as ILD layer.It is mainly used in hard mask, etching Or the stop-layer of CMP.
It is similar with the etching of silicon oxide film, for silicon nitride film, typically adopt carbon fluorine base gas such as CF4、CHF3、 CH2F2、CH3F etc. is etching.Currently, in main stream of CMOS IC manufacturing, the medium of contact hole etching with silicon dioxide is It is main, do not use silicon nitride.But, with one kind electricity that the deep development of semiconductor integrated circuit, silicon nitride are etched as hole Dielectric material, has ample scope for abilities in three, five race's photoelectric crystal devices.
For silicon nitride, from material for, the growth of silicon nitride, prepare it is general by the way of PECVD and LPCVD, , compared with silicon oxide, the bond energy of silicon nitride is relatively low, it is easy to open for which, so the gas of etching silicon dioxide may serve to etching Silicon nitride, and higher etching speed can be obtained.Difference is that silicon nitride is being etched using hydrogen-containing carbon fluorine base gas When, it is easy to polymer is produced, is difficult to remove if in deep hole, easily affect the size of the steepness and CD of deep hole.Therefore, When need prepare depth-to-width ratio for more than 5: 1 (CD is in 100nm or so) deep hole when, polymer side wall deposition amount it is many as little as Close important, it determines the process of the pattern of etching and reaction.If polymer, is difficult to obtain preferable etch topography very little, And select than low, it is difficult to the size of the critical size CD of control hole;If however, depositing too many fluorocarbon polymer in deep hole Thin film, then play a part of chemical inhibitor by offside wall and bottom, and etching can be caused to stop.
Accordingly, it would be desirable to a kind of hole lithographic method of the silicon nitride film that can control fluorocarbon polymer deposition amount in deep hole.
The content of the invention
The purpose of the present invention is the defect for overcoming prior art, there is provided a kind of etching side in silicon nitride film high-aspect-ratio hole Method, not only can control the deposition of the fluorocarbon polymer on the wall of deep hole side but also can remove be deposited on the polymer of deep hole bottom with Ensure that etching can proceed, and then be capable of the etch topography of adjustment hole.
The technical scheme for realizing the object of the invention is:
A kind of lithographic method of silicon nitride high depth-to-width ratio hole, first will be the silicon nitride for having formed quasiconductor required figure thin The semiconductor device of film is put in etching cavity, is then also comprised the steps:Using dry plasma process, to the quarter High carbon chain molecular carbon fluorine base gas, oxidizing gas, hydrogen-containing carbon fluorine base gas are passed through in erosion cavity, radio-frequency power are added, is excited Go out plasma;After plasma stability step, the etching of silicon nitride film is carried out, until the etch topography in the hole, Pore size and depth reach requirement.
Wherein, the high carbon chain molecular carbon fluorine base gas also produces the polymer of carbon containing fluorine while etch silicon nitride Thin film deposition in hole sidewalls and bottom, so as to form anisotropic etching to silicon nitride;The hydrogen-containing carbon fluorine base gas exists While etching and lift etching speed to the chemical of silicon nitride film, also produce the polymer molecule containing carbon fluorine and also deposit In hole sidewalls and bottom;The fluorocarbon polymer of the bottom in the hole is bombarded and is reacted away so that etching by the oxidizing gas It is unlikely to stop, while the fluorocarbon polymer of the hole deposited on sidewalls is removed a part, another portion by the oxidizing gas Fluorocarbon polymer is divided to be retained on the wall of the hole side.
When the high carbon chain molecular carbon fluorine base gas is increased so as to increase the amount of polymer, the pattern in the hole is slightly to incline Tiltedly;When the oxidizing gas are increased, the pattern in the hole is steep;By by parameter regulation between said two devices When, you can obtain the etch topography in different holes according to demand.
Preferably, high carbon chain molecular carbon fluorine base gas, oxidizing gas, hydrogen-containing carbon fluorine is being passed through into the etching cavity While base gas, the dilution gas for forming stable plasma is also passed through.
Wherein described high carbon chain molecular carbon fluorine base gas is selected from C4F6、C4F8In at least one;The carbon fluorine base gas is selected from CHF3、CH2F2And CH3At least one in F;The oxidizing gas are O2;The dilution property gas is He or Ar.
In one embodiment, when etching the high-aspect-ratio hole of steep pattern, the micro semiconductor Primo-DRIE chambers using in Body, using dijection display system, high frequency is 60MHz, and low frequency is 2MHz, uncoupling between the two, low-and high-frequency power selection 500W/ 1500W, chamber pressure are maintained at 40mt, and being passed through gas includes:30sccm C4F8, 70sccm CH2F2, 80sccm O2, 300sccm Ar。
In another embodiment, when etching slightly inclines the high-aspect-ratio hole of pattern, the micro semiconductor Primo- using in DRIE cavitys, using dijection display system, high frequency is 60MHz, and low frequency is 2MHz, uncoupling between the two, low-and high-frequency power selection 500W/1500W, chamber pressure are maintained at 40mt, and being passed through gas includes:40sccm C4F8,70sccm CH2F2,80sccm O2,300sccm Ar.
In yet another embodiment, it is characterised in that the profundity width for slightly inclining pattern can also be etched in the following manner Compare hole:The micro semiconductor Primo-DRIE cavitys using in, using dijection display system, high frequency is 60MHz, and low frequency is 2MHz, both Between uncoupling, low-and high-frequency power selection 500W/1500W, chamber pressure 40mt, being passed through gas includes:30sccm C4F8, 70sccm CH2F2,70sccm O2,300sccm Ar.
Semiconductor device of the present invention is single layer structure, and etching stopping is on a silicon substrate;Or the semiconductor device For multiple structure, etching stopping is on or below dielectric layer.The material of its dielectric layer is silicon oxide, silicon or other substrates.
The lithographic method in silicon nitride film high-aspect-ratio hole of the present invention is thin using unique carbon fluorine base gas etch silicon nitride Film, by adjusting gas component, watt level, realizes the anisotropy to silicon nitride deep hole and quick etching, both can control The deposition of the fluorocarbon polymer on the wall of deep hole side avoids the critical size in hole from becoming big, again removable and has been deposited on deep hole bottom Polymer can be proceeded with ensureing etching, and then be capable of the etch topography of adjustment hole, obtain satisfied high-aspect-ratio hole Structure.
Description of the drawings
Fig. 1 is the chip of the silicon nitride film structure for going out required figure with photoetching development of the present invention;
During Fig. 2 is one embodiment of the invention, the steep etch topography section in the high-aspect-ratio hole of single-layer silicon nitride silicon thin film Figure;
During Fig. 3 is another embodiment of the present invention, the summary bevel etched pattern in the high-aspect-ratio hole of single-layer silicon nitride silicon thin film Sectional view;
Fig. 4 is for, in another embodiment of the invention, the etch topography in the high-aspect-ratio hole of multiple structure silicon nitride film cuts Face figure.
Specific embodiment
Below in conjunction with accompanying drawing and by taking specific embodiment as an example, the present invention is described in detail.But, art technology Personnel as long as meeting the spirit of the present invention, should it should also be understood that the invention is not restricted to listed specific embodiment It is included in protection scope of the present invention.
The lithographic method of silicon nitride high depth-to-width ratio hole of the present invention comprises the steps:
The chip for going out the silicon nitride film structure of required figure with photoetching development is put into into etching cavity first;Then adopt With dry plasma process, high carbon chain molecular carbon fluorine base gas, oxidizing gas, dilution property gas, hydrogen-containing carbon is passed through fluorine-based Gas, adds radio-frequency power, inspires plasma;After plasma stability step, the etching of silicon nitride film is carried out, Until the etch topography and pore size in high-aspect-ratio hole and depth reach requirement.
The high carbon chain molecular carbon fluorine base gas of the present invention can be from C4F6、C4F8It is middle to select at least one;Hydrogen-containing carbon fluorine base gas Can be from CHF3、CH2F2And CH3At least one is selected in F;Oxidizing gas are O2;Dilution property gas is He or Ar.
Wherein, high carbon chain molecular carbon fluorine base gas C4F6Or C4F8In addition to for etch silicon nitride, prior is energy The thin polymer film of more carbon containing fluorine is enough produced, hole sidewalls and bottom is deposited on, so that anisotropy is formed to silicon nitride Etching.Similarly, hydrogen-containing carbon fluorine base gas CHF3、CH2F2Or CH3F is mainly used in the chemical to silicon nitride film and etches, Etching speed is lifted, the polymer molecule containing carbon fluorine can be also produced during the course of the reaction and also is deposited upon hole sidewalls and bottom; Oxidizing gas O2Polymer that is having been produced for complementary removal and producing in etching process, by the bottom in hole Fluorocarbon polymer bombards and reacts away so that etching is unlikely to stopping, carbon fluorine polymerization of the simultaneous oxidation gas to deposited on sidewalls The removal effect of thing is slightly weak, and the fluorocarbon polymer of deposited on sidewalls can retain a part.Dilution property gas He or Ar are used for swashing Plasma is sent out, stable plasma and regulation and control etching speed is formed.
The lithographic method in the silicon nitride film high-aspect-ratio hole of the present invention, can etch the not similar shape of deep hole as needed Looks, and do not change etched hole shape characteristic in other respects.When increasing high carbon chain molecular carbon fluorine base gas so as to increasing During the amount of polymer, slightly inclined deep hole can be produced;When oxidizing gas are increased, more steep deep hole can be formed.Therefore, By the content of the every kind of gas of regulation, the especially content of high carbon chain molecular carbon fluorine base gas and oxidizing gas, it is possible to The amount of polymer is adjusted, and both depth can be can guarantee that to obtain preferable etch topography, again in the enough polymer of deep hole side wall deposition The Fluorocarbon polymer film of hole bottom deposit does not excessively cause etching to stop.
Said method is described in further detail below in conjunction with the drawings and specific embodiments.
The etching apparatus of specific embodiment of the invention micro semiconductor Primo-DRIE cavitys using in, using Double RF system System, high frequency are mainly used to produce plasma for 60MHz, for adjusting plasma density;Low frequency be 2MHz be used for strengthen from Sub- energy and bombardment intensity, lift etching directivity.It is uncoupling between the two, in order to avoid influence each other.This allows to root Different optimizations are carried out according to the concrete feature of etching deep hole, and does not change institute's etched hole shape characteristic in other respects.
Such as Fig. 1, using PECVD deposition last layers silicon nitride film 11 on the substrate for forming basic semiconductor structure, adopt With photoresistance as mask layer 10 (depending on the requirement of different process node, there may come a time when to also need to plus hard mask), needed for making by lithography Figure.
Such as Fig. 2, in being one embodiment of the invention, the steep etch topography in the high-aspect-ratio hole of single-layer silicon nitride silicon thin film cuts Face figure.Etching gas are passed through in etching cavity, are excited using radio-frequency power, after plasma stability, carry out silicon nitride Etching.As one embodiment, preferably C4F8、CH2F2、O2Etc. performing etching.Due to etching apparatus, manufacturer is numerous, parameter here Setting is by taking the etching apparatus of middle micro semiconductor Primo-DRIE as an example.Chamber pressure is maintained at 40mt, and the gas being passed through includes: 30sccm C4F8, 70sccm CH2F2, 80sccm O2, 300sccm Ar, low-and high-frequency power selection 500W/1500W, so as to etch Go out steep pattern.
For the etched hole of high-aspect-ratio, above-mentioned steep pattern can bring quite challenge for follow-up filling.Sometimes For subsequent technique, can by the size of bottom do it is smaller, make slightly inclined pattern.Fig. 3 is another reality of the invention Apply in example, the summary bevel etched pattern sectional view in the high-aspect-ratio hole of single-layer silicon nitride silicon thin film, the embodiment is by increasing carbon fluorine Base gas C4F8Flow, strengthen polymer side wall deposition so that laterally etched reduction.The polymer of bottom deposit can be with The bombardment of property gas is oxidized under low frequency high power to fall, and does not affect anisotropic etching.Finally, form slightly inclined etching Pattern, such as Fig. 3.Wherein technological parameter can set as follows, low-and high-frequency power selection 500W/1500W, chamber pressure 40mt, lead to The gas for entering includes:40sccm C4F8, 70sccm CH2F2, 80sccm O2, 300sccm Ar.
In another embodiment, it is also possible to omit bevel etched pattern by adjusting the flow of O2 to obtain:Low-and high-frequency work( Rate 500W/1500W, chamber pressure 40mt, the gas being passed through include:30sccm C4F8, 70sccm CH2F2, 70sccm O2, 300sccm Ar。
If Fig. 4 is the embodiment of another multiple structure silicon nitride film deep hole etching.Technological parameter can be with parameter State example.The device of the multilayer semiconductor structure except silicon nitride film 11 and its on mask layer 10 in addition to, also including position Stop-layer 12 under silicon nitride film 11.The method of the present invention is equally applicable to multiple structure silicon nitride film deep hole quarter Erosion, its etch topography can be shown in Fig. 2 it is steep, can also be slightly inclination shown in Fig. 3, or therebetween Etch topography.The present embodiment from unlike above two embodiments, before two embodiments be single layer structure, etching stopping exists On silicon substrate, and the present embodiment is multiple structure, and, on or below stop-layer 12, the material of stop-layer 12 can be with for etching stopping It is silicon oxide, silicon or other materials.
It should be noted that above-described embodiment is exemplary rather than limiting the present invention, those skilled in the art are possible to design very Scope of many alternate embodiments without deviating from appended claims.

Claims (9)

1. a kind of lithographic method of silicon nitride high depth-to-width ratio hole, will form the silicon nitride film of quasiconductor required figure first Semiconductor device be put in etching cavity, it is characterised in that methods described then also comprises the steps:
Using dry plasma process, be passed through into the etching cavity high carbon chain molecular carbon fluorine base gas, oxidizing gas, Hydrogen-containing carbon fluorine base gas, adds radio-frequency power, inspires plasma;After plasma stability step, silicon nitride is carried out The etching of thin film, until the etch topography in the hole, pore size and depth reach requirement;
When the high carbon chain molecular carbon fluorine base gas is increased so as to increase the amount of polymer, the pattern in the hole is slightly to incline; When the oxidizing gas are increased, the pattern in the hole is steep;By adjust the high carbon chain molecular carbon fluorine base gas and The content of the oxidizing gas, you can obtain the etch topography in different holes according to demand;
It is 5 that said method is applied to depth-to-width ratio:1 and the above nitridation silicon hole etching;
The etching cavity adopts dijection display system, and high frequency is 60MHz, for producing plasma, for adjusting plasma Density;Low frequency is 2MHz, for strengthening ion energy and bombardment intensity, lifts etching directivity;Go between the high frequency, low frequency Coupling.
2. method as claimed in claim 1, it is characterised in that
While etch silicon nitride, the thin polymer film for also producing carbon containing fluorine is deposited on the high carbon chain molecular carbon fluorine base gas The side wall in hole and bottom, so that form anisotropic etching to silicon nitride;
The hydrogen-containing carbon fluorine base gas is produced while the chemical to silicon nitride film is etched and lifts etching speed, also and is contained The polymer molecule for having carbon fluorine also is deposited upon the side wall in hole and bottom;
The oxidizing gas by the fluorocarbon polymer of the bottom in the hole bombard and react away so that etching be unlikely to stop, together The fluorocarbon polymer of the deposited on sidewalls in the hole is removed a part, another part fluorocarbon polymer by Shi Suoshu oxidizing gas It is retained on the side wall in the hole.
3. method as claimed in claim 1 or 2, it is characterised in that be passed through high carbon chain molecular carbon fluorine into the etching cavity While base gas, oxidizing gas, hydrogen-containing carbon fluorine base gas, the dilution gas for forming stable plasma is also passed through Body.
4. method as claimed in claim 3, it is characterised in that the high carbon chain molecular carbon fluorine base gas is selected from C4F6、C4F8In extremely Few one;The carbon fluorine base gas is selected from CHF3、CH2F2And CH3At least one in F;The oxidizing gas are O2;The dilution Property gas be He or Ar.
5. method as claimed in claim 4, it is characterised in that during the high-aspect-ratio hole of the steep pattern of etching, micro- using in partly to lead Body Primo-DRIE cavitys, using dijection display system, high frequency is 60MHz, and low frequency is 2MHz, uncoupling between the two, low-and high-frequency Power selection 500W/1500W, chamber pressure are maintained at 40mt, and being passed through gas includes:30sccm C4F8, 70sccm CH2F2, 80sccm O2, 300sccm Ar.
6. method as claimed in claim 4, it is characterised in that when etching slightly inclines the high-aspect-ratio hole of pattern, micro- half using in Conductor Primo-DRIE cavitys, using dijection display system, high frequency is 60MHz, and low frequency is 2MHz, uncoupling between the two, height Frequency power selection 500W/1500W, chamber pressure are maintained at 40mt, and being passed through gas includes:40sccm C4F8, 70sccm CH2F2, 80sccm O2, 300sccm Ar.
7. method as claimed in claim 4, it is characterised in that can also etch in the following manner slightly incline pattern profundity it is wide Compare hole:The micro semiconductor Primo-DRIE cavitys using in, using dijection display system, high frequency is 60MHz, and low frequency is 2MHz, both Between uncoupling, low-and high-frequency power selection 500W/1500W, chamber pressure 40mt, being passed through gas includes:30sccm C4F8, 70sccm CH2F2, 70sccm O2, 300sccm Ar.
8. method as claimed in claim 1, it is characterised in that the semiconductor device is single layer structure, etching stopping is served as a contrast in silicon On bottom;Or
The semiconductor device is multiple structure, and etching stopping is on or below dielectric layer.
9. method as claimed in claim 8, it is characterised in that the material of the dielectric layer is silicon oxide or silicon.
CN201210229544.6A 2012-07-03 2012-07-03 Etching method for silicon nitride high depth-to-width ratio hole Active CN103531464B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201210229544.6A CN103531464B (en) 2012-07-03 2012-07-03 Etching method for silicon nitride high depth-to-width ratio hole

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201210229544.6A CN103531464B (en) 2012-07-03 2012-07-03 Etching method for silicon nitride high depth-to-width ratio hole

Publications (2)

Publication Number Publication Date
CN103531464A CN103531464A (en) 2014-01-22
CN103531464B true CN103531464B (en) 2017-03-22

Family

ID=49933381

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210229544.6A Active CN103531464B (en) 2012-07-03 2012-07-03 Etching method for silicon nitride high depth-to-width ratio hole

Country Status (1)

Country Link
CN (1) CN103531464B (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10068781B2 (en) * 2014-10-06 2018-09-04 Lam Research Corporation Systems and methods for drying high aspect ratio structures without collapse using sacrificial bracing material that is removed using hydrogen-rich plasma
TWI653745B (en) * 2016-09-13 2019-03-11 日商東芝記憶體股份有限公司 Semiconductor device and method of manufacturing same
CN110571150B (en) * 2019-09-12 2022-09-02 长江存储科技有限责任公司 Etching method of high-aspect-ratio opening and semiconductor device
CN115148433A (en) * 2022-06-15 2022-10-04 无锡尚积半导体科技有限公司 Method for improving etching morphology of F-based vanadium oxide

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6051504A (en) * 1997-08-15 2000-04-18 International Business Machines Corporation Anisotropic and selective nitride etch process for high aspect ratio features in high density plasma
CN1383193A (en) * 2001-04-23 2002-12-04 日本电气株式会社 Method for removing silicon nitride film
CN101038875A (en) * 2002-06-14 2007-09-19 蓝姆研究公司 Process for etching openings in dielectric layer

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7622393B2 (en) * 2005-11-04 2009-11-24 Tokyo Electron Limited Method and apparatus for manufacturing a semiconductor device, control program thereof and computer-readable storage medium storing the control program

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6051504A (en) * 1997-08-15 2000-04-18 International Business Machines Corporation Anisotropic and selective nitride etch process for high aspect ratio features in high density plasma
CN1383193A (en) * 2001-04-23 2002-12-04 日本电气株式会社 Method for removing silicon nitride film
CN101038875A (en) * 2002-06-14 2007-09-19 蓝姆研究公司 Process for etching openings in dielectric layer

Also Published As

Publication number Publication date
CN103531464A (en) 2014-01-22

Similar Documents

Publication Publication Date Title
TWI279861B (en) Carbon-doped-Si oxide etch using H2 additive in fluorocarbon etch chemistry
KR100768363B1 (en) Production method for semiconductor integrated circuit device and semiconductor integrated circuit device
CN103578973B (en) The circulation lithographic method of silicon nitride high depth-to-width ratio hole
CN102044410B (en) Reduce the plasma-etching method of micro-loading
CN102398887B (en) Deep hole silicon etching method
JP6423534B2 (en) Etching method and etching apparatus for silicon dioxide substrate
CN107924837A (en) Dry etching method
US9054045B2 (en) Method for isotropic etching
CN103531464B (en) Etching method for silicon nitride high depth-to-width ratio hole
JP2006013190A (en) Method of manufacturing semiconductor device
CN103633014B (en) Method, semi-conductor device manufacturing method
JP2006165558A (en) Dielectric etching method by high supply low impact plasma giving high etching rate
TWI810396B (en) dry etching method
JP2010245101A (en) Dry etching method
Kamto et al. Cryogenic inductively coupled plasma etching for fabrication of tapered through-silicon vias
TW536756B (en) Method of removing silicon nitride film
JP2006024730A (en) Manufacturing method of semiconductor device
CN111243951A (en) Deep silicon etching method
US9111875B2 (en) Pattern formation method
CN105390433A (en) Semiconductor device manufacturing method
CN110190027A (en) The production method of semiconductor devices
JP2008244144A (en) Manufacturing method of semiconductor device
WO2002049089A1 (en) Method of etching porous insulating film, dual damascene process, and semiconductor device
CN103839870B (en) The method improving through-silicon via sidewall roughness in TSV etches
CN105720003B (en) Deep silicon hole lithographic method

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20201222

Address after: 510000 601, building a, 136 Kaiyuan Avenue, Huangpu District, Guangzhou City, Guangdong Province

Patentee after: AoXin integrated circuit technology (Guangdong) Co.,Ltd.

Address before: 100029 No. 3 Beitucheng West Road, Chaoyang District, Beijing

Patentee before: Institute of Microelectronics of the Chinese Academy of Sciences

TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20220506

Address after: 510000 room 710, Jianshe building, No. 348, Kaifa Avenue, Huangpu District, Guangzhou, Guangdong

Patentee after: Ruili flat core Microelectronics (Guangzhou) Co.,Ltd.

Address before: 510000 601, building a, 136 Kaiyuan Avenue, Huangpu District, Guangzhou City, Guangdong Province

Patentee before: AoXin integrated circuit technology (Guangdong) Co.,Ltd.

TR01 Transfer of patent right