CN103425813A - 用于设计在衬底上的层中的导向图形开口的方法 - Google Patents

用于设计在衬底上的层中的导向图形开口的方法 Download PDF

Info

Publication number
CN103425813A
CN103425813A CN2013100841411A CN201310084141A CN103425813A CN 103425813 A CN103425813 A CN 103425813A CN 2013100841411 A CN2013100841411 A CN 2013100841411A CN 201310084141 A CN201310084141 A CN 201310084141A CN 103425813 A CN103425813 A CN 103425813A
Authority
CN
China
Prior art keywords
self assembly
guiding
opening
territory
assembly territory
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2013100841411A
Other languages
English (en)
Other versions
CN103425813B (zh
Inventor
郑雅如
黎家辉
刘其俊
J·W·皮特拉
C·T·雷特纳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN103425813A publication Critical patent/CN103425813A/zh
Application granted granted Critical
Publication of CN103425813B publication Critical patent/CN103425813B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/06Multi-objective optimisation, e.g. Pareto optimisation using simulated annealing [SA], ant colony algorithms or genetic algorithms [GA]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)

Abstract

本发明涉及用于设计在衬底上的层中的导向图形开口的方法。公开了方法和计算机程序产品,其用于设计用于使自组装域在衬底上的规定位置处的形成定向的形貌图形。该方法包括生成数学模型,所述数学模型在导向图形的数学描述中对圆柱体自组装域的数目和位置的数学描述进行操作。

Description

用于设计在衬底上的层中的导向图形开口的方法
技术领域
本发明涉及集成电路制造领域,更具体地,涉及用于设计形貌图形(topographic pattern)的方法和计算机系统,以使在衬底上的规定位置处自组装域(domain)的形成定向。
背景技术
定向自组装(directed self-assembly,DSA)是扩展光学光刻的潜在候选者,所述定向自组装使自组装材料和在光掩模上光刻限定的预图形(prepattern)结合。光刻限定的导向图形(guiding pattern)用于引导自组装过程和由自组装材料形成的图形。DSA的分辨率增强和自复原效应(self-healing effect)对于扩展光学光刻分辨率并纠正由光学光刻印刷的不清楚限定的图形特别有用。为了完全利用DSA的扩展光学光刻的益处,要求在光掩模中使用对导向图形的建模。当前模型和方法产生这样的导向图形,所述导向图形通常使得DSA域的数目和位置不同于规定的数目和/或位置。因此,本领域存在减轻以上描述的缺陷和限制的需求。
发明内容
本发明的第一方面是一种设计在衬底上的层中的导向图形开口的方法,当所述导向图形开口被经过定向自组装的自组装材料填充时,所述导向图形开口在所述导向图形开口内的规定位置处产生一组自组装域,该方法包括:(a)规定自组装域的数目和对应位置;(b)基于自组装域的所述规定数目和规定位置生成初始导向图形开口的数学描述,并指定所述初始导向图形开口作为当前导向图形开口;(c)使用计算机,计算数学模型以产生所述当前导向图形开口内的自组装域的计算出的数目和计算出的高概率位置,其中函数表示所述自组装域将在所述当前导向图形开口内的所述规定位置处形成的相对概率;(d)将所述数学模型的高概率位置的所述计算出的数目与自组装域的所述规定数目进行比较,并将所述高概率位置的所述计算出的位置与自组装域的规定位置进行比较;(e)基于步骤(d)的比较,调整所述当前导向图形开口;以及(f)重复步骤(c)到(e),直到(i)自组装域的所述计算出的数目与自组装域的所述规定数目相同且(ii)自组装域的所述高概率位置与自组装域的所述规定位置在规定范围内一致。
本发明的第二方面是一种设计在衬底上的层中的导向图形开口的方法,当所述导向图形开口被经过定向自组装的自组装材料填充时,所述导向图形开口在导向图形开口内的规定位置处产生一组自组装域,该方法包括:(a)规定自组装域的数目和对应位置;(b)基于自组装域的规定数目和规定位置生成导向图形开口的数学描述;(c)基于所述导向图形开口的所述数学描述,定义(define)形成自组装域的概率的数学模型;(d)使用计算机,使用所述数学模型计算所述规定数目的自组装域将在所述导向图形开口内的所述规定位置处形成的概率;(e)确定所述数学模型对所述导向图形开口的所述数学描述的参数的导数;以及(f)使用所述导数来使在所述初始导向图形开口内的所述规定位置处形成自组装域的概率最大化,以使用优化算法来调整限定所述导向图形开口的壁的位置。
本发明的第三方面是非临时性计算机可读存储装置,其具有在其中具体实现的计算机可读程序代码,所述计算机可读程序代码包括这样的算法:该算法适于实现用于设计在衬底上的层中的导向图形开口的方法,当所述导向图形开口被经过定向自组装的自组装材料填充时,所述导向图形开口在所述导向图形开口内的规定位置处产生一组自组装域,该方法包括以下步骤:(a)基于自组装域的由用户规定的数目和对应位置生成初始导向图形开口的数学描述;(b)指定所述初始导向图形开口作为当前导向图形开口;(c)计算数学模型以产生所述当前导向图形开口内的自组装域的计算出的数目和计算出的高概率位置,其中函数表示所述自组装域将在所述当前导向图形开口内的所述规定位置处形成的相对概率;(d)将所述数学模型的高概率位置的所述计算出的数目与自组装域的所述规定数目进行比较,并将所述高概率位置的所述计算出的位置与自组装域的规定位置进行比较;(e)基于步骤(d)的比较,调整所述当前导向图形开口;以及(f)重复步骤(c)到(e),直到(i)自组装域的所述计算出的数目与自组装域的所述规定数目相同且(ii)自组装域的所述高概率位置与自组装域的所述规定位置在规定范围内一致。
本发明的第四方面是一种非临时性计算机可读存储设备,其具有在其中具体实现的计算机可读程序代码,所述计算机可读程序代码包括这样的算法:该算法适于实现用于设计在衬底上的层中的导向图形开口的方法,当所述导向图形开口被经过定向自组装的自组装材料填充时,所述导向图形开口在所述导向图形开口内的规定位置处产生一组自组装域,该方法包括以下步骤:(a)基于自组装域的由用户规定的数目和对应位置生成导向图形开口的数学描述;(b)基于所述导向图形开口的所述数学描述,定义形成自组装域的概率的数学模型;(c)使用所述数学模型计算所述规定数目的自组装域将在所述导向图形开口内的所述规定位置处形成的概率;(d)确定所述数学模型对所述导向图形开口的所述数学描述的参数的导数;以及(e)使用所述导数来使在所述初始导向图形开口内的所述规定位置处形成自组装域的概率最大化,以使用优化算法来调整限定所述导向图形开口的壁的位置。
以下将描述本发明的这些和其他方面。
附图说明
本发明的特征在所附权利要求书中阐述。但是,通过在结合附图阅读时参考对示例性实施例的以下详细描述,将最好地理解本发明本身,其中
图1A-1F是示例出使用定向自组装在衬底中形成图形的示例性方法的横截面图;
图2A-2D示例出导向图形中自组装材料的域形成;
图3A和3B示例出在两个不同的导向图形中定向自组装的蒙特卡洛(Monte Carlo)模拟结果;
图4A到4D示例出根据本发明的实施例在两个不同的导向图形中的定向自组装域概率函数P(x,y);
图5A和5B示例出导向图形中自组装材料的域形成的特征;
图6示例出根据本发明的实施例的圆柱体指示函数(cylinder indicatorfunction)W(x,y,{r});
图7示例出根据本发明的实施例的域概率函数P(x,y)与相应的平均力势(potential of mean force)F(x,y)之间的关系;
图8示例出根据本发明的实施例的在平均力势F(x,y)影响下的自组装圆柱体位置的表现(behavior);
图9是根据本发明的实施例的定向自组装的关于圆柱体位置和导向图形壁的抽象表示;
图10A-10C示例出根据本发明的实施例的等式(8)的项(term);
图11是根据本发明的实施例的正向导向图形设计方法的流程图;
图12A-12D示例出根据本发明的实施例的基于等式(9)和(10)的导数的梯度基优化算法(gradient based optimization algorithm);
图13A-13C示例出将导数优化为最小值和将导数优化为零之间的差异;
图14是根据本发明的实施例的反向导向图形设计方法的流程图;以及
图15是在实施本文中披露的优选方法中可使用的计算机的示意性框图。
具体实施方式
半导体工业一直在尝试制造越来越小的晶体管,以提高集成电路的性能并降低其成本。当前的晶体管的特征尺寸的范围为从65纳米(nm)到22nm。这些尺寸处于可通过光学光刻直接构图(pattern)的极限。
本发明的实施例涉及已知为定向自组装(DSA)的构图技术,DSA可制造在先进的集成电路制造中所需的这些较小尺寸的图形。定向自组装是一种混合方法,其使用光学光刻、压印光刻或电子束光刻来在衬底上产生“导向图形”,然后用自组装材料覆盖该衬底。该自组装材料然后经过自组装,形成规则的、良好限定的结构或域,其尺寸、形状和排列由自组装材料的化学结构和导向图形的几何形状来限定。导向图形用于将自组装域定向为在特定位置或方向上形成。通过选择性地溶解域中的一个并使用剩余的域作为蚀刻掩膜,自组装材料中的域之间的化学差异随后可被用于将图形向下转移到衬底中。本发明的优选方面是设计用于DSA的导向图形的方法。
图1A-1F是示例出使用定向自组装在衬底中形成图形的示例性方法的横截面图。在图1A中,在衬底100上形成了具有开口107的构图层105,衬底100的顶表面108被暴露在开口107中。在一个例子中,构图层105可以用光刻法来形成,且可以包括构图的光致抗蚀剂层。在一个例子中,构图层105是使用构图的光致抗蚀剂层作为模板而形成的硬掩模。在一个例子中,构图层105可使用压印技术来形成,其中三维图形被压到聚合层中且更薄的区域然后被去除以暴露下面的衬底。开口107是用于DSA的导向图形。开口107具有宽度W1。在图1B中,在开口107中形成DSA材料110。在图1C中,DSA材料100已自组装到外部域110A和内部域110B。在一个例子中,加热DSA材料起动DSA过程。以下将更详细地描述DSA材料和自组装过程。在图1D中,内部域110B(见图1C)被去除,暴露衬底100。在一个例子中,通过溶解于溶剂来去除内部域。在一个实施例中,通过等离子体蚀刻或反应离子蚀刻(RIE)来去除内部域。在图1E中,沟槽115被蚀刻到衬底100中。在一个例子中,使用湿法蚀刻来形成沟槽115。在一个例子中,使用RIE来形成沟槽115。在图1F中,构图层105和外部域110A(见图1E)被去除,将沟槽115留在衬底100中。沟槽115具有宽度W2,其中W2小于W1。在一个例子中,衬底100是半导体衬底。在一个例子中,衬底100代表将在半导体衬底上或非半导体衬底上被构图的层(例如氧化物、氮化物、多晶硅、其他电介质材料或金属的层)。
图2A-2D示例出自组装材料在导向图形中的域形成。在图2A-2D中,内部DSA域在形状上基本上近似为圆柱体,而外部域呈导向图形的形状。图2A是导向图形的等距图(isometric view),图2B是导向图形的顶视图。在图2A和2B中,导向图形120形成于在衬底130上形成的层125中。物理导向图形是层中的开口并且是三维的。用于导向图形的设计是二维的,且限定了层125的表面(顶部或底部)处的导向图形的周边。在图2A和2B的例子中,导向图形130是两个相交圆柱体的形式。图2C是在形成圆柱体的DSA材料中形成的域的DSA的等距图且图2D是其顶视图。在图2C和2D中,两个圆柱体内部域135A和135B被外部域140包围。
DSA的混合特性对当前被用来设计光刻掩模的计算工具提出了挑战。将由第一构图步骤形成的结构(例如图1A的开口107)现在是导向图形,而不是最终的芯片上(on-chip)结构(例如图1F的沟槽115)。导向图形必须被合适地成形,以使第二构图步骤中产生的自组装域(例如图1C和1D的域110A和110B)形成最终结构。
在光刻掩模设计中使用DSA的关键问题是需要一个模型来预测自组装域的位置和结构,因为是这些域形成所关注的最终图形。由于自组装是具有某种程度的随机性的部分随机过程,因此模型只能预测自组装域的可能位置和结构。某些导向图形形状将可靠地在导向图形内的相同位置处产生同种类型的自组装域。其他导向图形形状可使得自组装材料以不可靠的方式来表现,以随机方式使自组装域的数目、形状和位置变化。在图3中示出了该随机行为的例子。
图3A和3B示例出两个不同导向图形中的定向自组装的蒙特卡洛模拟结果。在图3A中,两个内部域145A和145B在“好的”导向图形150中的形成已被模拟8次。导向图形150是“好的”,这是因为在全部8次中在所需位置中可靠地产生域145A和145B。在图3B中,两个内部域155A和155B的在“坏的”导向图形160中的形成已被模拟8次。导向图形160是“坏的”,这是因为8次中仅4次在所需位置中可靠地产生域155A和155B。导向图形160还以与域155A和155B大致相同的概率在其他四次模拟中产生第三域155C。尽管蒙特卡洛模拟是精确的,但它们具有过慢的数量级,以致不能被用于需要模拟数千个导向图形的掩模设计中。
为了精确地预测内部域的数目和位置,必须知道在衬底表面上的每个点(x,y)处自组装域形成的概率。在图4中示意性地示例出该“DSA域概率函数”P(x,y)。如果高概率位置与所设计的最终图形位置一致,则我们具有“好的”导向图形设计。如果高概率位置偏离所设计的最终图形(例如,高概率位置出现在错误的位置中,或存在比所需的更多或更少的高概率位置),则该导向图形是“坏的”。
图4A到4D示例出根据本发明的实施例的两个不同导向图形中的定向自组装域概率函数P(x,y)。图4A是“好的”导向图形165的顶视图。图4B是用于由4个概率区域170A、170B、170C和170D表示的域形成的概率分布的顶视图,这四个区域从区域170A中的最高概率发展到区域170D中的最低(非零)概率。存在两个高概率区域170A。在导向图形165内,P(x,y)>0。在导向图形165外,P(x,y)=0。图4C是“坏的”导向图形175的顶视图。图4D是用于由4个概率区域180A、180B、180C和180D表示的域形成的概率分布的顶视图,这四个区域从区域180A中的最高概率发展到区域180D中的最低(非零)概率。在导向图形175内,P(x,y)>0。在导向图形175外,P(x,y)=0。存在三个高概率区域180A,此时仅需要2个高概率区域。
在DSA中使用的最常用的自组装材料是嵌段共聚物。嵌段共聚物是线性聚合物链,其化学组成沿着其长度变化,具有不同类型的单体的“嵌段(block)”。由两种不同的单体制成的常用材料(“二嵌段共聚物”)是聚苯乙烯-聚甲基丙烯酸甲酯嵌段共聚物(PS-b-PMMA)。组成每个嵌段的单体之间的化学差异提供了用于自组装的热力学驱动力。用于同样的单体之间(苯乙烯与苯乙烯,甲基丙烯酸酯与甲基丙烯酸酯)之间的相互作用的小偏好(small preference)被聚合物中的大量单体放大,以使得微相分离成几乎完全由一种单体或另一种单体组成的区域。最终聚合物中的每个嵌段的体积分率控制自组装域的形状。由相等体积分率的其两种单体组成的二嵌段共聚物将采用层状形态(morphology),而将一种嵌段的分率从0.5降低会产生其中少数嵌段的内部圆柱体域被多数嵌段的外部域包围的形态。少数嵌段的体积分率的进一步减少(低于0.33)会产生在多数基质中嵌入的少数嵌段球体的形态。聚合物的总分子量限定了每个域的尺寸,较大的聚合物产生较大的域。利用PS-b-PMMA(PMMA是少数嵌段),加热到约200℃起动自组装过程。
图5A和5B示例出在导向图形中自组装材料的域形成的特征。在图5A中,A-b-B聚合物被置于在衬底195上的构图层192中形成的导向图形中。存在三种相互作用,其描述了图5B中的域形成。第一相互作用是在A-b-B聚合物本身内(即,A单体对A单体以及B单体对B单体的吸引)。第二相互作用是在A-b-B聚合物和构图层192的材料之间。第三相互作用是在A-b-B聚合物和衬底195之间。
为了对DSA过程进行建模,必须考虑以上描述的三种相互作用。在自组装过程期间,仅自组装材料移动或重新排列,因此衬底和导向图形可被认为是刚性物体。如果存在用于所有构件的相互作用的充分模型,诸如分子动力学、朗之万动力学(LD)或蒙特卡洛(MC)的模拟技术或诸如自洽场理论(SCFT)的其他优化技术可被用于找到当存在特定的导向图形形状和衬底时被自组装材料采用的稳定的自组装结构。所有这些方法都涉及大量的计算开支。例如,典型的DSA情况可能涉及在面积为200x100nm2的导向图形中组装的具有100kD的分子量的50nm厚的PS-b-PMMA层。由~108个原子组成的6.5x103聚合物占据106nm3的总体积。在该情况下使用上述模拟技术中的一种将要求数百到数千个计算机处理单元(CPU)-小时来产生自组装结构的精确估计。将该方法按比例放大而考虑现代微处理器上的~109个晶体管将要求棘手的1011个CPU-小时。
为了避免棘手的CPU时间并仍然产生精确的导向图形,本发明的实施例公开了定向自组装过程的简化模型,用于在约500nm乘约500nm的相对小的导向图形中限制的形成圆柱体的自组装聚合物的情形。尽管针对其中自组装圆柱体延伸通过自组装材料的全部厚度的情形来描述该简化模型,但其也适用于对没有延伸通过材料的全部厚度的部分圆柱体域的行为进行建模。
指示函数是这样的函数:如果满足条件,则其值是1,而如果不满足条件,则其值是0。指示函数W(x,y,{r})考虑规定半径的圆柱体区域,其轴被对准为与衬底的顶表面垂直并通过点(x,y)。从数学角度,该函数是位置(x,y)以及自组装聚合物中的所有原子的位置的集合({r})的函数。实际上,W的值仅受到在以(x,y)为中心的圆柱体区域内的自组装聚合物的原子的子集影响。圆柱体半径与由自组装聚合物形成的圆柱体的半径近似相同。如果该圆柱体区域完全被聚合物的少数组分填充(例如,圆柱体自组装域存在且以(x,y)为中心),则W(x,y,{r})取值1,否则取值0。在图6中示例出指示函数的形状及其在不同位置取的值。替代的但更复杂的指示函数可包括这样的外部区域或圆柱体外壳:除了要求内部圆柱体被少数组分占据之外,该外部区域或圆柱体外壳还需要被多数组分占据。
图6示例出根据本发明的实施例的圆柱体指示函数W(x,y,{r})。在图6中,半径“r”的圆柱体内部域200位于x,y的位置并被外部域205包围。
使用统计力学的框架,通过对自组装材料的原子的所有可能配置进行积分,可计算出预期值<W(x,y,{r})>:
< W ( x , y , { r } ) > = &Integral; V d { r } W ( x , y , { r &RightArrow; } ) e - U ( { r } ) / kT &Integral; V d { r } e - U ( { r } ) / kT - - - ( 1 )
其中:
<W(x,y,{r})>是对{r}的所有可能值取平均的找到以(x,y)为中心的自组装圆柱体的概率,
{r}是自组装材料的所有原子的坐标集合,且
U({r})是与坐标特定配置有关的能量,且每个坐标被允许在整个体积V中变化。
对于位于导向图形材料内而不是位于导向图形的开放区域内的点(x,y),该概率成为0。在与自组装圆柱体的有利环境对应的位置(x,y),该概率将被最大化。由于自组装过程的随机本质,这是一种概率而不是确定性。在某些导向图形中,有可能形成自组装圆柱体的两种或更多种不同排列。在图3B中示例出这种情况。
重要地,函数<W(x,y,{r})>正是我们需要的来自预测DSA模型的“DSA域概率函数”P(x,y),因此
P(x,y)=<W(x,y,{r})>    (2)
在约化单位下(in reduced units)对应的“平均力势”F(x,y)被定义为:
F(x,y)=-ln<W(x,y,{r})>    (3)
<W(x,y,{r})>=e-F(x,y)    (4)
或者,就P(x,y)而言,利用等式(2):
F(x,y)=-lnP(x,y)    (5)
P(x,y)=e-F(x,y)    (6)
在图7中示例出P(x,y)与F(x,y)之间的这些关系。“平均力势”是统计力学中的专门术语,被定义为有效势能,其是一个或多个坐标(在该情况下是x和y)的函数,且当使用该有效势能执行模拟时,其在这些坐标中再现观察到的概率分布。
图7示例出根据本发明的实施例的域概率函数P(x,y)与对应的平均力势F(x,y)之间的关系。在图7中,存在由上部圆锥体和下部圆锥体的对指示的两个高概率区域。P(x,y)函数的轴是x、y和P,其中P是在坐标x和y处的域形成的概率。F(x,y)函数的轴是x、y和F,其中F是在坐标x和y处的域形成的自由能。P(x,y)和F(x,y)通过等式(5)和(6)而关联。
F(x,y)是作用于以(x,y)为中心的自组装圆柱体的有效势。恰好在具有大F值的位置处形成的圆柱体将倾向于从该位置移动到具有较低F值的位置。该移动可通过形成圆柱体的所有聚合物链的逐步、协调的移动而发生,或者可通过在另一位置中圆柱体结构的溶解和其重新形成而发生。圆柱体的稳定位置对应于F中的局部最小值,且最可能的位置对应于F中的低洼(或全局)最小值。在图8中示例出这些特性。
图8示例出根据本发明的实施例的在平均力势F(x,y)影响下的自组装圆柱体位置的表现。在图8中,在F(x,y)的两个最小值之间形成的其中df/dx≠0的域210将迁移到最近的其中df/dx=0的最小值(到图8的左边)。注意F(x,y)以其中f(x)=∞的无穷大为界,暗示P(x,y)=0,因此域210不能在由“X”标记的位置中形成。
尽管可以使用早先描述的完整的三维模拟来计算F(x,y)(或<W(x,y,{r})>),但这样的方法将非常昂贵,因为很可能对所关注的每个(x,y)值要求不同的模拟。替代地,使用简单的且计算快捷的、用于F的近似二维形式,如图9所示。图9是根据本发明的实施例的定向自组装的关于圆柱体位置和导向图形壁角度的抽象表示。任何给定的导向图形(例如导向图形215),在顶视图中,可被抽象化(abstract)为一组开放区域(由自组装材料填充的地方),其以由组成导向图形的材料的壁220A和220B为界。导向图形和自组装材料的组合系统可被抽象化为在导向图形215内的N(i=1…N)个圆柱体位置(xi,yi)的集合(在图9中的位置225A、225B、225C和225D,N=4),该导向图形215的壁由M(l=1···M)个点的集合即位置(xl,yl)来限定。根据本发明的实施例,DSA问题的该二维抽象化是第一关键近似DSA模型。抽象化将问题中的变量的数目减少了许多个数量级,这大大加速了任何所需要的计算。
利用该抽象化来工作,基本的近似在于F可被近似为成对(pairwise)(或“二体”)项的和。“成对”是指和中的每个项依赖于抽象化中的仅2个实体(即,两个圆柱体、或一个圆柱体和一个壁点,但不能同时是一个圆柱体和两个壁点)的位置。根据本发明的实施例,该成对近似是DSA模型的第二个关键近似。F的完整等式,即使在抽象化的上下文中,也包含来自一体(one-body)项、二体项、三体项或更高项的成分(contribution)。该“多体扩展”是标准工具,其用于表示统计力学中的能量函数;这里其替代地被用于有效势F。用F而不是P来工作的动机的一部分在于其直接分解多体扩展中的能量类(energy-like)项(即F),但是概率不能类似地被分解为和。一体项可被忽略,因为其对F仅贡献了附加常数。三体项和更高的项可被丢弃,这是因为计算成本被按比例扩大为nm(其中n是问题中实体的数目,m是项的阶,对于三体项为m=3)。仅保留二体项的成对近似在计算时间和精确度之间找到平衡。给定如上讨论的两个关键近似值,等式7表示F。
F ( { x i , y i } , { x l , y l } ) &ap; &Sigma; i = 1 N &Sigma; l = 1 M g ( x i , y i , x l , y l ) + &Sigma; i = 1 N - 1 &Sigma; j = i + 1 N h ( x i , y i , x l , y l ) - - - ( 7 )
其中:
F是在导向图形形状内形成一组自组装域的相对概率,其被表示为作用于自组装域上的有效势,
{xi,yi}是自组装域的位置,
{xl,yl}是导向图形开口的壁的位置,
g是描述被建模为圆柱体的自组装域和导向图形开口的壁的相互作用的函数,
h是描述被建模为圆柱体的自组装域的对之间的相互作用的函数,
N是导向图形开口内的自组装域的数目,以及
M是限定导向图形开口的壁位置的点的数目。
等式(7)中的第一二重和表示组成每个圆柱体的材料与形成导向图形的壁的材料的相互作用对F的贡献,且第二二重和表示组成圆柱体对的材料之间的相互作用。在图10A中示意性地示例出这些相互作用。实际上,求和函数g(“圆柱体-壁相互作用”)和h(“圆柱体-圆柱体”相互作用)仅是相互作用的构件之间的距离的函数:
F ( { x i , y i } , { x l , y l } ) &ap; &Sigma; i = 1 N &Sigma; l = 1 M g ( ( x i - x l ) 2 + ( y i - y l ) 2 ) + &Sigma; i = 1 N - 1 &Sigma; j = i + 1 N h ( ( x i - x j ) 2 + ( y i - y j ) 2 ) - - - ( 8 )
其中再一次:
F是在导向图形形状内形成一组自组装域的相对概率,其被表示为作用于自组装域上的有效势,
{xi,yi}是自组装域的位置,
{xl,yl}是导向图形开口的壁的位置,
g是描述被建模为圆柱体的自组装域和导向图形开口的壁的相互作用的函数,
h是描述被建模为圆柱体的自组装域的对之间的相互作用的函数,
N是导向图形开口内的自组装域的数目,以及
M是限定导向图形开口的壁位置的点的数目。
自组装材料的物理学知识对g和h的函数形式给出了一些指导。自组装材料的致密、无序的本质意味着所有的相互作用被屏蔽,在长距离时衰减到零。对于g,我们知道圆柱体不能靠近壁元件来形成,并在这样的圆形的导向图形的中心处容易地形成:该圆形导向图形是圆柱体直径的~10-20倍。在更大的圆形导向图形中,形成多个圆柱体。对于h,我们知道圆柱体不能彼此重叠,且在块(bulk)中具有特性分离距离d。在图10中以图形形式示例出用于g和h的代表性函数的例子。它们也可被数学地描述。F的近似值的一个重要特征是,它是圆柱体位置{(xi,yi)}和导向图形的壁的位置{(xl,yl)}两者的显式的(explicit)且至少一次(但理想地是2次)可微函数。
可被包括在该模型中的另一个物理考虑是形成导向图形的壁的材料是固体的,且因此可阻挡或遮挡相互作用。这意味着如果其间没有其他壁元件,则在特定位置的自组装域仅与另一个位置处的壁元件相互作用。例如,在图9中,自组装域225A可仅与未被壁元件220B遮挡的壁元件220A的区域相互作用,且可仅与位于从自组装域225A起始的直线中的壁元件220B的区域相互作用。类似地,如果其间具有壁元件,则可以防止两个自组装域相互作用。例如,自组装域225A可与自组装域225B和225C相互作用,但由于壁220B的居间壁材料而不与自组装域225D相互作用。可在实际中通过将等式(7)或(8)中的和限制为仅仅那些不被居间壁元件分隔的域-壁元件或域-域对实现这种效果。
图10A-10C示例出根据本发明的实施例的等式(8)的项。在图10A中,域A可与导向图形GP的壁W的未被域B沿直线遮挡的所有区域相互作用。类似地,域B可与导向图形GP的壁W的未被域A沿直线遮挡的所有区域相互作用。域A也可与域B相互作用。在图10B中,域到导向图形壁的相互作用g(r)被绘制为能量(其中T是温度,且kB是玻尔兹曼常数)相对于域到壁距离的变化。由于图10A是对称的,图10B对于域A和域B二者来说是一样的。在图10C中,域到域的相互作用h(r)被绘制为能量相对于域到域距离的变化。
可以使用等式(8)来获得对F的快速和精确的近似,以用于设计两个模式(即,以上描述的正向模式和反向模式)中的导向图形。在正向模式中,模型被用来预测给定导向图形形状内的自组装域位置。这些位置可随后与所需的目标设计进行比较,且导向图形形状被反复地修改并用模型重新评估,直到获得所需的设计。
图11是根据本发明的实施例的正向导向图形设计方法的流程图。在步骤230中,规定圆柱体域(例如,图2C的内部域135A和135B)的数目和位置。在步骤235中,生成初始的导向图形。这变成当前的导向图形。在步骤240中,定义自组装域概率模型(例如,等式8或12),且在步骤245中,使用当前的导向图形,将概率模型用于对圆柱体域的数目和位置(F的最小值)进行建模。在步骤250中,将关于圆柱体域的数目和位置的模拟结果与圆柱体域的规定数目和规定位置进行比较。如果模拟的域的数目与域的规定数目一样且位置在从规定位置起的规定范围内,则该方法终止,否则方法前进到步骤260。在步骤260中,修改当前的导向图形,且方法循环回到步骤245。可选地,在步骤255中,也可使用基于F在每个最小值处的特性的额外滤波器。例如,可要求F的阈值,确保在每个最小值处的自组装圆柱体形成的高概率。可要求F在每个最小值处具有高曲率,暗示该位置是良好规定的位置。在F中具有低曲率的最小值对应于宽波谷(trough),这些波谷具有许多近似相等概率的可能的圆柱体位置。通过对由F预测的圆柱体位置与在给定的导向图形形状中通过实验观察到的圆柱体位置的比较而对该方法进行的测试表明域位置中1-2nm的预测性均方根精确度。
如果等式(8)是可微的(可计算F对圆柱体或壁位置的导数),则有可能替代地在反向模式中操作。在反向模式中,规定圆柱体域的数目和位置,且基于F的导数的值直接优化导向图形形状。这产生了用于给定图形的理想的导向图形形状,而不用正向模型的比较和修改的迭代循环。
在反向模式中,从规定的域位置{(xi,yi,)}构造初始导向图形形状{(xl(0),yl(0))}(0表示初始或第0个优化步骤),且导向图形形状被优化以最小化F({(xi,yi)},{(xl,yl)})。通过最小化F({(xi,yi)},{(xl,yl)}),在规定的域位置处找到自组装圆柱体的概率被最大化。F对描述导向图形形状的xl和yl变量的偏导数,即,
Figure BDA00002925875500151
Figure BDA00002925875500152
的集合,提供了关于F将如何随着导向图形形状的变化而变化的信息。如果偏导数是正的,则在x0增大时F将增大且在x0减小时F将减小。如果特定的偏导数是零,则F将不会受到该变量中的小变化的影响。在F的局域极值(极小值或极大值)处,所有的偏导数是零。假设可得到F的偏导数,则可使用简单的基于梯度的优化(或更复杂的优化算法)来找到使F最小化的导向图形形状。基于梯度的优化是迭代算法,其通过沿与相应的偏导数方向相反的小增量来更新优化变量:
x l ( n + 1 ) = x l ( n ) - &gamma; &PartialD; F ( n ) &PartialD; x l - - - ( 9 )
y l ( n + 1 ) = y l ( n ) - &gamma; &PartialD; F ( n ) &PartialD; y l - - - ( 10 )
其中:
n是优化的迭代步骤,
γ是控制下降(descent)的步长的正常数,
F(n)代表F({(xi,yi)},{(xl(n),yl(n))}),
{xi,yi}是自组装域的位置,且
{xl,yl}是导向图形开口的壁的位置。
步长γ具有初始大值,但如果在任意点F(n+1)>F(n),则迭代n+1被拒绝,γ降低,并产生{(xl(n+1),yl(n+1))}导向图形坐标的新集合。继续梯度下降迭代,直到发现F中的最小值。很重要地,注意,圆柱体位置{(xi,yi)}在该优化期间保持固定;仅允许描述导向图形形状{(xl,yl)}的点变化。在图2中示意性地示出了将该方法用于优化导向图形形状。可以类似地使用替代的优化体系。
图12A-12D示例出根据本发明的实施例的基于等式(8)或(12)的导数的梯度基优化算法。在图12A中,规定初始导向图形265以及规定的域位置270A和270B。在图12B中,F(0)是例如-20,且箭头的方向和长度指示使用基于
Figure BDA00002925875500165
Figure BDA00002925875500166
的等式(9)和(10)对导向图形265进行的调整的方向和幅度。在图12C中,在图12B中调用优化后,如由箭头所指示的,仍然需要做一些调整,但是F(1)已被减小到例如-50。在图12D中,在图12C中调用优化后,如没有箭头所指示的,不需要进行进一步的调整,且F(2)已被减小到例如-80。
简单地使用F作为目标函数将找到最有可能在所需的位置处产生自组装圆柱体的导向图形形状,但不能保证这些所需的位置对应于F的局域最小值。用数学语言来说,优化保证了F在所有的导向图形形状坐标中的偏导数是零,但不能保证F在自组装圆柱体位置中的偏导数也是零。这意味着有可能在优化后的导向形状内移动自组装圆柱体位置以达到更低的F值,代价是不再满足目标设计。为了同时也优化该第二条件,可使用拉格朗日乘子方法。拉格朗日乘子方法是用于优化须经附加约束的函数(在该情况下是F)的数学技术。新函数被建立为由原始函数以及用于每个约束条件的一个项构成,其是新变量、拉格朗日乘子以及当满足约束条件时为零的项的乘积。
为了同时最小化F并保证F对自组装圆柱体位置{(xi,yi)}的偏导数是零,我们引入了新的目标函数G:
G = F + &Sigma; i = 1 N ( &lambda; i ( &PartialD; F &PartialD; x i ) 2 + &mu; i ( &PartialD; F &PartialD; y i ) 2 ) - - - ( 11 )
其中:
λi和μi是F在圆柱体位置i处的导数的x分量和y分量的拉格朗日乘子(>0)。
使G最小化要求同时使F最小化且满足这些导数成为零的条件。由于G现在含有
Figure BDA00002925875500163
中的项,G对导向图形形状的偏导数
Figure BDA00002925875500164
本身将含有形状的成分
Figure BDA00002925875500172
这些二次导数成分反映了在特定点处改变导向形状会如何改变F在特定的圆柱体位置处的导数,并且这些二次导数成分是F的理想形式为什么二次可微的原因。可类似地引入其他形式的约束条件(例如关于F的更高次导数的约束条件或反映光刻设计规则的约束条件)。在图13中示例出优化F与优化G之间的差异。
图13A-13C示例出将导数优化到最小值与将导数优化到零之间的差异。在图13A中示例出导向图形275和两个规定域位置280A和280B。F对这些域位置的偏导数如箭头所示。在图13B中,仅对F的优化(从而
Figure BDA00002925875500175
不都是零)产生了从相应的规定位置280A和280B偏移的实际域位置285A和285B。在图13C中,G被优化,而不是F被优化(
Figure BDA00002925875500176
Figure BDA00002925875500177
都是零),因此实际的域290A和290B与各个相应的规定位置280A和280B位于同一位置。对G进行优化找到了F的最小值,该F的最小值也确保自组装圆柱体位置是稳定的且不会在DSA过程期间移动。
大部分的掩模设计,在其明确地规定所需的自组装圆柱体域位置时,也隐含地规定不想要的自组装圆柱体位置(即,不要求自组装圆柱体的任何地方)。这可以在反向模型中通过添加L个“不想要的位置”的新集合{(xu,yu)}并优化修改后的F’而被处理;
F &prime; ( { x i , y i } , { x l , y l } , { x u , y u } ) &ap;
&Sigma; i = 1 N &Sigma; l = 1 M g ( x i , y i , x l , y l ) + &Sigma; i = 1 N - 1 &Sigma; j = i + 1 N h ( x i , y i , x l , y l ) - &Sigma; u = 1 L &Sigma; l = 1 M g ( x u , y u , x l , y l ) - - - ( 12 )
其中:
F’是在导向图形形状内形成一组自组装域的相对概率,其被表示为作用于自组装域上的有效势,
{xi,yi}是自组装域的位置,
{xl,yl}是导向图形开口的壁的位置,
{xu,yu}是其中将不形成自组装域的位置,
g是描述被建模为圆柱体的自组装域和导向图形开口的壁的相互作用的函数,
h是描述被建模为圆柱体的自组装域的对之间的相互作用的函数,
N是导向图形开口内的自组装域的数目,
M是限定导向图形开口的壁位置的点的数目;以及
L是其中将不形成自组装域的位置的数目。
仅依赖于距离而不依赖于位置的等式(12)的对应形式是:
F &prime; ( { x i , y i } , { x l , y l } ) &ap;
&Sigma; i = 1 N &Sigma; l = 1 M g ( ( x i - x l ) 2 + ( y i - y l ) 2 ) + &Sigma; i = 1 N - 1 &Sigma; j = i + 1 N h ( ( x i - x j ) 2 + ( y i - y j ) 2 ) - &Sigma; u = 1 L &Sigma; l = 1 M g ( ( x u - x l ) 2 + ( y u - y l ) 2 ) - - - ( 13 )
再一次,可以与等式9和10类似地通过梯度基优化来直接优化F’:
x l ( n + 1 ) = x l ( n ) - &gamma; &PartialD; F &prime; ( n ) &PartialD; x l - - - ( 14 )
y l ( n + 1 ) = y l ( n ) - &gamma; &PartialD; F &prime; ( n ) &PartialD; y l - - - ( 15 )
如果需要,也可以使用拉格朗日乘子方法来额外地保证:通过以与等式12相同的方式通过构造新的目标函数G’,F’的优化也确保目标过孔(via)位置对应于F’的局域最小值:
G &prime; = F &prime; + &Sigma; i = 1 N ( &lambda; i ( &PartialD; F &prime; &PartialD; x i ) 2 + &mu; i ( &PartialD; F &prime; &PartialD; y i ) 2 ) - - - ( 16 )
通过数值方法使G’优化将产生这样的导向图形形状:(i)其使自组装域在所需的位置处形成的概率最大化;(ii)其使在不想要的位置处形成自组装域的概率最小化;以及(iii)确保目标过孔位置对应于F’中的局域最小值(概率中的局域最小值)。如果存在所需的分辨率的其他已知特性(例如,导向图形形状的平滑度或尺寸),则这些其他已知特性可以通过以类似的方式将额外的项添加到F’或G’而被包括在该优化中。
图14是根据本发明的实施例的反向导向图形设计方法的流程图。在步骤300中,规定圆柱体域的数目和位置(例如,图2C的内部域135A和135B)。在步骤305中,生成导向图形。在步骤310中,定义自组装域概率模型(例如等式8或12),且在步骤315中,使用该导向图形将该概率模型用于对圆柱体域的数目和位置进行建模。在步骤320中,确定模型的偏导数,且在步骤325中,使用基于模型的偏导数的优化算法,使规定数目的圆柱体域在规定位置处的形成的概率最大化。在一个例子中,优化算法是如上所述的梯度下降算法。
所属技术领域的技术人员知道,本发明可以实现为系统、方法或计算机程序产品。因此,本公开可以具体实现为以下形式,即:可以是完全的硬件、也可以是完全的软件(包括固件、驻留软件、微代码等),还可以是硬件和软件结合的形式,本文一般称为“电路”、“模块”或“系统”。此外,在一些实施例中,本发明还可以实现为在一个或多个计算机可读介质中的计算机程序产品的形式,该计算机可读介质中包含计算机可读的程序代码。
可以采用一个或多个计算机可读的介质的任意组合。在一个例子中,计算机可读介质是计算机可读存储介质。计算机可读存储介质例如可以是——但不限于——电、磁、光、电磁、红外线、或半导体的系统、装置或器件,或者任意以上的组合。计算机可读存储介质的更具体的例子(非穷举的列表)包括:具有一个或多个导线的电连接、便携式计算机磁盘、硬盘、随机存取存储器(RAM)、只读存储器(ROM)、可擦式可编程只读存储器(EPROM或闪存)、光纤、便携式紧凑磁盘只读存储器(CD-ROM)、光存储器件、磁存储器件、或者上述的任意合适的组合。在本文件中,计算机可读存储介质可以是任何包含或存储程序的有形介质,该程序可以被指令执行系统、装置或者器件使用或者与其结合使用。
在一个例子中,计算机可读介质是计算机可读的信号介质。计算机可读的信号介质可以包括在基带中或者作为载波一部分传播的数据信号,其中承载了计算机可读的程序代码。这种传播的数据信号可以采用多种形式,包括——但不限于——电磁信号、光信号或上述的任意合适的组合。计算机可读的信号介质还可以是计算机可读存储介质以外的任何计算机可读介质,该计算机可读介质可以发送、传播或者传输用于由指令执行系统、装置或者器件使用或者与其结合使用的程序。
在一个例子中,计算机可读介质上包含的程序代码可以用任何适当的介质传输,包括——但不限于——无线、电线、光缆、RF等等,或者上述的任意合适的组合。
可以以一种或多种程序设计语言或其组合来编写用于执行本发明操作的计算机程序代码,所述程序设计语言包括面向对象的程序设计语言—诸如Java、Smalltalk、C++,还包括常规的过程式程序设计语言—诸如”C”语言或类似的程序设计语言。程序代码可以完全地在用户计算机上执行、部分地在用户计算机上执行、作为一个独立的软件包执行、部分在用户计算机上部分在远程计算机上执行、或者完全在远程计算机或服务器上执行。在涉及远程计算机的情形中,远程计算机可以通过任意种类的网络——包括局域网(LAN)或广域网(WAN)—连接到用户计算机,或者,可以连接到外部计算机(例如利用因特网服务提供商来通过因特网连接)。
下面将参照本发明的实施例的方法、装置(系统)和计算机程序产品的流程图和/或框图描述本发明。应当理解,流程图和/或框图的每个方框以及流程图和/或框图中各方框的组合,都可以由计算机程序指令实现。这些计算机程序指令可以提供给通用计算机、专用计算机或其它可编程数据处理装置的处理器,从而生产出一种机器,这些计算机程序指令通过计算机或其它可编程数据处理装置执行,产生了实现流程图和/或框图中的方框中规定的功能/操作的装置。
也可以把这些计算机程序指令存储在能使得计算机或其它可编程数据处理装置以特定方式工作的计算机可读介质中,这样,存储在计算机可读介质中的指令就产生出一个包括实现流程图和/或框图中的方框中规定的功能/操作的指令装置(instruction means)的制造品(manufacture)。
也可以把计算机程序指令加载到计算机、其它可编程数据处理装置、或其它设备上,使得在计算机、其它可编程数据处理装置或其它设备上执行一系列操作步骤,以产生计算机实现的过程,从而使得在计算机或其它可编程装置上执行的指令能够提供实现流程图和/或框图中的方框中规定的功能/操作的过程。
通常,在此描述的针对用于设计形貌图形以使在衬底的规定位置处的自组装域的形成定向的方法用通用计算机实施,且以上在图11和14的流程图中描述的方法可被编码为可移动的或硬盘介质上的一组指令,以供通用计算机使用。
图15是可用于实现在此公开的优选方法的计算机的示意性框图。在图15中,计算机系统400具有至少一个微处理器或中央处理单元(CPU)405。CPU405通过系统总线410被互连到随机存取存储器(RAM)415、只读存储器(ROM)420、用于连接可移动的数据和/或程序存储装置430以及海量数据和/或程序存储装置435的输入/输出(I/O)适配器425、用于连接键盘445和鼠标450的用户接口适配器440、用于连接数据端口460的端口适配器455、以及用于连接显示器装置470的显示器适配器465。
ROM420含有用于计算机系统400的基础操作系统。操作系统替代地也可驻留于RAM415中,或本领域已知的其他地方。可移动的数据和/或程序存储装置430的例子包括诸如软盘驱动器和磁带驱动器的磁介质以及诸如CD ROM驱动器的光介质。海量数据和/或程序存储装置435的例子包括电子装置、磁装置、光装置、电磁装置、红外装置和半导体装置。计算机可读介质的例子包括半导体或固态存储器、磁带、可移动的计算机磁盘、随机存取存储器(RAM)、只读存储器(ROM)、硬磁盘和光盘。光盘的当前例子包括紧凑磁盘-只读存储器(CD-ROM)、紧凑磁盘-读/写(CD-R/W)和DVD。除了键盘445和鼠标450之外,还可以将诸如轨迹球、写字板、压垫、麦克风、光笔和位置感测屏幕显示器的其他用户输入装置连接到用户接口440。显示器装置的例子包括阴极射线管(CRT)和液晶显示器(LCD)。
具有合适的应用界面的计算机程序可由本领域技术人员创建,并被存储在系统或数据和/或程序存储装置上,以简化本发明的实施。在操作中,通过数据端口460馈送或使用键盘445来打字,为了运行本发明而创建的计算机程序的信息被加载在合适的可移动的数据和/或程序存储装置430上。
因此,本发明的实施例提供了用于设计形貌图形(即导向图形)的方法和计算机程序产品,用于使在衬底上的规定位置处自组装域的形成定向,其中,实际域的数目将与规定域的数目一样且它们将在规定位置处形成的概率非常高。
以上已经描述了本发明的各实施例,上述说明是示例性的,并非穷尽性的,并且也不限于所披露的各实施例。在不偏离所说明的各实施例的范围和精神的情况下,对于本技术领域的普通技术人员来说许多修改和变更都是显而易见的。本文中所用术语的选择,旨在最好地解释各实施例的原理、实际应用或对市场中的技术的技术改进,或者使本技术领域的其它普通技术人员能理解本文披露的各实施例。

Claims (30)

1.一种设计在衬底上的层中的导向图形开口的方法,当所述导向图形开口被经过定向自组装的自组装材料填充时,所述导向图形开口在所述导向图形开口内的规定位置处产生一组自组装域,该方法包括:
(a)规定自组装域的数目和对应位置;
(b)基于自组装域的所述规定数目和规定位置生成初始导向图形开口的数学描述,并指定所述初始导向图形开口作为当前导向图形开口;
(c)使用计算机,计算数学模型以产生所述当前导向图形开口内的自组装域的计算出的数目和计算出的高概率位置,其中所述函数表示所述自组装域将在所述当前导向图形开口内的所述规定位置处形成的相对概率;
(d)将所述数学模型的高概率位置的所述计算出的数目与自组装域的所述规定数目进行比较,并将所述高概率位置的所述计算出的位置与自组装域的所述规定位置进行比较;
(e)基于步骤(d)的所述比较,调整所述当前导向图形开口;以及
(f)重复步骤(c)到(e),直到(i)自组装域的所述计算出的数目与自组装域的所述规定数目相同且(ii)自组装域的所述高概率位置与自组装域的所述规定位置在规定范围内一致。
2.如权利要求1所述的方法,其中所述数学模型通过这样的函数而被近似:该函数包括第一成分和第二成分,所述第一成分表示所述导向图形开口与在特定位置处形成的自组装域之间的相互作用,所述第二成分表示在所述特定位置处形成的自组装域与所述当前导向图形开口内的所有其他自组装域之间的相互作用。
3.如权利要求2所述的方法,包括:
将所述当前导向图形开口分为一组几何元件。
4.如权利要求3所述的方法,其中所述导向图形开口由一组二维点、线段、曲线段或其组合表示。
5.如权利要求3所述的方法,其中所述第一相互作用是与所述几何元件对应的成分的和。
6.如权利要求3所述的方法,其中所述第一成分不包括与所述导向图形开口的这样的几何元件的相互作用:这些几何元件通过另一几何元件而与所述自组装域位置分隔。
7.如权利要求3所述的方法,其中所述第二成分不包括通过所述导向图形开口的几何元件而与所述自组装域位置分隔的其他自组装域的相互作用。
8.如权利要求2所述的方法,其中所述预测的自组装域:(i)被建模为与平面垂直地取向的圆柱体,以及(ii)在所述数学模型内被表示为由每个圆柱体的轴与所述平面的相交所给出的点。
9.如权利要求2所述的方法,其中所述第二相互作用是所述自组装域的所有不同对的相互作用的总和。
10.如权利要求2所述的方法,其中所述数学模型进一步通过第三成分被近似,该第三成分表示在所述导向图形开口内的被规定为将不形成自组装域的位置。
11.如权利要求10所述的方法,其中所述第三成分相互作用是在(i)被规定为不形成自组装域的位置与(ii)所述导向图形开口之间的相互作用的和。
12.如权利要求1所述的方法,其中所述数学模型被表示为:
F ( { x i , y i } , { x l , y l } ) &ap; &Sigma; i = 1 N &Sigma; l = 1 M g ( ( x i - x l ) 2 + ( y i - y l ) 2 ) + &Sigma; i = 1 N - 1 &Sigma; j = i + 1 N h ( ( x i - x j ) 2 + ( y i - y j ) 2 )
其中:
F是在导向图形形状内形成一组自组装域的相对概率,其被表示为作用于自组装域上的有效势,
{xi,yi}是自组装域的位置,
{xl,yl}是导向图形开口的壁的位置,
g是描述被建模为圆柱体的自组装域和所述导向图形开口的壁的相互作用的函数,
h是描述被建模为圆柱体的自组装域的对之间的相互作用的函数,
N是所述导向图形开口内的自组装域的数目,以及
M是限定所述导向图形开口的壁位置的点的数目。
13.如权利要求1所述的方法,其中所述数学模型被表示为:
F &prime; ( { x i , y i } , { x l , y l } ) &ap;
&Sigma; i = 1 N &Sigma; l = 1 M g ( ( x i - x l ) 2 + ( y i - y l ) 2 ) + &Sigma; i = 1 N - 1 &Sigma; j = i + 1 N h ( ( x i - x j ) 2 + ( y i - y j ) 2 ) - &Sigma; u = 1 L &Sigma; l = 1 M g ( ( x u - x l ) 2 + ( y u - y l ) 2 )
其中:
F’是在导向图形形状内形成一组自组装域的相对概率,其被表示为作用于自组装域上的有效势,
{xi,yi}是自组装域的位置,
{xl,yl}是导向图形开口的壁的位置,
{xu,yu}是其中将不形成自组装域的位置,
g是描述被建模为圆柱体的自组装域和所述导向图形开口的壁的相互作用的函数,
h是描述被建模为圆柱体的自组装域的对之间的相互作用的函数,
N是所述导向图形开口内的自组装域的数目,
M是限定所述导向图形开口的壁位置的点的数目;以及
L是其中将不形成自组装域的位置的数目。
14.一种设计在衬底上的层中的导向图形开口的方法,当所述导向图形开口被经过定向自组装的自组装材料填充时,所述导向图形开口在所述导向图形开口内的规定位置处产生一组自组装域,该方法包括:
(a)规定自组装域的数目和对应位置;
(b)基于自组装域的所述规定数目和规定位置生成导向图形开口的数学描述;
(c)基于所述导向图形开口的所述数学描述,定义形成自组装域的概率的数学模型;
(d)使用计算机,使用所述数学模型计算所述规定数目的自组装域将在所述导向图形开口内的所述规定位置处形成的概率;
(e)确定所述数学模型对所述导向图形开口的所述数学描述的参数的导数;以及
(f)使用所述导数来使在所述初始导向图形开口内的所述规定位置处形成自组装域的概率最大化,以使用优化算法来调整限定所述导向图形开口的壁的所述位置。
15.如权利要求14所述的方法,其中所述优化算法是迭代优化算法,该迭代优化算法重复步骤(d)到(f),直到自组装域在所述规定位置处形成的概率达到规定值。
16.如权利要求14所述的方法,其中所述优化算法执行梯度下降迭代,以将所述导数降低到规定值,在优化期间所述规定自组装域位置保持固定,且其中仅允许(i)所述导向图形开口的所述数学描述的参数和(ii)梯度下降的步长变化。
17.如权利要求16所述的方法,其中在所述梯度下降迭代中使用的所述数学模型的所述导数被表示为:
x l ( n + 1 ) = x l ( n ) - &gamma; &PartialD; F ( n ) &PartialD; x l
y l ( n + 1 ) = y l ( n ) - &gamma; &PartialD; F ( n ) &PartialD; y l
其中:
n是所述优化的迭代步,
γ是控制下降的步长的正的常数,
F(n)表示F({(xi,yi)},{(xl(n),yl(n))}),
{xi,yi}是自组装域的位置,且
{xl,yl}是导向图形开口的壁的位置。
18.如权利要求14所述的方法,其中所述数学模型通过这样的函数而被近似:该函数包括第一成分和第二成分,所述第一成分表示所述导向图形开口与在特定位置处形成的自组装域之间的相互作用,所述第二成分表示在所述特定位置处形成的自组装域与所述当前导向图形开口内的所有其他自组装域之间的相互作用。
19.如权利要求18所述的方法,包括:
将所述当前导向图形开口分为一组几何元件。
20.如权利要求19所述的方法,其中所述导向图形开口由一组二维点、线段、曲线段或其组合表示。
21.如权利要求19所述的方法,其中所述第一相互作用是与所述几何元件对应的成分的和。
22.如权利要求18所述的方法,其中所述数学模型进一步通过第三成分而被近似,所述第三成分表示所述第一成分和所述第二成分对所述自组装域的所述规定位置的导数的幅度。
23.如权利要求18所述的方法,其中所述预测的自组装域被建模为与平面垂直地取向的圆柱体,所述数学模型对由每个圆柱体的轴与所述平面的相交所给出的点进行操作。
24.如权利要求18所述的方法,其中所述第二相互作用是所述自组装域的所有不同对的相互作用的和。
25.如权利要求18所述的方法,其中所述第一成分不包括与所述导向图形开口的这样的几何元件的相互作用:这些几何元件通过至少一个另外的几何元件而与所述自组装域位置分隔。
26.如权利要求18所述的方法,其中所述第二成分不包括通过所述导向图形开口的几何元件而与所述自组装域位置分隔的其他自组装域。
27.如权利要求18所述的方法,其中所述数学模型包括第三成分,其中:
所述第三成分表示在所述导向图形开口内的被规定为将不形成自组装域的位置。
28.如权利要求27所述的方法,其中所述第三成分相互作用是在(i)被规定为不形成自组装域的位置与(ii)所述导向图形开口之间的相互作用的和。
29.如权利要求14所述的方法,其中所述数学模型被表示为:
F ( { x i , y i } , { x l , y l } ) &ap; &Sigma; i = 1 N &Sigma; l = 1 M g ( ( x i - x l ) 2 + ( y i - y l ) 2 ) + &Sigma; i = 1 N - 1 &Sigma; j = i + 1 N h ( ( x i - x j ) 2 + ( y i - y j ) 2 )
其中:
F是在导向图形形状内形成一组自组装域的相对概率,其被表示为作用于自组装域上的有效势,
{xi,yi}是自组装域的位置,
{xl,yl}是导向图形开口的壁的位置,
g是描述被建模为圆柱体的自组装域和所述导向图形开口的壁的相互作用的函数,
h是描述被建模为圆柱体的自组装域的对之间的相互作用的函数,
N是所述导向图形开口内的自组装域的数目,以及
M是限定所述导向图形开口的壁位置的点的数目。
30.如权利要求14所述的方法,其中所述数学模型被表示为:
F &prime; ( { x i , y i } , { x l , y l } , { x u , y u } ) &ap;
&Sigma; i = 1 N &Sigma; l = 1 M g ( ( x i - x l ) 2 + ( y i - y l ) 2 ) + &Sigma; i = 1 N - 1 &Sigma; j = i + 1 N h ( ( x i - x j ) 2 + ( y i - y j ) 2 ) - &Sigma; u = 1 L &Sigma; l = 1 M g ( ( x u - x l ) 2 + ( y u - y l ) 2 )
其中:
F’是在导向图形形状内形成一组自组装域的相对概率,其被表示为作用于自组装域上的有效势,
{xi,yi}是自组装域的位置,
{xl,yl}是导向图形开口的壁的位置,
{xu,yu}是其中将不形成自组装域的位置,
g是描述被建模为圆柱体的自组装域和所述导向图形开口的壁的相互作用的函数,
h是描述被建模为圆柱体的自组装域的对之间的相互作用的函数,
N是所述导向图形开口内的自组装域的数目,
M是限定所述导向图形开口的壁位置的点的数目;以及
L是其中将不形成自组装域的位置的数目。
CN201310084141.1A 2012-05-16 2013-03-15 用于设计在衬底上的层中的导向图形开口的方法 Active CN103425813B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/472,598 US9298870B1 (en) 2012-05-16 2012-05-16 Method for designing topographic patterns for directing the formation of self-assembled domains at specified locations on substrates
US13/472,598 2012-05-16

Publications (2)

Publication Number Publication Date
CN103425813A true CN103425813A (zh) 2013-12-04
CN103425813B CN103425813B (zh) 2016-04-13

Family

ID=49650548

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310084141.1A Active CN103425813B (zh) 2012-05-16 2013-03-15 用于设计在衬底上的层中的导向图形开口的方法

Country Status (2)

Country Link
US (1) US9298870B1 (zh)
CN (1) CN103425813B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110942483A (zh) * 2019-11-21 2020-03-31 三一重工股份有限公司 函数快速收敛模型构建方法、装置和终端

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6088803B2 (ja) * 2012-11-16 2017-03-01 株式会社日立ハイテクノロジーズ 画像処理装置、自己組織化リソグラフィ技術によるパターン生成方法、及びコンピュータープログラム

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5948470A (en) * 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
CN101681812A (zh) * 2007-06-04 2010-03-24 美光科技公司 使用自组装材料的间距倍增
CN101952947A (zh) * 2007-10-09 2011-01-19 国际商业机器公司 自组装侧壁间隙壁
CN101950719A (zh) * 2009-07-10 2011-01-19 台湾积体电路制造股份有限公司 用于半导体集成电路的自组装图样

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050014151A1 (en) * 2001-09-12 2005-01-20 Marcus Textor Device with chemical surface patterns
US6746825B2 (en) 2001-10-05 2004-06-08 Wisconsin Alumni Research Foundation Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates
US7579278B2 (en) 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US8732625B2 (en) 2007-06-04 2014-05-20 Asml Netherlands B.V. Methods for performing model-based lithography guided layout design
US9183870B2 (en) * 2007-12-07 2015-11-10 Wisconsin Alumni Research Foundation Density multiplication and improved lithography by directed block copolymer assembly
US8010913B2 (en) 2009-04-14 2011-08-30 Synopsys, Inc. Model-based assist feature placement using inverse imaging approach
US8398868B2 (en) 2009-05-19 2013-03-19 International Business Machines Corporation Directed self-assembly of block copolymers using segmented prepatterns
US8821978B2 (en) * 2009-12-18 2014-09-02 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom
US8336003B2 (en) * 2010-02-19 2012-12-18 International Business Machines Corporation Method for designing optical lithography masks for directed self-assembly
WO2013010730A1 (en) * 2011-07-18 2013-01-24 Asml Netherlands B.V. Method for providing a template for a self-assemblable polymer for use in device lithography

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5948470A (en) * 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
CN101681812A (zh) * 2007-06-04 2010-03-24 美光科技公司 使用自组装材料的间距倍增
CN101952947A (zh) * 2007-10-09 2011-01-19 国际商业机器公司 自组装侧壁间隙壁
CN101950719A (zh) * 2009-07-10 2011-01-19 台湾积体电路制造股份有限公司 用于半导体集成电路的自组装图样

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110942483A (zh) * 2019-11-21 2020-03-31 三一重工股份有限公司 函数快速收敛模型构建方法、装置和终端

Also Published As

Publication number Publication date
US9298870B1 (en) 2016-03-29
US20160085896A1 (en) 2016-03-24
CN103425813B (zh) 2016-04-13

Similar Documents

Publication Publication Date Title
US7689968B2 (en) Proximity effect correction with regard to a semiconductor circuit design pattern
US9836556B2 (en) Optical proximity correction for directed-self-assembly guiding patterns
US9053279B2 (en) Pattern modification with a preferred position function
US8336003B2 (en) Method for designing optical lithography masks for directed self-assembly
Milonni et al. Chaos in laser-matter interactions
US9965577B2 (en) System and method for performing directed self-assembly in a 3-D virtual fabrication environment
TWI680378B (zh) 用於半導體裝置結構之虛擬製造環境之非暫態電腦可讀媒體、計算裝置實施方法以及虛擬製造系統
US9330228B2 (en) Generating guiding patterns for directed self-assembly
US10185795B1 (en) Systems and methods for statistical static timing analysis
EP2746974A2 (en) Multi dimensional virtual experimental apparatus and method for nano device design
CN103425813B (zh) 用于设计在衬底上的层中的导向图形开口的方法
Severi et al. Power spectral density as template for modeling a metal-oxide nanocluster resist to obtain accurate resist roughness profiles
TWI512389B (zh) 定向自組裝製程/鄰近校正之方法
US20180129765A1 (en) Systems and Methods for Providing Approximate Electronic-Structure Models from Calculated Band Structure Data
Wu et al. Photoresist 3D profile related etch process simulation and its application to full chip etch compact modeling
Latypov Computational solution of inverse directed self-assembly problem
Lindsey et al. Inverse modeling of FIB milling by dose profile optimization
Graves et al. DSA graphoepitaxy calibrations for contact hole multiplication
Pang et al. Source mask optimization (SMO) at full chip scale using inverse lithography technology (ILT) based on level set methods
US20210256189A1 (en) Continuous global representation of local data using effective areas in integrated circuit layouts
Banerjee et al. Methods for joint optimization of mask and design targets for improving lithographic process window
US9032357B1 (en) Generating guiding patterns for directed self-assembly
JP2012244082A (ja) 露光データの生成方法
US20210174969A1 (en) Cellular automata model of stem-cell-driven growth of spinal cord tissue
Mirsaeedi et al. A statistical yield optimization framework for interconnect in double patterning lithography

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant