CN102495568B - Development board of network multi-core processor on test board based on four field programmable gate arrays (FPGA) - Google Patents

Development board of network multi-core processor on test board based on four field programmable gate arrays (FPGA) Download PDF

Info

Publication number
CN102495568B
CN102495568B CN201110397889.8A CN201110397889A CN102495568B CN 102495568 B CN102495568 B CN 102495568B CN 201110397889 A CN201110397889 A CN 201110397889A CN 102495568 B CN102495568 B CN 102495568B
Authority
CN
China
Prior art keywords
fpga
chip
development board
power supply
transmission channel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201110397889.8A
Other languages
Chinese (zh)
Other versions
CN102495568A (en
Inventor
潘红兵
黄晓林
何书专
杨虎
谢林
黄辰
申济松
陈荣尚
凌梦
易伟
韩正飞
李丽
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nanjing University
Original Assignee
Nanjing University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nanjing University filed Critical Nanjing University
Priority to CN201110397889.8A priority Critical patent/CN102495568B/en
Publication of CN102495568A publication Critical patent/CN102495568A/en
Application granted granted Critical
Publication of CN102495568B publication Critical patent/CN102495568B/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)
  • Logic Circuits (AREA)

Abstract

The invention discloses a development board of a network multi-core processor on a test board based on four field programmable gate arrays (FPGA). Four FPGA chips are interconnected to form an entire interconnected structure; each FPGA chip is provided with a GTX transmission channel and a GPIO transmission channel which are respectively connected with the other three FPGA chips; and each FPGA chip is provided with a power management module, a board level clock drive module and a memory system. Date input and output ports of the development board are respectively arranged on the second and the fourth FPGA chips; and the data input and output ports are full-duplex differential 2.5Gbps fiber interfaces. The emulated memory bandwidth of the development board reaches 759.2Gbps which is far from being achieved by the current circuit designs of the other development boards with multiple FPGAs; with the interconnection of the chips, the throughput is above 30Gbps; and the development board provides enough hardware resource for FPGA hardware designers so as to test and realize the design of a prototype chip of a VL (very large) multi-core processor based on NoC.

Description

Development board based on the checking network-on-chip polycaryon processor of four FPGA
Technical field
The present invention relates to integrated circuit technique, for being used for the development board of multi-core network processor design/checking, be applicable to the design verification of VLSI (very large scale integrated circuit) software-hardware synergism, be the platform of network-on-chip polycaryon processor software-hardware synergism testing authentication, be specially a kind of development board of the checking network-on-chip polycaryon processor based on four FPGA.
Background technology
Because the single-chip processor based on traditional SOC (system on a chip) SoC (System-on-Chip) is faced with very big problem at aspects such as core frequency, chip-on communication, power consumption and areas, polycaryon processor based on network-on-chip NoC (Network-on-Chip) arises at the historic moment, and has solved the problems that the former faces from architectural framework.The NoC interconnection structure has advantages such as parallel communications, favorable expandability and handling capacity between IP be big, and solved polycaryon processor architectural question and perplex bus-structured global clock problem.Therefore, the NoC interconnection structure is the most promising solution of polycaryon processor system.
At present, fpga chip is as carrying and the checking approach based on the polycaryon processor hardware design of NoC, become the research focus, but along with the scale of hardware design constantly increases, the hardware resource of monolithic FGPA can not satisfy the polycaryon processor demand based on NoC on the one hand, consider the computing based on the most data-oriented intensity of the polycaryon processor of NoC on the other hand, to imitative deposit and sheet between the data communication throughput require very high.
Reference paper: " based on software optimization on the H3MP-16 polycaryon processor sheet ", " electronic measurement technique " the 33rd the 6th phase of volume.
Summary of the invention
The problem that the present invention need solve: existing monolithic FPGA development board can not satisfy the demand of the required hardware resource of VLSI (very large scale integrated circuit) designs, how development board design faces regarded as output controlling plate hardware resource, the polycaryon processor that satisfies NoC to imitative deposit and sheet between the requirement of data communication throughput, and guarantee the problem of development board stable operation.
Technical scheme of the present invention is: based on the development board of the checking network-on-chip polycaryon processor of four FPGA, connect and compose full interconnect architecture between four fpga chips, each sheet fpga chip all is provided with the GTX transmission channel and is connected with other three fpga chips respectively with the GPIO transmission channel, described GTX transmission channel by 4 the tunnel independently the RocketIO passage form, the GTX transmission channel provides 64 bit wides, 125MHz, the data transmission of 10Gbps, the GPIO transmission channel is made up of the general I/O GPIO of 10 pairs of single-ended mode; Every fpga chip is respectively equipped with power management module, plate level timepiece drive module and storage system, and storage system comprises 3 groups 16 DDR3SDRAM, 2 36 SRAM and 2 24 s' Flash; Be respectively equipped with data input and the data output interface of development board on second fpga chip and the 4th fpga chip, described data input and data output interface are the 2.5Gbps light mouth of full duplex difference.
Fpga chip is Xilinx XC6VLX550T fpga chip, be provided with the general I/O GPIO interface of full duplex difference high speed GTX interface LVDS and single-ended mode, the GTX transmission channel connects by the LVDS interface, the GPIO transmission channel connects by the GPIO interface, the jtag interface of 4 fpga chips is connected into daisy chain, by the JTAG chain type and in conjunction with the iMPACT software of Xilinx configuration FPGA.
The power management module of fpga chip is: outside voltage stabilizing power supply 12V, adopt DC-DC Switching Power Supply and LDO linear stabilized power supply, the 12V power supply of outside voltage stabilizing power supply is converted to the power supply of 0.75V, 1.0V, 1.5V, 1.8V, 2.5V, 5V through the DC-DC Switching Power Supply, and the 5V power supply is converted to 1.2V and 3.3V power supply through the LDO linear stabilized power supply.
Plate level timepiece drive module comprises two kinds of real-time clocks, a kind of is external active crystal oscillator, the EG-2101CA125M of employing EPSON company provides the differential clocks of 125MHz to drive for the GTX transmission channel of each chip, another kind utilizes the passive crystal oscillator of 25MHz and the low noise frequency synthesizer ICS843001I-22 of ICS company, output frequency by configuration and adjusting ICS843001I-22 chip produces the 200MHz differential clocks, and tell four tunnel storage unit that offer every fpga chip by clock chip ICS8543BGT, provide the reference difference clock to DDR3SDRAM.
In the storage unit, be 8bit by 2 bit wides, capacity is that the MT41J256M8HX-15E chip composition of 2Gb obtains the DDR3SDRAM that a pool-size is 4Gb bit wide 16bit, the data of 2 MT41J256M8HX-15E die chips, clock, read-write flash signal and data mask signal are controlled respectively by FPGA, and address and control command signal are shared; 2 Flash are BPI NOR Flash, capacity is 256Mbit, bit wide is 16bit, a slice Flash wherein has the bit file of hardware design program and the bit file of software program design, in the development board initialization procedure, when development board powers on back or fpga chip self reset key when effective, the bit file of the bit file that development board is designed program from described Flash loaded with hardware and software program design is realized configuration and programming to FPGA BPI mode to the local program storer of each FPGA.
The PCB structural sheet of development board is clipped in signals layer between stratum and the bus plane.
The present invention has designed a kind of network-on-chip polycaryon processor checking development board based on four FPGA, satisfies hardware resource requirements, is that prior art does not all reach.Innovation part one of the present invention is, integrated 12 groups of DDR3SCRAM are with 3 groups on the every fpga chip on a development board, and it is imitative deposits bandwidth and reach 759.2Gbps, and this is that the circuit design of other many FPGA development board at present is far inaccessiable; Two, adopt the totally interconnected and totally interconnected dual mode of GPIO transmission channel of GTX transmission channel between fpga chip simultaneously, the GTX transmission channel has the transmitted data amount of 4 * 3.125Gbps, the GPIO transmission channel is totally interconnected for transmission command, and its chip interconnect throughput is greater than 30Gbps.The development board of the integrated four Xilinx XC6VLX550T fpga chips of the present invention can provide abundant hardware resource and port for FPGA hardware design personnel, so that checking and realization are based on the prototype chip design of the polycaryon processor of NoC, also for calculating the condition that provides at network-on-chip NoC research multi-core parallel concurrent later on.
The processing unit that the present invention can be assigned to a plurality of subtasks of task division that needs are handled on the different fpga chips is handled respectively, thus the parallelization that the realization task is handled; Data signaling rate and lock in time etc. are not only depended on the communication speed of processing unit itself between its task, also depend on the communication interconnected network that connects processing unit, so the full duplex difference high speed GTX interface LVDS(Low-Voltage Differential Signaling that four chips of development board of the present invention utilize FPGA to provide) and the High Speed General I/O GPIO of single-ended mode, realize full internet structure between sheet; Wherein the Aurora agreement is followed in the data communication of difference high speed GTX interface LVDS, realize the high-speed transfer of the mass data between FPGA, and the high speed GPIO of single-ended mode provides the self defined interface space for FPGA developer.
The present invention has designed a kind of integrated development board of four Xilinx XC6VLX550T fpga chips, can provide abundant hardware resource for FPGA hardware design personnel, so that checking and realize prototype chip design based on the ultra-large polycaryon processor of NoC.
Advantage of the present invention:
1) Feng Fu logical resource, the 4 total logical resource of FPGA: 549888*4=2199552;
2) Feng Fu storage resources, 24 DDR3 amount to 48Gb, and the outer SRAM of sheet reaches 256Mb, and ram in slice amounts to 115.8Mb.
3) the storage port throughput is big: DDRIII400MHz*16*12=76.8Gbps, the outer SRAM167MHz*64*4=42.752Gbps of sheet;
4) FPGA design flexibility, highly versatile: can adopt different processors, also can adopt identical processor, as ARM etc.;
5) the emulation integrated software is supported: the third-party Modelsim of simulation software can finish functional simulation well, and the ISE of Xilinx company then can finish comprehensively well.
Description of drawings
Fig. 1 is the The general frame of development board of the present invention.
Fig. 2 is the FPGA subsystem diagram.
Fig. 3 is system power supply design proposal block diagram.
Fig. 4 is low noise frequency synthesizer circuit figure.
Fig. 5 is that FPGA is connected with the interface of DDR3.
Fig. 6 is BPI Flash Interface design.
Fig. 7 is the development board sterogram.
Embodiment
The development board of the present invention's design has 2 innovative points: the one, and imitate and deposit bandwidth much larger than other many FPGA development boards, the 2nd, inter-chip communication throughput super large.
As Fig. 1,4 Xilinx XC6VLX550T chips (FF1759) are arranged on the mainboard of the present invention, chip chamber adopts high speed GTX IO interface and common I/O to realize interconnected entirely, in order to realize the pipeline parallel method of NoC polycaryon processor deal with data, Xilinx is to the title method difference of the high speed serialization transceiver in different generations, V4 period be MGT, early stage V5LXT/SXT is GTP, the V5FXT band that went out afterwards be GTX.The present invention is for the FPGA mainboard versatility in future, adopt full interconnect architecture, each fpga chip is provided with 3 big group GTX IO interfaces and links to each other with the corresponding GTX IO interface of other 3 fpga chips respectively, here GTX IO interface is LVDS, each FPGA connects the existing GTX IO of other 3 FPGA has GPIO to connect again, the connection of GTX IO interface obtains the GTX transmission channel, every group of GTX transmission channel of FPAG chip by 4 the tunnel independently the RocketIO passage form, 64 bit wides are provided, 125MHz, the data transmission of 10Gbps, the transmission channel that GPIO connects is made up of the general I/O GPIO of 10 pairs of single-ended mode, and the GTX transmission channel can be arranged to a lot of patterns such as Rocket IO pattern.As shown in Figure 1,4 fpga chips are interconnected entirely, and throughput reaches 10Gbps between sheet, in the full interconnect architecture, FPGA1 and FPGA2, FPGA3, FPGA4 connects respectively, FPGA2 and FPGA1, FPGA3, FPGA4 connects respectively, FPGA3 and FPGA1, FPGA2, FPGA4 connects respectively, FPGA4 and FPGA1, FPGA2, FPGA3 connects respectively; Overall architecture of the present invention is an isomorphism symmetrical structure, and each fpga chip has identical power management module, plate level timepiece drive module and storage system.As shown in Figure 2, every fpga chip is respectively equipped with power management module, plate level timepiece drive module and storage system, and storage system comprises 3 groups 16 DDR3SDRAM, 2 36 SRAM and 2 24 s' Flash; In addition, be respectively equipped with data input and the data output interface of development board on second fpga chip and the 4th fpga chip, described data input and data output interface are the 2.5Gbps light mouth of full duplex difference.
RocketIO in actual applications, the design of input clock, the setting of PLL parameter and PCB circuit and layout are the greatest factor that influences the data transmission effect, the present invention has carried out optimal design, makes the inter-chip communication throughput with super large of development board.Below main power management module, plate level timepiece drive module and the storage system of describing fpga chip of the present invention, and the PCB structural sheet of briefly describing development board of the present invention self distributes.
Usually, the power supply design has two kinds of implementations: low pressure difference linearity stabilized voltage supply LDO and Switching Power Supply DC-DC.The characteristics of LDO are that power supply precision height, noise are little, relatively are suitable for the precision circuit power supply, and its shortcoming is that output power is often big inadequately, and the conversion efficiency of power is on the low side.The characteristics of DC-DC are power conversion efficiency height, and bigger power can be provided, but its power supply noise of LDO of comparing can increase, so DC-DC generally uses as the entire system stabilized voltage supply or directly powers as high power device.
In order to make system of the present invention have more stability and extendability, the load capacity of power-supply management system of the present invention is the twice of existing estimating power consumption at least.Because system of the present invention needs 0.75V, 1.0V, 1.2V, 1.5V, 1.8V, 2.5V and 3.3V stabilized voltage supply, consider the power consumption of total system of the present invention, the present invention mainly adopts this efficient height of DC-DC, Switching Power Supply that output power is big, the partial circuit that local power consumption is less, accuracy requirement is high then adopts the LDO linear stabilized power supply, fully carries out the design of system power supply in conjunction with Switching Power Supply and linear stabilized power supply advantage separately.The chip of DC-DC Switching Power Supply has adopted the LTM4601 of Linear Techn Inc.; The outside voltage stabilizing power supply 12V of system of the present invention.Power source design of the present invention as shown in Figure 3, the 12V power supply of outside voltage stabilizing power supply is converted to the power supply of 0.75V, 1.0V, 1.5V, 1.8V, 2.5V, 5V through the DC-DC Switching Power Supply, the 5V power supply is converted to 1.2V and 3.3V power supply through the LDO linear stabilized power supply.
The present invention has realized two kinds of real-time clock RTC schemes.A kind of is external active crystal oscillator, and the EG-2101CA125M that selects EPSON company for use provides the differential clocks of 125MHz to drive for the difference high speed GTX interface of total system; Another is to utilize the passive crystal oscillator of 25MHz and the low noise frequency synthesizer ICS843001I-22 of ICS company, can produce the 200MHz differential clocks accurately by configuration and adjusting chip, and tell four tunnel reference difference clocks that offer the DDR3SDRAM of every FPGA institute carry by clock chip ICS8543BGT, the chip physical circuit is referring to Fig. 4.The second way is because the regulating of its clock output frequency makes it have more dirigibility, also just lays a good groundwork for the versatility of whole platform and extensibility.
The capacity that every FGPA chip of the present invention is circumscribed with 3 groups of companies of Micron Technology (Micron) is 2Gb, and the monolithic bit wide is the storer of the MT41J256M8HX-15E (DDR3-1033) of 8bit, by two data buss of forming the 16bit width.XC6VLX550T FPGA can support the SSTL15 level standard, can carry out seamless link with DDR3SDRAM, and the interface of FGPA and DDR3SDRAM as shown in Figure 5.
Just provided wherein being connected of one group of DDR3 and FPGA among Fig. 5, the FPGA BANK that it connects is that the software I SE of the official development environment locking by Xilinx company obtains.The level standard of the different B ANK of FPGA, the user can oneself definition, and the present invention comes to each BANK input power supply with reference to the UCF file that ISE generates, and uses the power supply of 1.5V to power such as the VCCO of BANK28 and BANK38.The data of two DDR3 chips, clock, read-write flash signal and data mask signal use FPGA to control respectively, and address and control command signal are shared.
The FPGA that adopts among the present invention is based on the SRAM framework and realizes logical design, so after power down, programming information is lost immediately.Fpga chip all must be downloaded the configuration data programming file that is generated by design document at every turn again when powering up.Every fpga chip of development board of the present invention is circumscribed with two identical BPI NOR Flash, and capacity is 256Mbit, and bit wide is 16bit.A slice Flash interface wherein uses in the system initialization process, when system powers on back or FPGA prototype chip reset key when effective, the bit file that the Flash loaded with hardware of system outside sheet designed program is realized configuration and programming to FPGA BPI mode to the local program storer of each FPGA; In addition, also be used for loading the bit file of software program design at this a slice Flash.
The XC6VLX550T fpga chip that the present invention adopts can be supported the LVCOMS2.5V level standard, can carry out seamless link with BPI NOR Flash, and the interface of FPGA and BPI NOR Flash as shown in Figure 6.
Two interfaces that BPI NOR Flash links to each other with FPGA among Fig. 6 are specific BANK, are respectively BANK24 and BANK34; The data line of Flash links to each other with FPGA BANK24 with control signal, and address signal links to each other with BANK34, and reset signal wherein links to each other with the PROG_B of BANK0, and hardware design personnel can set up the level standard of corresponding BANK on their own.In addition, in the present invention, 4 fpga chips also are linked to be traditional daisy chain by jtag interface, so that can be by the JTAG chain type and in conjunction with the iMPACT software of Xilinx configuration FPGA, daisy chain is used for downloading design, just the jtag interface of 4 fpga chips is conspired to create 1 daisy chain, like this, whole development board is programmed by an interface with regard to needing only, and the RocketIO passage is used for using to the hardware and software communication that pours into, realize the high-speed transfer of data, thereby improved versatility of the present invention.
PCB structural sheet distribution plan of the present invention is clipped in signals layer between stratum and the bus plane as shown in Figure 7, strengthens anti-interference of the present invention and signal integrity.Development board is when making, and its internal layer copper is thick to be 18um, and live width is about 0.13mm, and single-ended impedance is 50ohm, and differential impedance is 100ohm.
In sum, the present invention has designed a kind of development board integrated circuit that enriches hardware resource, high-speed transfer that has, its every FPGA is a subsystem, can be used as independently, module works alone, also can form an integral body provides one to have ultra-large logical resource, rich data memory bandwidth and space for the hardware design personnel, the platform of the data transmission capabilities of high-throughput and high-speed real-time.

Claims (6)

1. based on the development board of the checking network-on-chip polycaryon processor of four FPGA, it is characterized in that connecting and composing full interconnect architecture between four fpga chips, each sheet fpga chip all is provided with the GTX transmission channel and is connected with other three fpga chips respectively with the GPIO transmission channel, described GTX transmission channel by 4 the tunnel independently the RocketIO passage form, the GTX transmission channel provides 64 bit wides, 125MHz, the data transmission of 10Gbps, the GPIO transmission channel is made up of the general I/O GPIO of 10 pairs of single-ended mode; Every fpga chip is respectively equipped with power management module, plate level timepiece drive module and storage system, and storage system comprises 3 groups 16 DDR3SDRAM, 2 36 SRAM and 2 24 s' Flash; Be respectively equipped with data input and the data output interface of development board on second fpga chip and the 4th fpga chip, described data input and data output interface are the 2.5Gbps light mouth of full duplex difference.
2. the development board of the checking network-on-chip polycaryon processor based on four FPGA according to claim 1, it is characterized in that fpga chip is Xilinx XC6VLX550T fpga chip, be provided with the general I/O GPIO interface of full duplex difference high speed GTX interface LVDS and single-ended mode, the GTX transmission channel connects by the LVDS interface, the GPIO transmission channel connects by the GPIO interface, the jtag interface of 4 fpga chips is connected into daisy chain, by the JTAG chain type and in conjunction with the iMPACT software of Xilinx configuration FPGA.
3. the development board of the checking network-on-chip polycaryon processor based on four FPGA according to claim 1 and 2, the power management module that it is characterized in that fpga chip is: outside voltage stabilizing power supply 12V, adopt DC-DC Switching Power Supply and LDO linear stabilized power supply, the 12V power supply of outside voltage stabilizing power supply is converted to the power supply of 0.75V, 1.0V, 1.5V, 1.8V, 2.5V, 5V through the DC-DC Switching Power Supply, and the 5V power supply is converted to 1.2V and 3.3V power supply through the LDO linear stabilized power supply.
4. the development board of the checking network-on-chip polycaryon processor based on four FPGA according to claim 1 and 2, it is characterized in that plate level timepiece drive module comprises two kinds of real-time clocks, a kind of is external active crystal oscillator, the EG-2101CA125M of employing EPSON company provides the differential clocks of 125MHz to drive for the GTX transmission channel of each chip, another kind utilizes the passive crystal oscillator of 25MHz and the low noise frequency synthesizer ICS843001I-22 of ICS company, output frequency by configuration and adjusting ICS843001I-22 chip produces the 200MHz differential clocks, and tell four tunnel storage unit that offer every fpga chip by clock chip ICS8543BGT, provide the reference difference clock to DDR3SDRAM.
5. the development board of the checking network-on-chip polycaryon processor based on four FPGA according to claim 1 and 2, it is characterized in that in the storage unit, be 8bit by 2 bit wides, capacity is that the MT41J256M8HX-15E chip composition of 2Gb obtains the DDR3SDRAM that a pool-size is 4Gb bit wide 16bit, the data of 2 MT41J256M8HX-15E die chips, clock, read-write flash signal and data mask signal are controlled respectively by FPGA, and address and control command signal are shared; 2 Flash are BPI NOR Flash, capacity is 256Mbit, bit wide is 16bit, a slice Flash wherein has the bit file of hardware design program and the bit file of software program design, in the development board initialization procedure, when development board powers on back or fpga chip self reset key when effective, the bit file that development board is designed program from described Flash loaded with hardware and the bit file of software program design are realized configuration and programming to the FPGABPI mode to the local program storer of each FPGA.
6. the development board of the checking network-on-chip polycaryon processor based on four FPGA according to claim 1 and 2 is characterized in that the PCB structural sheet of development board is clipped in signals layer between stratum and the bus plane.
CN201110397889.8A 2011-12-05 2011-12-05 Development board of network multi-core processor on test board based on four field programmable gate arrays (FPGA) Expired - Fee Related CN102495568B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201110397889.8A CN102495568B (en) 2011-12-05 2011-12-05 Development board of network multi-core processor on test board based on four field programmable gate arrays (FPGA)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201110397889.8A CN102495568B (en) 2011-12-05 2011-12-05 Development board of network multi-core processor on test board based on four field programmable gate arrays (FPGA)

Publications (2)

Publication Number Publication Date
CN102495568A CN102495568A (en) 2012-06-13
CN102495568B true CN102495568B (en) 2013-08-07

Family

ID=46187397

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201110397889.8A Expired - Fee Related CN102495568B (en) 2011-12-05 2011-12-05 Development board of network multi-core processor on test board based on four field programmable gate arrays (FPGA)

Country Status (1)

Country Link
CN (1) CN102495568B (en)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102882623B (en) * 2012-07-26 2014-11-19 哈尔滨工业大学 Configurable clock frequency synthesis device based on FPGA
CN103616934A (en) * 2013-12-06 2014-03-05 江南大学 FPGA core circuit board structure
CN107967041B (en) * 2017-12-05 2019-12-31 中国科学院长春光学精密机械与物理研究所 Multi-FPGA power-on configuration control method
CN108768667B (en) * 2018-04-24 2020-08-07 中船重工(武汉)凌久电子有限责任公司 Method for inter-chip network communication of multi-core processor
CN109910790B (en) * 2019-03-05 2021-11-09 同济大学 ADAS domain controller
CN111216131B (en) * 2020-01-21 2023-03-24 重庆邮电大学 Robot cascade anti-interference control method and system based on flexible actuator driving
CN112068467B (en) * 2020-08-24 2022-01-14 国微集团(深圳)有限公司 Data transmission system and data storage system
CN112395228B (en) * 2021-01-20 2021-04-30 北京燧原智能科技有限公司 Protocol conversion bridge circuit, intellectual property core and system-on-chip
CN113050485B (en) * 2021-03-12 2023-07-04 山西国惠光电科技有限公司 Core control platform for intelligent control system
CN113468005B (en) * 2021-06-29 2023-02-24 展讯通信(上海)有限公司 Chip verification system and clock circuit thereof
CN115061975B (en) * 2022-08-05 2023-01-10 深圳时识科技有限公司 Firmware platform, network on chip and neuromorphic chip based on FPGA
CN116301200B (en) * 2023-05-19 2023-09-19 上海思尔芯技术股份有限公司 Global clock synchronization optimization method, electronic equipment and storage medium
CN116684506B (en) * 2023-08-02 2023-11-07 浪潮电子信息产业股份有限公司 Data processing method, system, electronic device and computer readable storage medium

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090135839A1 (en) * 2007-11-27 2009-05-28 Verizon Services Organization Inc. Packet-switched network-to-network interconnection interface
CN101588175A (en) * 2009-06-24 2009-11-25 北京理工大学 FPGA array processing board
CN202029479U (en) * 2011-02-17 2011-11-09 东毓(宁波)油压工业有限公司 Heat insulation pressure-resistant board structure

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090135839A1 (en) * 2007-11-27 2009-05-28 Verizon Services Organization Inc. Packet-switched network-to-network interconnection interface
CN101588175A (en) * 2009-06-24 2009-11-25 北京理工大学 FPGA array processing board
CN202029479U (en) * 2011-02-17 2011-11-09 东毓(宁波)油压工业有限公司 Heat insulation pressure-resistant board structure

Non-Patent Citations (6)

* Cited by examiner, † Cited by third party
Title
刘向阳等.多FPGA系统在SoC验证中的应用.《科技创新导报》.2010,(第9期),第98页.
基于FPGA的软硬件协同仿真平台的设计;田野;《中国优秀硕士论文电子期刊网》;20110630(第6期);第I135-215页 *
基于H3MP-16多核处理器片上软件优化;潘鹏等;《电子测量技术》;20100615;第33卷(第6期);第74-78页 *
多FPGA系统在SoC验证中的应用;刘向阳等;《科技创新导报》;20100321(第9期);第98页 *
潘鹏等.基于H3MP-16多核处理器片上软件优化.《电子测量技术》.2010,第33卷(第6期),第74-78页.
田野.基于FPGA的软硬件协同仿真平台的设计.《中国优秀硕士论文电子期刊网》.2011,(第6期),第I135-215页.

Also Published As

Publication number Publication date
CN102495568A (en) 2012-06-13

Similar Documents

Publication Publication Date Title
CN102495568B (en) Development board of network multi-core processor on test board based on four field programmable gate arrays (FPGA)
Jiang et al. Achieving super-linear speedup across multi-fpga for real-time dnn inference
Naffziger et al. Pioneering chiplet technology and design for the amd epyc™ and ryzen™ processor families: Industrial product
Painkras et al. SpiNNaker: A 1-W 18-core system-on-chip for massively-parallel neural network simulation
Pellauer et al. Buffets: An efficient and composable storage idiom for explicit decoupled data orchestration
US9310867B2 (en) Intelligent power controller
Benini et al. P2012: Building an ecosystem for a scalable, modular and high-efficiency embedded computing accelerator
Owens et al. Research challenges for on-chip interconnection networks
Ogras et al. Design and management of voltage-frequency island partitioned networks-on-chip
Bertozzi et al. The fast evolving landscape of on-chip communication: Selected future challenges and research avenues
Moorthy et al. Zedwulf: Power-performance tradeoffs of a 32-node zynq soc cluster
CN103744644B (en) The four core processor systems built using four nuclear structures and method for interchanging data
Wang et al. Shenjing: A low power reconfigurable neuromorphic accelerator with partial-sum and spike networks-on-chip
CN104239595B (en) For realizing the method and apparatus for design planning and the system level design tool of framework exploration
Cilardo et al. Automated synthesis of FPGA-based heterogeneous interconnect topologies
Wang et al. Application defined on-chip networks for heterogeneous chiplets: An implementation perspective
Sankaralingam et al. The Mozart reuse exposed dataflow processor for AI and beyond: Industrial product
Lemaire et al. A flexible modeling environment for a NoC-based multicore architecture
Wang et al. On fine-grained runtime power budgeting for networks-on-chip systems
Teimouri et al. Alleviating scalability limitation of accelerator-based platforms
Srinivasan et al. Simultaneous memory and bus partitioning for SoC architectures
Camacho et al. Pc-mesh: A dynamic parallel concentrated mesh
Wang et al. Alloy: Parallel-serial memory channel architecture for single-chip heterogeneous processor systems
Cai et al. Gemini: Mapping and Architecture Co-exploration for Large-scale DNN Chiplet Accelerators
Kumar et al. A buffer-sizing algorithm for network-on-chips with multiple voltage-frequency islands

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130807

Termination date: 20131205