CN102081689A - Method for designing testability of chip - Google Patents

Method for designing testability of chip Download PDF

Info

Publication number
CN102081689A
CN102081689A CN 201010620100 CN201010620100A CN102081689A CN 102081689 A CN102081689 A CN 102081689A CN 201010620100 CN201010620100 CN 201010620100 CN 201010620100 A CN201010620100 A CN 201010620100A CN 102081689 A CN102081689 A CN 102081689A
Authority
CN
China
Prior art keywords
test
scan chain
vector
setting value
value
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN 201010620100
Other languages
Chinese (zh)
Other versions
CN102081689B (en
Inventor
田泽
郭蒙
蔡叶芳
李攀
杨海波
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Xian Xiangteng Microelectronics Technology Co Ltd
Original Assignee
AVIC No 631 Research Institute
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AVIC No 631 Research Institute filed Critical AVIC No 631 Research Institute
Priority to CN 201010620100 priority Critical patent/CN102081689B/en
Publication of CN102081689A publication Critical patent/CN102081689A/en
Application granted granted Critical
Publication of CN102081689B publication Critical patent/CN102081689B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

The invention relates to a method for designing testability of a chip, which comprises the steps: (1) insertion of a built-in self test circuit of a memory; (2) insertion of a boundary scan circuit; (3) integration of a testability circuit; (4) insertion of a scan chain circuit; and (5) generation of automatic test generated vectors. In order to solve the technical problems that in the traditional chip design process, a set of complete and systematical method in test methods aiming at different test objects is not available, tools such as a DFT (diagnostic function test) tool, a logic synthesis tool, a circuit simulation tool and the like are not joined and the design program is complicated, the invention provides the process guarantee for automation of the DFT design and complete and systematical correctness of the DFT design.

Description

A kind of design method of testability of chip
Technical field
The present invention relates to a kind of method for designing of chip, relate in particular to the method for a kind of DFT of being used for insertion, logic synthesis, emulation and static timing analysis.
Background technology
Testability Design DFT (Design For Testability) is exactly controllability and an observability of attempting to increase signal in the circuit, so as in time economically test chip whether have physical imperfection, make the user take good chip.Do you why to be DFT? because in the design process of chip, just domain of handing over to GDSII of register transfer level RTL just, last chip need be manufactured in factory and do, and just chip is made according to the data GDSII that you provide by producer.Defective may occur in this flowchart process, this defective may be that physics exists, and also may be that the leftover problem in the middle of the design causes, and also defective may occur in the process that encapsulates on the one hand in addition.For can there be physically defective in the chip that guarantees us, so will be Testability Design DFT.As seen in present integrated circuit (IC) design and the production, Testability Design DFT is an important means of chip production test.Testability Design DFT mainly comprises the contents such as insertion, the insertion of register scan chain and test vector production of memory built in self test of sram circuit MBIST, boundary scan chain.
But in existing design, the insertion of DFT, logic synthesis and emulation etc. do not have the complete method for designing of a cover, can't well be connected between instruments such as DFT instrument, logic synthesis tool, circuit simulation.
Summary of the invention
In order to solve the method that does not have a cover holonomic system in the existing chip design process at the method for testing of different tested objects, instruments such as DFT instrument, logic synthesis tool, circuit simulation can't be realized being connected, the complicated technology of designing program problem, the invention provides a kind of DFT method for designing of chip, the present invention is for the robotization that improves the DFT design and guarantee that comprehensive system correctness of DFT design provides the flow process guarantee.
Technical solution of the present invention:
A kind of design method of testability of chip, its special character may further comprise the steps:
1] insertion of memory built in self test of sram circuit:
1.1] the gate level netlist file of the comprehensive one-tenth of RTL code based on technology library;
1.2] the insertion strategy of define storage built-in self-test circuit MBIST and the memory bank file that memory built in self test of sram circuit MBIST insertion needs, described insertion strategy comprises insertion algorithm and the pin multiplexing strategy of memory built in self test of sram circuit MBIST;
1.3] adopt the memory built in self test of sram of DFT instrument to insert instrument and according to the insertion strategy of memory built in self test of sram circuit MBIST memory built in self test of sram circuit MBIST is inserted the gate level netlist file, output storage built-in self-test net meter file and memory built in self test of sram vector, described memory built in self test of sram vector comprise the setting value of memory built in self test of sram circuit and by the memory built in self test of sram circuit storer is tested after test value;
1.4] with step 1.3] middle memory built in self test of sram net meter file of exporting and memory built in self test of sram vector structure test environment, adopt emulation tool to carry out the emulation first time, when test value and setting value are inconsistent, then execution in step 1.2], when test value is consistent with setting value, then carry out following steps;
2] insertion of boundary scan chain:
2.1] with step 1.3] and in the design top document of reservoir built-in self-test net meter file of output as the insertion object of boundary scan chain, the insertion strategy of definition boundary scan chain, the insertion strategy of described boundary scan chain is the order of the pin of boundary scan chain;
2.2] adopt the boundary scan of DFT instrument to insert instrument and boundary scan chain is inserted the insertion object of boundary scan chain according to the insertion strategy of boundary scan chain, output RTL code net meter file and boundary scan chain test vector, described boundary scan chain test vector comprises the setting value of boundary scan chain and the test value of chip pin being tested by boundary scan chain;
2.3] with step 2.2] middle RTL code net meter file of exporting and boundary scan chain test vector structure simulated environment; Adopt emulation tool to carry out the emulation second time, when test value and setting value were inconsistent, then execution in step 2.1], when test value is consistent with setting value, then carry out following steps;
3] testability circuit synthesis:
3.1] adopt the logic synthesis tool of DFT instrument that RTL code net meter file is carried out comprehensively, output boundary scanning net meter file;
3.2] utilize step 3.1] and in the boundary scan net meter file and the step 2.2 of output] the boundary scan chain test vector of output makes up simulated environment, adopt emulation tool to carry out emulation for the third time, when test value and setting value do not wait, then execution in step 3.1], when test value is consistent with setting value, then carry out following steps;
4] insertion of scan chain circuits:
4.1] the insertion strategy of definition scan chain circuits and the running environment of supporting to insert strategy, the insertion strategy of described scan chain circuits comprises the length of number and every scan chain of scan chain, and described running environment comprises experimentation file (Test Procedure) and DFT library file;
4.2] adopt the scan chain circuits of DFT instrument insert instrument and according to step 4.1] in defined insertions is tactful scan chain circuits is inserted the boundary scan net meter file, output scanning chain net meter file and testing scanning chain vector; Described testing scanning chain vector comprise the setting value of scan chain circuits and by scan chain circuits register is tested after test value;
4.3] utilize scan chain net meter file and testing scanning chain vector to make up simulated environment, adopt emulation tool to carry out the 4th emulation, when test value and setting value were inconsistent, then execution in step 4.1], when test value is consistent with setting value, then carry out step;
5] generation of the vectorial atpg of test generation automatically:
5.1] the automatic generation strategy that generates library file and test the generation vector automatically of testing of definition, described automatic test generates vector generation strategy and comprises file output format, clock frequency and test pin distribution;
5.2] adopt the automatic test of DFT instrument to generate vectorial instrument according to step 5.1] defined vector produces strategy and produce automatically that test generates vector, described automatic test generates vector and comprises that automatic test generates the setting value of vector and generates the test value that vector is tested gate level circuit by automatic test;
5.3] scan chain net meter file and the vectorial simulated environment that makes up of automatic test generation, adopt emulation tool to carry out the 5th emulation, when test value and setting value did not wait, then execution in step 5.1], when test value was consistent with setting value, then the vectorial atpg of test generation was stand-by automatically in reservation.
Above-mentioned steps 3] also comprise step 3.3] static timing analysis:
3.3.1] definition static timing analysis script, the script of described static timing analysis comprises the setting value of boundary scan chain and the test value of chip pin being tested by boundary scan chain;
3.3.2] static timing analysis, when test value and setting value were inconsistent, then execution in step 3.1], when test value is consistent with setting value, then carry out following steps.
Above-mentioned steps 4] also comprise step 4.4] static timing analysis:
4.4.1] script of definition static timing analysis, the script of described static timing analysis comprise the setting value of scan chain circuits and by scan chain circuits register is tested after test value;
4.4.2] static timing analysis, when test value and setting value were inconsistent, then execution in step 4.1], when test value is consistent with setting value, then carry out following steps.
Above-mentioned steps 5] also comprise step 5.4] static timing analysis:
5.4.1] script of definition static timing analysis, the script of described static timing analysis comprises that automatic test generates the setting value of vector and generates the test value that vector is tested gate level circuit by automatic test;
5.4.2] static timing analysis, when test value and setting value were inconsistent, then execution in step 5.1], when test value was consistent with setting value, then keeping test automatically, to generate the ATPG vector stand-by.
Above-mentioned steps 5] also comprise step 5.4] static timing analysis:
5.4.1] script of definition static timing analysis, the script of described static timing analysis comprises that automatic test generates the setting value of vector and generates the test value that vector is tested gate level circuit by automatic test;
5.4.2] static timing analysis, when test value and setting value were inconsistent, then execution in step 5.1], when test value was consistent with setting value, then keeping test automatically, to generate the ATPG vector stand-by.
Above-mentioned steps 1.3] the realization script be:
mbistarchitect./netlist/mydesign_fix_timing.v-verilog\
-top?mydesign_top\
-lverilog./libs/*.v\
-logfile?mbist.log-replace\
-dofile./scripts/mbist.do\
-nogui\
-insertion
Be to use gate level netlist file (Verilog) and memory bank file (atpg) in the design under the libs file in the described script, mbist.do is the insertion strategy of memory built in self test of sram circuit.
Above-mentioned steps 4.2] the realization script be:
fastscan?mydesign_dft.v-verilog\
-dofile?scan_fs.dofile\
-lib?smic18m.atpg\
-log?fastscan.log-replace\
-nogui
Above-mentioned steps 5.2] the realization script be:
dftadvisor?mydesign_pst_timing.v-verilog\
-top?mydesign_top\
-lib?smic18m.atpg\
-log?dfta.log-replace\
-nogui\
-dofile?scan_dfta.dofile
It is above-mentioned that comprehensive to become the gate level netlist file based on technology library be to adopt the DC synthesis tool of Synopsys company or the RTL Compiler instrument of Cadence company to realize the RTL code.
The advantage that the present invention had:
The present invention is a kind of whole flow process that is used for Testability Design (DFT) process, can between instruments such as DFT instrument, logic synthesis tool, circuit simulation, static timing analysis tool, realize seamless connection, thereby provide the complete method of a kind of DFT insertion, logic synthesis, emulation and static timing analysis, the final automaticity that improves design.
Description of drawings
Fig. 1 is a schematic flow sheet of the present invention;
Fig. 2 is an another kind of schematic flow sheet of the present invention;
Fig. 3 is the embodiments of the invention synoptic diagram.
Embodiment
A kind of design method of testability of chip may further comprise the steps:
1] insertion of memory built in self test of sram circuit:
1.1] the gate level netlist file of the comprehensive one-tenth of RTL code based on technology library;
Adopt the DC synthesis tool of Synopsys company or the RTL Compiler instrument of Cadence company.
1.2] the insertion strategy of define storage built-in self-test circuit MBIST and the memory bank file that memory built in self test of sram circuit MBIST insertion needs, described insertion strategy comprises insertion algorithm and the pin multiplexing strategy of memory built in self test of sram circuit MBIST;
1.3] adopt the memory built in self test of sram of DFT instrument to insert instrument and according to the insertion strategy of memory built in self test of sram circuit MBIST memory built in self test of sram circuit MBIST is inserted the gate level netlist file, output storage built-in self-test net meter file and memory built in self test of sram vector, described memory built in self test of sram vector comprise the setting value of memory built in self test of sram circuit and by the memory built in self test of sram circuit storer is tested after test value;
1.4] with step 1.3] middle memory built in self test of sram net meter file of exporting and memory built in self test of sram vector structure test environment, adopt emulation tool to carry out the emulation first time, when test value and setting value are inconsistent, then execution in step 1.2], when test value is consistent with setting value, then carry out following steps;
2] insertion of boundary scan chain:
2.1] with step 1.3] and in the design top document of reservoir built-in self-test net meter file of output as the insertion object of boundary scan chain, the insertion strategy of definition boundary scan chain, the insertion strategy of described boundary scan chain is the order of the pin of boundary scan chain;
2.2] adopt the boundary scan of DFT instrument to insert instrument and boundary scan chain is inserted the insertion object of boundary scan chain according to the insertion strategy of boundary scan chain, output RTL code net meter file and boundary scan chain test vector, described boundary scan chain test vector comprises the setting value of boundary scan chain and the test value of chip pin being tested by boundary scan chain;
2.3] with step 2.2] middle RTL code net meter file of exporting and boundary scan chain test vector structure simulated environment; Adopt emulation tool to carry out the emulation second time, when test value and setting value were inconsistent, then execution in step 2.1], when test value is consistent with setting value, then carry out following steps;
3] testability circuit synthesis:
3.1] adopt the logic synthesis tool of DFT instrument that RTL code net meter file is carried out comprehensively, output boundary scanning net meter file;
3.2] utilize step 3.1] and in the boundary scan net meter file and the step 2.2 of output] the boundary scan chain test vector of output makes up simulated environment, adopt emulation tool to carry out emulation for the third time, when test value and setting value do not wait, then execution in step 3.1], when test value is consistent with setting value, then carry out following steps;
3.3] static timing analysis:
3.3.1] definition static timing analysis script, the script of described static timing analysis comprises the setting value of boundary scan chain and the test value of chip pin being tested by boundary scan chain;
3.3.2] static timing analysis, when test value and setting value were inconsistent, then execution in step 3.1], when test value is consistent with setting value, then carry out following steps.
4] insertion of scan chain circuits:
4.1] the insertion strategy of definition scan chain circuits and the running environment of supporting to insert strategy, the insertion strategy of described scan chain circuits comprises the length of number and every scan chain of scan chain, and described running environment comprises experimentation file (Test Procedure) and DFT library file;
4.2] adopt the scan chain circuits of DFT instrument insert instrument and according to step 4.1] in defined insertions is tactful scan chain circuits is inserted the boundary scan net meter file, output scanning chain net meter file and testing scanning chain vector; Described testing scanning chain vector comprise the setting value of scan chain circuits and by scan chain circuits register is tested after test value;
4.3] utilize scan chain net meter file and testing scanning chain vector to make up simulated environment, adopt emulation tool to carry out the 4th emulation, when test value and setting value were inconsistent, then execution in step 4.1], when test value is consistent with setting value, then carry out step;
4.4] static timing analysis:
4.4.1] script of definition static timing analysis, the script of described static timing analysis comprise the setting value of scan chain circuits and by scan chain circuits register is tested after test value;
4.4.2] static timing analysis, when test value and setting value were inconsistent, then execution in step 4.1], when test value is consistent with setting value, then carry out following steps.
5] generation of the vectorial atpg of test generation automatically:
5.1] defining test generation library file and the vectorial strategy that produces automatically, the described vectorial strategy that produces comprises file output format, clock frequency and test pin distribution;
5.2] adopt the automatic test of DFT instrument to generate vectorial instrument according to step 5.1] defined vector produces strategy and produce automatically that test generates vector, described automatic test generates vector and comprises that automatic test generates the setting value of vector and generates the test value that vector is tested gate level circuit by automatic test;
5.3] scan chain net meter file and the vectorial simulated environment that makes up of automatic test generation, adopt emulation tool to carry out the 5th emulation, when test value and setting value did not wait, then execution in step 5.1], when test value was consistent with setting value, then test generation atpg vector was stand-by automatically in reservation.
5.4] static timing analysis:
5.4.1] script of definition static timing analysis, the script of described static timing analysis comprises that automatic test generates the setting value of vector and generates the test value that vector is tested gate level circuit by automatic test;
5.4.2] static timing analysis, when test value and setting value were inconsistent, then execution in step 5.1], when test value was consistent with setting value, then keeping test automatically, to generate the atpg vector stand-by.
Above-mentioned steps 1.3] can adopt following script to realize:
mbistarchitect./netlist/mydesign_fix_timing.v-verilog\
-top?mydesign_top\
-lverilog./libs/*.v\
-logfile?mbist.log-replace\
-dofile./scripts/mbist.do\
-nogui\
-insertion
Be to use gate level netlist file (Verilog) and memory bank file (atpg) in the design under the libs file in the described script, mbist.do is the insertion strategy of memory built in self test of sram circuit.
Above-mentioned steps 4.2] can adopt following script to realize:
fastscan?mydesign_dft.v-verilog\
-dofile?scan_fs.dofile\
-lib?smic18m.atpg\
-log?fastscan.log-replace\
-nogui
Above-mentioned steps 5.2] can adopt following script to realize:
dftadvisor?mydesign_pst_timing.v-verilog\
-top?mydesign_top\
-lib?smic18m.atpg\
-log?dfta.log-replace\
-nogui\
-dofile?scan_dfta.dofile
Embodiment:
This flow process has been finished DFT design and a test vector production and an emulation based on typical SoC mainly based on the DFT instrument (MBISTArchitect, BSDArchitect, FastScan, DFTAdvisor) of Mentor, the DC synthesis tool of Synopsys company, the instruments such as emulation tool ModelSim of Mentor company.
(1) synthesis tool that uses company such as SynopSys is the comprehensive gate level netlist file that becomes based on technology library of RTL code.
(2) write the memory bank file that the MBISTArchitect of Mentor company needs.After this two step was finished, the preliminary work of DFT design was finished.
(3) use the MBISTArchitect tool memory built-in self-test circuit of Mentor company to insert the MBIST circuit.Concrete realization script is:
mbistarchitect./netlist/mydesign_fix_timing.v-verilog\
-top?mydesign_top \
-lverilog./libs/*.v\
-logfile?mbist.log-replace\
-dofile./scripts/mbist.do\
-nogui\
-insertion
Be gate level netlist file (Verilog) and the memory bank file (atpg) that uses in the design under the libs file in the above-mentioned script, mbist.do is the strategy that needs the MIBST circuit of insertion, and different designs needs different designs.
(4) use the circuit meshwork list file and the test vector that produce in the MBIST process to make up test environment.Emulation tool can use the emulation tools such as ModelSim of Mentor company.Emulation is by entering into next step.
(5) at first need in the process that entering of boundary scan chain the design top layer of the net meter file that produces in the middle of the MBIST process and other parts are split the note that comes respectively and be mydesign_fix_timingg_ist_top.v and mydesign_notop.v.Split the insertion that the design top document that comes out is used for boundary scan chain.
In this process, need be ready to the order of the pin of boundary scan chain.
Based on different separately designs, adopt certain insertion strategy to carry out the insertion of boundary scan chain then.
(6) design document and the original mydesign_notop.v of the band edge circle scan chain of the final top layer that generates in the boundary scan insertion process are incorporated into called after mydesign_top_bscan.v together.Utilize the test vector file that generates to make up simulated environment, use emulation tool to carry out emulation.
(6) utilize synthesis tool that mydesign_top_bscan.v is carried out comprehensively.(, needing comprehensive) so that next step uses owing in the insertion process of MBIST, boundary scan chain, produced a part of RTL code.
The comprehensive net meter file of (7) the 6th steps need carry out emulation and static timing analysis STA.Emulation and STA enter into the scan chain insertion process after analyzing and passing through.
(8) insertion process of scan chain.Prepare Test Procedure file, DFT library file and scan chain earlier and insert policy script (number that comprises scan chain, settings such as the length of every scan chain.Being provided with herein can be different according to different designs.Suggestion makes the length unanimity of every scan chain as far as possible, and not too long).The insertion script of scan chain is as follows:
fastscan?mydesign_dft.v-verilog\
-dofile?scan_fs.dofile\
-lib?smic18m.atpg\
-log?fastscan.log-replace\
-nogui
(9) can produce net meter file and test vector after scan chain inserts, make up simulated environment based on these files and carry out emulation.Carry out static timing analysis simultaneously.
(10) production run of ATPG vector.Prepare atpg library file and vectorial production strategy script earlier.The insertion script of scan chain is as follows:
dftadvisor?mydesign_pst_timing.v-verilog\
-top?mydesign_top\
-lib?smic18m.atpg\
-log?dfta.log-replace\
-nogui\
-dofile?scan_dfta.dofile
(11) after the atpg vector is produced, make up simulated environment and carry out gate level circuit emulation.Carry out static timing analysis STA simultaneously.
(12) after domain is produced, carry out the domain post-simulation based on structure simulated environment such as the test file after the production of atpg vector, domain sdf files.Carry out static timing analysis simultaneously.By representing that then whole DFT process finishes.

Claims (9)

1. the design method of testability of a chip is characterized in that: may further comprise the steps:
1] insertion of memory built in self test of sram circuit:
1.1] the gate level netlist file of the comprehensive one-tenth of RTL code based on technology library;
1.2] the insertion strategy of define storage built-in self-test circuit (MBIST) and the memory bank file that memory built in self test of sram circuit (MBIST) insertion needs, described insertion strategy comprises the insertion algorithm and the pin multiplexing strategy of memory built in self test of sram circuit (MBIST);
1.3] adopt the memory built in self test of sram of DFT instrument to insert instrument and according to the insertion strategy of memory built in self test of sram circuit (MBIST) memory built in self test of sram circuit (MBIST) is inserted the gate level netlist file, output storage built-in self-test net meter file and memory built in self test of sram vector, described memory built in self test of sram vector comprise the setting value of memory built in self test of sram circuit and by the memory built in self test of sram circuit storer is tested after test value;
1.4] with step 1.3] and in the memory built in self test of sram net meter file and the memory built in self test of sram vector of output make up test environment, adopt emulation tool to carry out the emulation first time,
1.5] when through the step 1.3 after the emulation for the first time] and in test value and setting value when inconsistent, then execution in step 1.2], 1.3] and 1.4], when test value is consistent with setting value, then carry out following steps;
2] insertion of boundary scan chain:
2.1] with step 1.3] and in the design top document of memory built in self test of sram net meter file of output as the insertion object of boundary scan chain, define the insertion strategy of boundary scan chain simultaneously, the insertion strategy of described boundary scan chain is the order of the pin of boundary scan chain;
2.2] adopt the boundary scan of DFT instrument to insert instrument and boundary scan chain is inserted the insertion object of boundary scan chain according to the insertion strategy of boundary scan chain, output RTL code net meter file and boundary scan chain test vector, described boundary scan chain test vector comprises the setting value of boundary scan chain and the test value of chip pin being tested by boundary scan chain;
2.3] with step 2.2] middle RTL code net meter file of exporting and boundary scan chain test vector structure simulated environment; Adopt emulation tool to carry out emulation second time, when through the step 2.2 after the emulation for the second time] when middle test value and setting value were inconsistent, then execution in step 2.1], when test value is consistent with setting value, then carry out following steps;
3] testability circuit synthesis:
3.1] adopt the logic synthesis tool of DFT instrument that RTL code net meter file is carried out comprehensively, output boundary scanning net meter file;
3.2] utilize step 3.1] and in the boundary scan net meter file and the step 2.2 of output] the boundary scan chain test vector of output makes up simulated environment, adopt emulation tool to carry out emulation for the third time, when through the step 2.2 after the emulation for the third time] in test value and setting value when not waiting, then execution in step 3.1], when test value is consistent with setting value, then carry out following steps;
4] insertion of scan chain circuits:
4.1] the insertion strategy of definition scan chain circuits and the running environment of supporting to insert strategy, the insertion strategy of described scan chain circuits comprises the length of number and every scan chain of scan chain, and described running environment comprises experimentation file (Test Procedure) and DFT library file;
4.2] adopt the scan chain circuits of DFT instrument insert instrument and according to step 4.1] in defined insertions is tactful scan chain circuits is inserted the boundary scan net meter file, output scanning chain net meter file and testing scanning chain vector; Described testing scanning chain vector comprise the setting value of scan chain circuits and by scan chain circuits register is tested after test value;
4.3] utilize scan chain net meter file and testing scanning chain vector to make up simulated environment, adopt emulation tool to carry out the 4th emulation, when through the step 4.2 after the 4th emulation] in test value and setting value when inconsistent, then execution in step 4.1], when test value is consistent with setting value, then carry out step;
5] generation of test generation vector (atpg) automatically:
5.1] the automatic generation strategy that generates library file and test generation vector (atpg) automatically of testing of definition, the generation strategy that described automatic test generates vector comprises file output format, clock frequency and test pin distribution;
5.2] adopt the automatic test of DFT instrument to generate vectorial instrument according to step 5.1] defined vector produces strategy and produce automatically that test generates vector, described automatic test generates vector and comprises that automatic test generates the setting value of vector and generates the test value that vector is tested gate level circuit by automatic test;
5.3] scan chain net meter file and the vectorial simulated environment that makes up of automatic test generation, adopt emulation tool to carry out the 5th emulation, when test value and setting value do not wait, then execution in step 5.1], when test value is consistent with setting value, then keeps and to wait to stay chip testing to use by automatic test generation vector (atpg).
2. the design method of testability of chip according to claim 1 is characterized in that:
Described step 3] also comprise step 3.3] static timing analysis:
3.3.1] definition static timing analysis script, the script of described static timing analysis comprises the setting value of boundary scan chain and the test value of chip pin being tested by boundary scan chain;
3.3.2] carry out static timing analysis, when test value and setting value were inconsistent, then execution in step 3.1], when test value is consistent with setting value, then carry out following steps.
3. the design method of testability of chip according to claim 1 and 2 is characterized in that:
Described step 4] also comprise step 4.4] static timing analysis:
4.4.1] script of definition static timing analysis, the script of described static timing analysis comprise the setting value of scan chain circuits and by scan chain circuits register is tested after test value;
4.4.2] carry out static timing analysis, when test value and setting value were inconsistent, then execution in step 4.1], when test value is consistent with setting value, then carry out following steps.
4. the design method of testability of chip according to claim 3 is characterized in that:
Described step 5] also comprise step 5.4] static timing analysis:
5.4.1] script of definition static timing analysis, the script of described static timing analysis comprises that automatic test generates the setting value of vector and generates the test value that vector is tested gate level circuit by automatic test;
5.4.2] carry out static timing analysis, when test value and setting value were inconsistent, then execution in step 5.1], when test value was consistent with setting value, then keeping test automatically, to generate the ATPG vector stand-by.
5. the design method of testability of chip according to claim 1 and 2 is characterized in that:
Described step 5] also comprise step 5.4] static timing analysis:
5.4.1] script of definition static timing analysis, the script of described static timing analysis comprises that automatic test generates the setting value of vector and generates the test value that vector is tested gate level circuit by automatic test;
5.4.2] carry out static timing analysis, when test value and setting value were inconsistent, then execution in step 5.1], when test value was consistent with setting value, then keeping test automatically, to generate the ATPG vector stand-by.
6. the design method of testability of chip according to claim 4 is characterized in that:
Described step 1.3] the realization script be:
mbistarchitect./netlist/mydesign_fix_timing.v-verilog\
-top?mydesign_top\
-lverilog./libs/*.v\
-logfile?mbist.log-replace?\
-dofile./scripts/mbist.do\
-nogui\
-insertion
Be to use gate level netlist file and memory bank file in the design under the libs file in the described script, mbist.do is the insertion strategy of memory built in self test of sram circuit.
7. the design method of testability of chip according to claim 6 is characterized in that:
Described step 4.2] the realization script be:
fastscan?mydesign_dft.v-verilog\
-dofile?scan_fs.dofile\
-lib?smic18m.atpg\
-log?fastscan.log-replace\
-nogui
8. the design method of testability of chip according to claim 7 is characterized in that:
Described step 5.2] the realization script be:
dftadvisor?mydesign_pst_timing.v-verilog\
-top?mydesign_top\
-lib?smic18m.atpg\
-log?dfta.log-replace\
-nogui\
-dofile?scan_dfta.dofile
9. the design method of testability of chip according to claim 8 is characterized in that:
Described step 1] comprehensive to become the gate level netlist file based on technology library be to adopt the DC synthesis tool of Synopsys company or the RTL Compiler instrument of Cadence company to realize the RTL code.
CN 201010620100 2010-12-31 2010-12-31 Method for designing testability of chip Active CN102081689B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN 201010620100 CN102081689B (en) 2010-12-31 2010-12-31 Method for designing testability of chip

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN 201010620100 CN102081689B (en) 2010-12-31 2010-12-31 Method for designing testability of chip

Publications (2)

Publication Number Publication Date
CN102081689A true CN102081689A (en) 2011-06-01
CN102081689B CN102081689B (en) 2012-10-03

Family

ID=44087650

Family Applications (1)

Application Number Title Priority Date Filing Date
CN 201010620100 Active CN102081689B (en) 2010-12-31 2010-12-31 Method for designing testability of chip

Country Status (1)

Country Link
CN (1) CN102081689B (en)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103530479A (en) * 2013-10-31 2014-01-22 哈尔滨工业大学 Partial testability design system and method for electronic design interchange format (EDIF) netlist-class circuits and based on Perl
CN103632019A (en) * 2013-12-25 2014-03-12 哈尔滨工业大学 Automatic realization system and method for partial testability design for Perl-based Verilog netlist circuit
CN103699422A (en) * 2013-12-25 2014-04-02 哈尔滨工业大学 System and method for performing testability design on verilog netlist description of circuit through Perl
CN104123407A (en) * 2014-06-19 2014-10-29 电子科技大学 Automatic testability model building method based on circuit simulation
CN104424369A (en) * 2013-08-28 2015-03-18 京微雅格(北京)科技有限公司 Time sequence estimation method for FPGA (field programmable gate array) post-mapping net list
CN105372582A (en) * 2015-12-14 2016-03-02 浪潮(北京)电子信息产业有限公司 Generation method and system of module-level boundary scan chains
CN106934153A (en) * 2017-03-13 2017-07-07 北京智芯微电子科技有限公司 A kind of method and device of extraction device model parameter
CN108052769A (en) * 2017-12-28 2018-05-18 天津芯海创科技有限公司 Netlist emulation verification method and device
CN108957301A (en) * 2017-05-27 2018-12-07 深圳市中兴微电子技术有限公司 Test method, test device and built-in chip type circuit can be tested
CN109145334A (en) * 2017-06-27 2019-01-04 深圳市中兴微电子技术有限公司 A kind of method and device of chip design treatment
CN111381148A (en) * 2018-12-29 2020-07-07 无锡华润矽科微电子有限公司 System and method for realizing chip test
CN112597723A (en) * 2021-01-08 2021-04-02 深圳市紫光同创电子有限公司 Testability design method for FPGA embedded IP
CN112764987A (en) * 2021-01-07 2021-05-07 无锡众星微系统技术有限公司 Automatic generation method of chip monitoring signal
CN112945418A (en) * 2019-12-09 2021-06-11 圣邦微电子(北京)股份有限公司 Temperature measuring device and temperature measuring method of integrated chip
CN113609804A (en) * 2021-07-27 2021-11-05 西安芯海微电子科技有限公司 Case generation method and device, test method and testability design method
CN114444419A (en) * 2022-04-11 2022-05-06 奇捷科技(深圳)有限公司 Method and equipment for generating new version circuit of chip and storage medium
CN114492265A (en) * 2022-04-02 2022-05-13 奇捷科技(深圳)有限公司 Method, equipment and storage medium for determining chip testable design
CN115656791A (en) * 2022-12-29 2023-01-31 摩尔线程智能科技(北京)有限责任公司 Test method and test platform for chip testability design

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101317180A (en) * 2005-12-02 2008-12-03 Nxp股份有限公司 Method for providing an IC design and IC design tool

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101317180A (en) * 2005-12-02 2008-12-03 Nxp股份有限公司 Method for providing an IC design and IC design tool

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
《Microwave, Antenna, Propagation and EMC Technologies for Wireless Communications, 2009 3rd IEEE International Symposium on 》 20091029 Jinghe Wei等 Design and implement for test in a complex system on chip 120-122 , *
《中国优秀硕士学位论文全文数据库 信息科技辑》 20101015 王君虎 高性能可测试性电路设计 I135-83 , 第10期 *
《计算机工程与应用》 20020831 李华伟 等 可测试性设计技术在一款通用CPU芯片中的应用 191-194 , 第16期 *

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104424369A (en) * 2013-08-28 2015-03-18 京微雅格(北京)科技有限公司 Time sequence estimation method for FPGA (field programmable gate array) post-mapping net list
CN104424369B (en) * 2013-08-28 2017-08-25 京微雅格(北京)科技有限公司 The sequential evaluation method of netlist after a kind of FPGA mappings
CN103530479B (en) * 2013-10-31 2016-09-21 哈尔滨工业大学 The part design for Measurability system of EDIF netlist level circuit based on Perl and part design for Measurability method
CN103530479A (en) * 2013-10-31 2014-01-22 哈尔滨工业大学 Partial testability design system and method for electronic design interchange format (EDIF) netlist-class circuits and based on Perl
CN103632019A (en) * 2013-12-25 2014-03-12 哈尔滨工业大学 Automatic realization system and method for partial testability design for Perl-based Verilog netlist circuit
CN103699422A (en) * 2013-12-25 2014-04-02 哈尔滨工业大学 System and method for performing testability design on verilog netlist description of circuit through Perl
CN104123407A (en) * 2014-06-19 2014-10-29 电子科技大学 Automatic testability model building method based on circuit simulation
CN104123407B (en) * 2014-06-19 2017-04-05 电子科技大学 A kind of testability model auto-creating method based on circuit simulation
CN105372582B (en) * 2015-12-14 2018-05-25 浪潮(北京)电子信息产业有限公司 A kind of generation method and system of module level boundary scan chain
CN105372582A (en) * 2015-12-14 2016-03-02 浪潮(北京)电子信息产业有限公司 Generation method and system of module-level boundary scan chains
CN106934153A (en) * 2017-03-13 2017-07-07 北京智芯微电子科技有限公司 A kind of method and device of extraction device model parameter
CN108957301A (en) * 2017-05-27 2018-12-07 深圳市中兴微电子技术有限公司 Test method, test device and built-in chip type circuit can be tested
CN108957301B (en) * 2017-05-27 2021-02-09 深圳市中兴微电子技术有限公司 Test method and device for testable chip and built-in circuit of testable chip
CN109145334A (en) * 2017-06-27 2019-01-04 深圳市中兴微电子技术有限公司 A kind of method and device of chip design treatment
CN109145334B (en) * 2017-06-27 2023-04-07 深圳市中兴微电子技术有限公司 Method and device for chip design processing
CN108052769A (en) * 2017-12-28 2018-05-18 天津芯海创科技有限公司 Netlist emulation verification method and device
CN111381148A (en) * 2018-12-29 2020-07-07 无锡华润矽科微电子有限公司 System and method for realizing chip test
CN111381148B (en) * 2018-12-29 2023-02-21 华润微集成电路(无锡)有限公司 System and method for realizing chip test
CN112945418A (en) * 2019-12-09 2021-06-11 圣邦微电子(北京)股份有限公司 Temperature measuring device and temperature measuring method of integrated chip
CN112945418B (en) * 2019-12-09 2023-06-30 圣邦微电子(北京)股份有限公司 Temperature measuring device and temperature measuring method of integrated chip
CN112764987A (en) * 2021-01-07 2021-05-07 无锡众星微系统技术有限公司 Automatic generation method of chip monitoring signal
CN112597723A (en) * 2021-01-08 2021-04-02 深圳市紫光同创电子有限公司 Testability design method for FPGA embedded IP
CN113609804A (en) * 2021-07-27 2021-11-05 西安芯海微电子科技有限公司 Case generation method and device, test method and testability design method
CN113609804B (en) * 2021-07-27 2023-10-20 西安芯海微电子科技有限公司 Case generation method and device, test method and testability design method
CN114492265A (en) * 2022-04-02 2022-05-13 奇捷科技(深圳)有限公司 Method, equipment and storage medium for determining chip testable design
CN114444419A (en) * 2022-04-11 2022-05-06 奇捷科技(深圳)有限公司 Method and equipment for generating new version circuit of chip and storage medium
CN115656791A (en) * 2022-12-29 2023-01-31 摩尔线程智能科技(北京)有限责任公司 Test method and test platform for chip testability design

Also Published As

Publication number Publication date
CN102081689B (en) 2012-10-03

Similar Documents

Publication Publication Date Title
CN102081689B (en) Method for designing testability of chip
US8781808B2 (en) Prediction-based distributed parallel simulation method
US20090150136A1 (en) Dynamic-based verification apparatus for verification from electronic system level to gate level, and verification method using the same
JP5410414B2 (en) Circuit emulation input and delay input multiplexing
KR100463735B1 (en) Method for design validation of complex ic
JP5405451B2 (en) Technology for use in automated circuit design and simulation
US7490307B2 (en) Automatic generating of timing constraints for the validation/signoff of test structures
US9026966B1 (en) Co-simulation methodology to address performance and runtime challenges of gate level simulations with, SDF timing using emulators
JP2010531002A (en) Technology for use in automated circuit design and simulation
EP1872288A2 (en) Method and system for debugging using replicated logic and trigger logic
US11386250B2 (en) Detecting timing violations in emulation using field programmable gate array (FPGA) reprogramming
US9621143B2 (en) Propagation simulation buffer for clock domain crossing
CN105279345B (en) A kind of soft IP kernel evaluating method of spacecraft numeral
Zhang et al. Software-based self-testing of processors using expanded instructions
KR20040007463A (en) Method and apparatus for design validation of complex ic without using logic simulation
CN107784185B (en) Method and device for extracting pseudo path in gate-level netlist and terminal equipment
US10140412B2 (en) Timing matching method of timing analyzer and method of designing integrated circuit using the same
Zheng et al. The methods of FPGA software verification
US11409931B1 (en) Systems and methods for optimizing scan pipelining in hierarchical test design
CN112613185B (en) Modeling method for noise of composite current source
CN110956007A (en) Method and system for checking simulation signal of digital product
Lam New design-to-test software strategies accelerate time-to-market
Patel et al. Method and Apparatus for Bug Free Rapid Silicon Bringup
Raghuraman Simulation requirements for vectors in ATE formats
Rajsuman Extending EDA environment from design to test

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
EE01 Entry into force of recordation of patent licensing contract

Application publication date: 20110601

Assignee: XI'AN XIANGTENG MICROELECTRONICS TECHNOLOGY Co.,Ltd.

Assignor: 631ST Research Institute OF AVIC

Contract record no.: 2014610000016

Denomination of invention: Method for designing testability of chip

Granted publication date: 20121003

License type: Exclusive License

Record date: 20140320

LICC Enforcement, change and cancellation of record of contracts on the licence for exploitation of a patent or utility model
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20221205

Address after: Room S303, Innovation Building, No. 25, Gaoxin 1st Road, Xi'an, Shaanxi 710075

Patentee after: XI'AN XIANGTENG MICROELECTRONICS TECHNOLOGY Co.,Ltd.

Address before: 710068 No. 156 Taibai North Road, Shaanxi, Xi'an

Patentee before: 631ST Research Institute OF AVIC