CN102063323B - 用于低功率程序调整的功率性能监视器的装置和方法 - Google Patents

用于低功率程序调整的功率性能监视器的装置和方法 Download PDF

Info

Publication number
CN102063323B
CN102063323B CN201010571004.7A CN201010571004A CN102063323B CN 102063323 B CN102063323 B CN 102063323B CN 201010571004 A CN201010571004 A CN 201010571004A CN 102063323 B CN102063323 B CN 102063323B
Authority
CN
China
Prior art keywords
power consumption
level
microoperation
power
application program
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201010571004.7A
Other languages
English (en)
Other versions
CN102063323A (zh
Inventor
C-H·赫西
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN102063323A publication Critical patent/CN102063323A/zh
Application granted granted Critical
Publication of CN102063323B publication Critical patent/CN102063323B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F8/00Arrangements for software engineering
    • G06F8/40Transformation of program code
    • G06F8/41Compilation
    • G06F8/44Encoding
    • G06F8/443Optimisation
    • G06F8/4432Reducing the energy consumption
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Sources (AREA)
  • Devices For Executing Special Programs (AREA)

Abstract

本发明涉及用于低功率程序调整的功率性能监视器的装置和方法。在某些实施例中,描述了一种用于低功率程序调整的功率性能监视器的方法和装置。在一个实施例中,该方法包括对应用程序的指令的功耗等级的计算。一旦计算了功耗等级,就标识应用程序中呈现出过度功耗等级的指令序列。对于所标识的指令序列,重新编译应用程序以降低一个或多个所标识的指令序列的功耗。描述并要求保护了其它实施例。

Description

用于低功率程序调整的功率性能监视器的装置和方法
本申请是申请号为200480036103.8、国际申请日为2004年12月1日、发明名称为“用于低功率程序调整的功率性能监视器的装置和方法”的发明专利申请的分案申请。
发明领域
本发明的一个或多个实施例一般涉及低功率编程领域。更具体而言,本发明的一个或多个实施例涉及用于低功率程序调整的功率性能监视器的方法和装置。
发明背景
大量的研究和系统架构设计工作针对提高计算机系统内的数据吞吐量。诸如数据管道、无序执行等技术使得在以高得多的时钟速率处理时的高级架构能够达到世界级的性能。此外,该研究以及架构重新设计打开了对于膝上型计算机、手持式设备、个人数字助理(PDA)等的移动市场。
不幸的是,当另一功率源不可用时,这些移动平台可能限于由各自的移动平台所使用的电池寿命规定的运行时间。取决于移动平台的复杂性,来自附加电池的功率源可能在相对很短的时间量内耗尽。此外,在移动平台内包括诸如数据管道、无序执行等技术一般导致在执行期间消耗无节制的功率量。因此,高性能移动平台可能无法向用户提供足够数量的移动操作时间。
当前的架构(IA)处理器家族(IA-32和IA-64)向执行程序提供了各种性能监视器,用于记录诸如高速缓存未命中、分支缺失预测、引退指令(retired instruction)等信息,而只有极少的额外开销。编译器也可安装操作系统驱动器以记录各种性能监视器信息。另外,性能监视信息用于下一程序编译以加速基于典型使用周期的代码。在过去,性能监视器帮助程序员和编译器改良所生成的程序代码而无需求助于传统的探测代码,这些探测代码实质上导致了额外开销或改变程序特征而使所测得的统计量变得不可使用。
不幸的是,在低功率编程领域,用于精确测定应用程序中比该程序的剩余部分消耗更多功率的各部分的性能监视器不存在。常规的编译器无法在没有处理器的帮助下收集处理器的功耗信息。因此,如果没有合适的工具,研究人员通常依赖于某些低功率原则以将其编程或计算策略提升为要求低功率。这些实践通常造成对处理器中实际发生了什么的不准确考虑。研究人员通常将低功率与性能相关。因此,以较少时间实现同一吞吐量的大多数性能增强操作被错误地标记为低功率技术。
附图说明
在各附图中,本发明的各种实施例是作为示例而非局限示出的,附图中:
图1是示出依照一个实施例包括功率优化编译器的计算机系统的框图。
图2是示出依照一个实施例,被配置成计算执行应用程序的指令所需的功耗等级的图1所描述的微架构的框图。
图3是进一步示出依照一个实施例的图2的功能单元和微操作的框图。
图4是进一步示出依照一个实施例的图1的编译器的运行时优化器的框图,用于标识应用程序中具有过度功耗等级的指令序列。
图5是示出依照一个实施例,用于重新编译应用程序以降低所标识的具有过度功耗等级的指令序列的功耗等级的方法的流程图。
图6是示出依照一个实施例,用于计算应用程序的指令的功耗等级的方法的流程图。
图7是示出依照一个实施例,用于更新由微架构的功能单元处理的微操作的功耗字段的方法的流程图。
图8是示出依照一个实施例,用于将一个或多个所标识的微操作的功耗字段递增一确定的功耗等级的方法的流程图。
图9是示出依照一个实施例,用于依照每一所执行的微操作功耗字段的值更新功率历史缓冲器条目的方法的流程图。
图10是示出依照一个实施例,用于标识应用程序中具有过度功耗等级的指令序列的方法的流程图。
图11是示出用于重新编译应用程序以降低一个或多个所标识的指令序列的功耗等级的方法的流程图。
图12是示出使用所公开的技术的设计的模拟、仿真和制造的各种设计表示或格式的框图。
具体实施方式
描述了用于低功率程序调整的功率性能监视器的方法和装置。在一个实施例中,该方法包括对应用程序的指令的功耗等级的计算。一旦计算了功耗等级,标识应用程序中显示出过度功耗等级的指令序列。对于所标识的指令序列,重新编译应用程序,以降低一个或多个所标识的指令序列的功耗等级。
在一个实施例中,在无法降低指令序列的功耗的情况下,监视对执行该指令序列所需的功能单元的利用。因此,可在对功能单元的利用低于预定等级的时间段期间执行该指令序列。在一个实施例中,降低了应用程序的指令的功耗等级,并且除功耗降低之外,还可减少对功能单元的利用以防止过热。
系统
图1是示出依照本发明的一个实施例包括具有微架构200的处理器110的计算机系统100。在一个实施例中,依照一个实施例,计算机系统100包括功率优化编译器300,以重新编译应用程序来降低所标识的具有过度功耗等级的指令序列的功耗等级。计算机系统100包括用于在处理器(CPU)110和通过前端总线(FSB)102耦合在一起的芯片组180之间传递信息的处理器系统总线(FSB)102。
如此处所描述的,术语“芯片组”以对本领域的技术人员公知的方式来使用,以共同描述耦合到CPU110来执行所需的系统功能的各种设备。芯片组180由存储器控制器或存储器控制器集线器(MCH)120以及输入/输出(I/O)控制器或I/O控制器集线器(ICH)130组成。在一个实施例中,I/O总线125将MCH120耦合到ICH130。芯片组180的存储器控制器120耦合到主存储器140以及一个或多个图形设备或图形控制器160。
在一个实施例中,主存储器110是非易失性存储器,包括但不限于,随机存取存储器(RAM)、同步RAM(SRAM)、双数据速率(DDR)S-数据RAM(SDRAM)、Rambus数据RAM(RDRAM)等等。另外,硬盘驱动器设备(HDD)150以及一个或多个I/O设备170(170-1、……、170-N)耦合到芯片组180的I/O控制器130。如图所示,依照本发明的一个实施例,如对图2所示出的,CPU110包括计算执行应用程序的指令所需的功耗等级的微架构200。
应当理解,本发明的实施例可用于具有处理器的任何装置。尽管系统100的实施例在这一方面不受限制,但是系统100可以是包括诸如电池等自包含电源供给(源)104的便携式设备。这类便携式设备的示例的非穷举列表包括膝上型和笔记本计算机、移动电话、个人数字助理(PDA)等等。或者,系统100可以是非便携式设备,诸如不包括可任选源104的台式计算机或服务器计算机。
不幸的是,当另一功率源不可用时,这些移动平台可能限于由各自的移动平台所使用的电池寿命规定的运行时间。取决于移动平台的复杂性,来自附加电池的功率资源可在相对很短的时间量内耗尽。此外,在移动平台中包括诸如数据管道、无序执行等技术一般导致在执行期间消耗无节制的功率量。因此,高性能移动平台可能无法向用户提供足够数量的移动操作时间。
因此,在一个实施例中,如图2所示,微架构200包括功耗计量器(PM)以协助编译器300精确测定应用程序中比该程序的剩余部分消耗更多功率的各部分。在一个实施例中,编译器300确定与采样的程序计数器处的指令(序列)相关联的功耗信息。编译器300可使用该信息来标识应用程序的哪一部分消耗了最多的功率,并切换到替换的算法或优化策略来实现较少的功耗。在一个实施例中,运行时分析器接收恒定的反馈来重新编译程序,以对给定的性能目标实现较低的功耗。因此,编译器300可在提供指定的吞吐量的同时在性能和消耗的功率之间良好地权衡利弊。
作为代表,微架构200被配置成实现动态执行。如此处所描述的,“动态执行”指的是依照程序顺序使用前端逻辑202来取出下一指令,并准备用于系统管道中的后续执行的指令。因此,前端逻辑202IFU(未示出)经由总线接口单元(BIU)270取出宏指令。一旦取出了指令,将指令解码成基本操作,此处称为“微操作”(uOP)。响应于所接收到的宏指令204,指令解码器(ID)210(210-1、210-2)将宏指令解码成一个或多个uOP,这些uOP被提供给指令解码器队列(IDQ)(未示出),随后提供给无序(OOO)核心220。
实际上,前端逻辑202向OOO核心220提供高带宽的已解码指令流,这指示了指令的执行(实际编译)。为了以最有效的方式执行指令,前端逻辑202可利用高度准确的分支预测逻辑(未示出)以推测程序下一步将执行哪里,或者此处称为动态执行。一旦接收到,uOP被调度成避免在遵循延迟指令时停止。换言之,当需要确保对可用处理器资源的最有效使用时,uOP是以“无序”执行方式来执行的。
作为代表,OOO核心220的保留站(RS)230从前端逻辑202接收已解码的uOP212。在一个实施例中,由RS230接收的uOP212保留在RS230中,以等待所引用的源操作数的到达。一旦接收到相应的uOP的源操作数,RS230在一个或多个执行单元中调度相应uOP的执行,这些执行单元包括处理简单的算术和逻辑操作的算术逻辑单元(ALU)240(A1240-1、A2240-2)。同样,保留在浮点单元(FP)管道250中调度浮点指令的执行。如此处所描述的,FP250和ALU240被总称为执行单元。
在一个实施例中,执行单元使用存储器单元管道(M),该管道使用总线和存储器子系统块(BIU)270来执行所接收的uOP。随后,所执行的uOP由引退单元(RT)280接收。在一个实施例中,RT280依照程序顺序从执行单元240-250接收所执行的uOP的完成状态,并处理该结果以提交(引退)正确的架构状态。如此处所描述的,术语“功能单元”(FU)指的是用于调度和执行uOP的前端逻辑202和OOO核心220的各种组件(210-280)。
然而,与常规的微架构形成对比,微架构200包括相应的功率计量器(PM)290(290-1、……、290-9),它耦合到微架构200的各种FU(210-280)。在一个实施例中,PM290被配置成测量由附加的FU(210-280)在程序周期期间消耗的功率。在一个实施例中,诸如解码器(ID210)等FU(210-280)包括被配置成测量由ID210在例如程序周期期间消耗的功率的附加PM(例如,290)。
在一个实施例中,FU(210-280)与附加的PM290通信以接收由附加的PM290在程序周期期间测量的功耗值。在一个实施例中,FU(210-280)允许测量应用程序的指令在执行期间消耗的功耗等级。作为代表,如此处所描述的PM被放置在管芯上,并直接耦合到微架构的相应FU以提供对相应PU在程序周期期间消耗的功率的实际上精确的测量。
在一个实施例中,如参考图3所示的,uOP212包括程序计数器(PC)字段214,以及功耗值(PCV)字段216。因此,在所接收的宏指令的初始解码期间,与宏指令204相关联的程序计数器值被放置在从宏指令204解码的每一uOP的PC字段214内。然而,某些复杂宏指令可能需要解码成多个uOP。因此,当uOP在微架构200的各种FU(210-280)之间转移时,在一个实施例中,各种FU(210-280)查询附加的功率计量器290以确定由相应的FU(210-280)在程序周期期间处理一个或多个uOP所消耗的功耗值。
在一个实施例中,FU(210-280)重复查询其各自的PM以接收功耗值。在一个实施例中,功耗值用于递增uOP212的PCV字段216。因此,一旦执行了uOP212并到达RT280,PCV字段216就包含表示每一FU(210-280)在执行期间处理相应的uOP所需的所消耗的功率的总和的功耗值。因此,在一个实施例中,RT280在引退每一接收到的已执行uOP之前更新内部功率历史缓冲器PHB。
因此,在一个实施例中,RT280依照已执行的uOP212的PC字段214确定程序计数器值,并更新对应于已执行uOP212的PCD值的内部PHB内的条目。在一个实施例中,内部PHB可在例如微架构200的硬件寄存器(未示出)内实现。在一个实施例中,内部PHB包括固定数量的条目。因此,RT280在内部PHB内生成新条目会导致内部PHB的最近最少更新条目的转储清除(“PHB溢出事件”)。在一个实施例中,内部PHB可在一个或多个128位寄存器中实现以避免PHB溢出事件。
在一个实施例中,运行时分析器(RTA)350可利用操作系统(OS)驱动程序来周期性地发出中断,以从内部PHB读取值来形成PHB380,如图4所示。作为代表,PHB380包括PC列382以及功耗值(PCV)列384。因此,一旦在微架构200中执行了从接收的宏指令解码的每一uOP,PCV列420就表示由相应的宏指令消耗的功率。在一个实施例中,RTA350周期性地发出中断,以从内部PHB读取值来更新PHB380,并可使用各种程序功耗信息来标识应用程序中具有过度功耗等级的指令序列。
再次参考图3,示出了FU400,在一个实施例中,它可用作图2的微架构200的FU(210-280)。作为代表,在一个实施例中,FU400包括平均功率计量器(APM)字段(寄存器)410,以及利用(U)字段(寄存器)420。在一个实施例中,FU400依照所测得的功耗值除以由FU400在程序周期期间处理的uOP数(每周期值的功耗)来更新APM寄存器410。在一个实施例中,FU400累积每周期值功耗来生成由FU400在APM寄存器410内消耗的平均功耗量。
在另一实施例中,除跟踪消耗的平均功耗之外,每一FU(210-280)也可跟踪例如U寄存器420中的利用率(即,总周期除以完成实际工作的周期(非空闲周期)),如图3所示的。在一个实施例中,U寄存器420是使用两个寄存器来实现的。例如,第一寄存器可用于包含总周期的计数。另外,第二寄存器可用于包含总非空闲周期的计数。在一个实施例中,第一和第二寄存器是128位寄存器。
因此,在一个实施例中,如图4所示,例如,由RTA350指向的操作系统(OS)驱动程序可读取FU400的各种U寄存器420以及APM寄存器410,以对每一FU210-280标识典型的功率使用。作为代表,RTA350维护依照APM寄存器410和U寄存器420的功耗表(PCT)360。在一个实施例中,PCT360以及PHB380是通过使用可由RTA350以及OS驱动程序访问的一个或多个寄存器,诸如128位寄存器来实现的。作为代表,RTA350被实现为软件组件,但是可取决于期望的实现而被实现为硬件组件。
在一个实施例中,编译器300可利用来自APM寄存器410的信息以及来自U寄存器420的信息来标识由FU执行的具有超过预定FU功耗等级的APM值的指令序列。在一个实施例中,这样标识的“高功率指令序列”可用替换的指令序列来替代,以利用少于预定FU功耗等级的具有平均功耗等级的FU。在又一实施例中,当替换指令序列不可用时,依照U寄存器420,编译器300延迟发出所标识的高功率指令序列,并在所标识的低利用时间期间限制向FU发出这些指令序列。现在将描述用于实现本发明的各实施例的过程方法。
操作
图5是示出依照一个实施例,如参考图1-4所描述的,用于重新编译应用程序以降低具有过度功耗等级的一个或多个所标识的指令序列的功耗等级的方法500的流程图。在处理框502,为应用程序的指令计算功耗等级。在处理框560,标识应用程序中具有过度功耗等级的指令序列。如此处所描述的,具有过度功耗等级的指令可包括属于临界功率路径指令序列的指令,诸如作为显示出过度功耗等级的临界路径的一部分的指令序列。
在一个实施例中,所标识的具有过度功耗等级的指令序列可能属于高功率等级指令序列。如此处所描述的,高功率等级指令序列包括由微架构中具有超过预定FU功耗等级的功耗等级的功能单元(FU)执行的指令。一旦标识了指令序列,在处理框580,重新编译应用程序以降低一个或多个所标识的指令序列的功耗等级。在一个替换实施例中,重新编译应用程序以降低处理器微架构的各种功能单元的过热。
图6是示出依照本发明的一个实施例,图5的处理框502中用于计算指令的功耗等级的方法510的流程图。在处理框512,在一个或多个微架构功能单元(FU)内执行从应用程序的指令解码的微指令(uOP)。在处理框514,每一FU用相应FU处理相应uOP所需的功耗等级更新由相应FU处理的每一uOP的功耗字段。
因此,如参考图2和3所示的,各种FU(210-280)更新例如uOP212的PCV字段216。一旦被更新,在处理框540,依照每一所执行的微操作的功耗字段的值来更新功率历史缓冲器(PHB)。因此,在一个实施例中,如参考图2所示的,在RT280引退之前,在RT280的内部PHB中更新每一uOP212的PCV字段216的值。在一个实施例中,运行时分析器(RTA)350依照RT280的内部PHB更新PHB380,如参考图4所示的。
图7是示出依照一个实施例,图6的处理框514中用于更新的功耗字段的方法520的流程图。在处理框522,为程序周期确定FU的功耗等级。在一个实施例中,通过查询耦合到FU的功耗计量器(PM)(例如,参考图2所示的)来执行FU的功耗等级的确定。一旦被查询,PM290就返回由PM290在程序周期期间测量的功耗等级。在处理框524,标识由FU在程序周期期间处理的一个或多个uOP。
因此,如参考图2所示的,FU(210-280)跟踪在程序周期期间处理的各种uOP。在处理框526,将越来越多的所标识的uOP的功耗字段的值递增一确定的功耗等级。因此,在处理框538,为每一程序周期重复处理框522-526。如此处所描述的,图2的微架构200表示处理器管道,这实现了各种uOP的并行执行。因此,在一个实施例中,由微架构200的每一FU(210-280)并行地执行方法510和520。
图8是示出图7的处理框526中用于递增PCV字段的方法528的流程图。在处理框530,将确定的功耗等级除以程序周期期间处理的所标识的uOP的计数,以形成每一周期值的功耗。一旦形成,在处理框532,将所标识的uOP的PCV字段递增每一周期值的功耗。在处理框534,将FU的平均功耗计量器(APM)递增一每一周期值的功耗。在处理框536,为程序周期递增FU的利用寄存器(计量器)。
在一个实施例中,每一APM410包含所消耗的总功率除以为相应的FU(例如,210-280)处理的uOP的总数。在一个替换实施例中,将包含为相应的FU消耗的总功率的总和的第一128位整数寄存器递增每一新uP在由相应的FU(210-280)处理时消耗的功率量。另外,为由相应的FU处理的每一新uOP递增包含由相应的FU处理的uOP的总数的总和的第二128位整数寄存器。作为代表,RTA350或OS驱动程序对第一和第二128寄存器进行采样,并离线划分采样的值以将相应的FU的APM值储存在PCT360中(见图4)。
图9是示出依照一个实施例,图6的处理框540中用于更新内部PHB的方法542的流程图。在处理框544,接收已执行的uOP。一旦接收,在处理框546,依照已执行uOP的PC字段标识与已执行uOP相关联的程序计数器值。在处理框548,依照已执行uOP的PCV字段标识与已执行uOP相关联的功耗等级。
在处理框550,将内部PHB内对应于已执行uOP的PC字段值的条目递增已执行uOP的PCV字段的值。在处理框552,为每一接收的已执行uOP重复处理框544-550。在一个实施例中,方法542描述了由RT280执行的操作,例如,如参考图4所示的,用于更新由RTA350读取来形成PHB380的内部PHB。
图10是示出依照一个实施例,图5的处理框560中用于标识具有过度功耗等级的指令序列的方法562的流程图。在处理框564,周期性地查询内部PHB以标识应用程序的指令的功耗等级。在一个实施例中,CPU110可以在预定的周期或者在发生内部PHB溢出事件时发出中断,以使驱动程序能够记录可任选地清除的内部PHB的内容。在一个实施例中,由RTA350从APM寄存器410和U寄存器420中读取APM值和U值(图4)。如此处所描述的,执行对内部PHB的查询,因为当使用寄存器实现时,内部PHB包括有限个数的条目。
在处理框566,检测具有超过预定功耗等级的功耗等级的指令。在处理框568,从检测的指令中标识临界路径功率指令,作为落入具有高功耗等级的频繁执行的指令路径内的指令。在一个实施例中,应用程序临界路径可使用常规技术来标识。一旦被标识,可分析临界路径以确定由临界路径消耗的功耗等级。对于具有过度功耗等级的临界路径,这些临界路径被标识为临界功率路径指令序列。在处理框570,从检测的指令中标识高功率等级指令序列,作为由具有大于预定FU功耗等级的平均功耗等级的FU执行的指令序列。
图11是示出图5的处理框580中,用于重新编译应用程序以降低由具有过度功耗等级的一个或多个所标识指令序列消耗的功率的方法582的流程图。在处理框584,用替换指令序列替代所标识的临界功率路径指令序列,以通过使用替换指令序列来降低功耗等级。在处理框586,重新分发高功率等级指令序列以利用具有较低的平均功耗等级的FU。
在处理框588,运行时优化器可标识用于执行所标识的高功率等级指令序列的一个或多个FU的空闲时间。在一个实施例中,这可通过访问例如相应FU的利用寄存器来执行。由此,在处理框590,当不能向具有较低平均功耗等级的FU重新分发高功率等级指令序列时,在一个实施例中,编译器可在FU的利用较低时向一个或多个FU发出高功率指令序列以禁止FU过热。
因此,如此处所描述的,对于需要额外的时间来完成执行的指令,或对于位于临界路径上的指令,这些指令一般会显示出高功耗等级,如从PHB380中确定的(图4)。因此,在一个实施例中,可使用各种技术以如应用程序所需的在例如RTA350的后处理期间将执行频率与调整功率信息相关。因此,如此处所描述的,编译器300可使用随时间采样的二元组字段(PC、PCV)来标识具有过度功耗等级的应用程序。
在一个实施例中,当从指令解码的uOP由显示出超过平均功耗等级的FU执行时,该指令被标识为高功率等级指令。另外,显示出过度功耗等级的所标识的指令序列可包括被解码成多个uOP以供执行的指令序列,和/或落入应用程序的临界路径的指令序列。因此,在一个实施例中,编译器300可用替换指令序列来代替这些指令序列,替换指令序列以略微降低的性能为代价消耗较少的功率,同时满足了总体性能目标。
在一个替换实施例中,编译器300可通过使用OS驱动程序来对APM寄存器采样,以标识消耗较少功率的FU。因此,在重新编译应用程序期间,编译器300可分发具有过度功耗等级的所标识指令序列,以最小化应用程序的总功耗。在又一实施例中,微架构的FU的利用等级用于在各种FU的所标识的空闲时间段期间发出指令。因此,在一个实施例中,编码器可通过在所标识的FU利用率低时发出所标识的高功率指令序列,而利用动态方法来防止FU过热。
因此,在一个实施例中,编译器使用PHB,通过查询APM寄存器以及U寄存器来标识消耗无节制的功率量的程序各部分,以协助编译器对功能单元的不同混合实现不同的优化策略。尽管此处所描述的实施例针对处理器的微架构,此处所描述的实施例可应用于其它单元,诸如存储、计算机图形设备和I/O,诸如外围互连设备。因此,在一个实施例中,可将功率计量器附加并采样到所附加的程序组件的类似功能单元。因此,OS可对外部单元中消耗的功率采样,并相应地调度任务以防止程序系统组件过热。
图12是示出用于使用所公开的技术的设计的模拟、仿真和制造的各种表示或格式的框图。表示设计的数据可以用多种方式来表示设计。首先,如在模拟中有用的,硬件可使用硬件描述语言或本质上提供关于期望所设计的硬件如何执行的计算机化模型的另一功能描述语言来表示。硬件模型610可被储存在诸如计算机存储器等存储介质600中,使得该模型可使用向硬件模型应用特定的测试套件630来确定它实际上是否如预期的那样运作的模拟软件620来模拟。在某些实施例中,不在介质中记录、捕捉或包含模拟软件。
在设计的任何表示中,数据可以被储存在任何形式的机器可读介质中。被调制或另外生成来传输这些信息的光波或电波660、存储器650或诸如磁盘等磁或光存储640可以是机器可读介质。这些介质中的任一个可承载设计信息。由此,术语“承载”(例如,承载信息的机器可读介质)覆盖了储存在存储设备上的信息或被编码或调制成载波或被编码或调制到载波上的信息。描述设计或设计细节的一组位(当被包含在诸如载波或存储介质等机器可读介质中时)是可被密封在其本身中或其本身外,或可由其它事物用于进一步设计或制造的物品。
可以理解,即使在以上描述中陈述了各种实施例的众多特性优点,但是本公开连同本发明的各种实施例的结构和功能的细节都仅是说明性的。在某些情况下,仅对于一个这样的实施例详细描述了某些子组件。尽管如此,可以认识并预期到,这些子组件可在本发明的其它实施例中使用。可在本发明的实施例的原理内,在由表达了所附权利要求书的术语的广泛概括意义所指示的完全意义上,部分地在细节上,尤其在结构和管理的方面进行改变。
公开了示例性实施例和最佳模式之后,可以对所公开的实施例进行修改和变化,同时保留在如所附权利要求书所定义的本发明的实施例的范围之内。

Claims (9)

1.一种处理器,包括:
至少一个功能单元,被配置为用于执行从应用程序的指令解码的微操作,所述至少一个功能单元被进一步配置为根据在应用程序执行期间所述至少一个功能单元的所测量的功耗等级,允许测量所述应用程序的指令的功耗等级,和
存储器,其与所述至少一个功能单元耦合,所述存储器包括编译器,所述编译器被配置为用于根据在应用程序执行期间所述至少一个功能单元的所测量的功耗等级,重新编译所述应用程序,以降低被标识为具有过度功耗等级的至少一个指令序列的功耗等级。
2.如权利要求1所述的处理器,其特征在于,所述至少一个功能单元还包括:
引退单元,被配置为用于依照每一执行的微操作的功耗字段的值,更新功率历史缓冲器的条目,其中所述功率历史缓冲器用于为每一执行的微操作将程序计数器值存储于程序计数器字段中以及将用以处理所述微操作的功耗等级存储于所述功耗字段中。
3.如权利要求1所述的处理器,其特征在于,所述至少一个功能单元被进一步配置为用于将每一微操作的功耗字段递增处理相应的微操作所需的功耗等级,其中所述功耗字段用于存储用以处理所述微操作的功耗等级。
4.如权利要求1所述的处理器,其特征在于,还包括:
功率计量器,其耦合到所述至少一个功能单元,所述功率计量器被配置为用于测量在程序周期期间由所述至少一个功能单元消耗的功率。
5.如权利要求1所述的处理器,其特征在于,所述至少一个功能单元还包括:
平均功耗计量器寄存器,并且所述至少一个功能单元被进一步配置为用于依照每一周期值的功耗,更新所述平均功耗计量器寄存器。
6.一种用于低功率程序调整的功率性能监视器的方法,包括:
根据在应用程序执行期间一个或多个微架构功能单元的所测量的功耗等级,计算所述应用程序的指令序列的功耗等级,其中用于计算应用程序的指令序列的功耗等级的步骤包括:
在所述一个或多个微架构功能单元内执行从所述应用程序的指令解码的微操作;
由每一功能单元,用在所述微操作执行期间处理相应的微操作所需的相应功能单元的所测量的功耗等级,来更新由相应的功能单元处理的每一微操作的功耗字段;以及
在引退每一执行的微操作之前,依照每一执行的微操作的功耗字段的值更新功率历史缓冲器的条目,其中所述功率历史缓冲器用于为每一执行的微操作将程序计数器值存储于程序计数器字段中以及将用以处理所述微操作的功耗等级存储于所述功耗字段中;
标识所述应用程序中具有过度功耗等级的指令序列,其中用于标识所述应用程序中具有过度功耗等级的指令序列的步骤包括:
周期性地查询功率历史缓冲器以标识所述应用程序的指令的功耗等级;
检测具有超过预定功耗等级的功耗等级的指令;
从所检测的指令中标识临界功率路径指令序列,作为落入频繁执行的指令路径内的指令;以及
从所检测的指令中标识指令序列,作为由具有大于所述预定功耗等级的所测量功耗等级的功能单元执行的具有所述过度功耗等级的指令序列;
重新编译所述应用程序以降低一个或多个所标识的指令序列的过度功耗等级。
7.一种用于低功率程序调整的功率性能监视器的方法,包括:
根据在应用程序执行期间一个或多个微架构功能单元的所测量的功耗等级,计算所述应用程序的指令序列的功耗等级,其中用于计算应用程序的指令序列的功耗等级的步骤包括:
在所述一个或多个微架构功能单元内执行从所述应用程序的指令解码的微操作;
由每一功能单元,用在所述微操作执行期间处理相应的微操作所需的相应功能单元的所测量的功耗等级,来更新由相应的功能单元处理的每一微操作的功耗字段;以及
在引退每一执行的微操作之前,依照每一执行的微操作的功耗字段的值更新功率历史缓冲器的条目,其中所述功率历史缓冲器用于为每一执行的微操作将程序计数器值存储于程序计数器字段中以及将用以处理所述微操作的功耗等级存储于所述功耗字段中;
标识所述应用程序中具有过度功耗等级的指令序列;
重新编译所述应用程序以降低一个或多个所标识的指令序列的过度功耗等级,其中用于重新编译的步骤包括:
用替换指令序列替代所标识的临界功率路径指令序列,以通过使用所述替换指令序列来降低程序功耗等级。
8.一种用于低功率程序调整的功率性能监视器的方法,包括:
根据在应用程序执行期间至少一个功能单元的所测量的功耗等级,计算所述应用程序的指令序列的功耗等级;
标识应用程序中具有过度功耗等级的指令序列;
重新编译所述应用程序以降低一个或多个所标识的指令序列的过度功耗等级,其中用于重新编译的步骤包括:
重新分发高功率等级指令序列,以利用具有较低的平均功耗等级的功能单元。
9.一种用于低功率程序调整的功率性能监视器的方法,包括:
根据在应用程序执行期间一个或多个微架构功能单元的所测量的功耗等级,计算所述应用程序的指令序列的功耗等级,其中用于计算应用程序的指令序列的功耗等级的步骤包括:
在所述一个或多个微架构功能单元内执行从所述应用程序的指令解码的微操作;
由每一功能单元,用在所述微操作执行期间处理相应的微操作所需的相应功能单元的所测量的功耗等级,来更新由相应的功能单元处理的每一微操作的功耗字段;以及
在引退每一执行的微操作之前,依照每一执行的微操作的功耗字段的值更新功率历史缓冲器的条目,其中所述功率历史缓冲器用于为每一执行的微操作将程序计数器值存储于程序计数器字段中以及将用以处理所述微操作的功耗等级存储于所述功耗字段中;
标识所述应用程序中具有过度功耗等级的指令序列;
对用于执行所标识的高功耗等级指令序列的一个或多个功能单元的空闲时间进行采样;以及
当一个或多个功能单元的利用率较低时,向所述一个或多个功能单元发出所述高功耗等级指令序列以禁止功能单元过热。
CN201010571004.7A 2003-12-19 2004-12-01 用于低功率程序调整的功率性能监视器的装置和方法 Expired - Fee Related CN102063323B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/741,002 2003-12-19
US10/741,002 US7287173B2 (en) 2003-12-19 2003-12-19 Method for computing power consumption levels of instruction and recompiling the program to reduce the excess power consumption
CN2004800361038A CN1890636B (zh) 2003-12-19 2004-12-01 用于低功率程序调整的功率性能监视器的装置和方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2004800361038A Division CN1890636B (zh) 2003-12-19 2004-12-01 用于低功率程序调整的功率性能监视器的装置和方法

Publications (2)

Publication Number Publication Date
CN102063323A CN102063323A (zh) 2011-05-18
CN102063323B true CN102063323B (zh) 2017-03-01

Family

ID=34678022

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201611199215.6A Expired - Fee Related CN106598691B (zh) 2003-12-19 2004-12-01 用于低功率程序调整的功率性能监视器的装置和方法
CN201010571004.7A Expired - Fee Related CN102063323B (zh) 2003-12-19 2004-12-01 用于低功率程序调整的功率性能监视器的装置和方法
CN2004800361038A Expired - Fee Related CN1890636B (zh) 2003-12-19 2004-12-01 用于低功率程序调整的功率性能监视器的装置和方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201611199215.6A Expired - Fee Related CN106598691B (zh) 2003-12-19 2004-12-01 用于低功率程序调整的功率性能监视器的装置和方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2004800361038A Expired - Fee Related CN1890636B (zh) 2003-12-19 2004-12-01 用于低功率程序调整的功率性能监视器的装置和方法

Country Status (5)

Country Link
US (1) US7287173B2 (zh)
CN (3) CN106598691B (zh)
DE (1) DE112004002506B4 (zh)
TW (1) TWI301573B (zh)
WO (1) WO2005066774A1 (zh)

Families Citing this family (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050160474A1 (en) * 2004-01-15 2005-07-21 Fujitsu Limited Information processing device and program
US7898545B1 (en) * 2004-12-14 2011-03-01 Nvidia Corporation Apparatus, system, and method for integrated heterogeneous processors
US7466316B1 (en) 2004-12-14 2008-12-16 Nvidia Corporation Apparatus, system, and method for distributing work to integrated heterogeneous processors
JP2007109085A (ja) * 2005-10-14 2007-04-26 Sony Computer Entertainment Inc 発熱制御方法、装置およびシステム
US7512513B2 (en) * 2005-11-29 2009-03-31 International Business Machines Corporation Thermal throttling control for testing of real-time software
US7848901B2 (en) * 2005-11-29 2010-12-07 International Business Machines Corporation Tracing thermal data via performance monitoring
US7698089B2 (en) * 2005-11-29 2010-04-13 International Business Machines Corporation Generation of software thermal profiles executed on a set of processors using processor activity
US7376532B2 (en) * 2005-11-29 2008-05-20 International Business Machines Corporation Maximal temperature logging
US7460932B2 (en) * 2005-11-29 2008-12-02 International Business Machines Corporation Support of deep power savings mode and partial good in a thermal management system
US20070124618A1 (en) * 2005-11-29 2007-05-31 Aguilar Maximino Jr Optimizing power and performance using software and hardware thermal profiles
US7386414B2 (en) * 2005-11-29 2008-06-10 International Business Machines Corporation Generation of hardware thermal profiles for a set of processors
US7681053B2 (en) * 2005-11-29 2010-03-16 International Business Machines Corporation Thermal throttle control with minimal impact to interrupt latency
US7721128B2 (en) * 2005-11-29 2010-05-18 International Business Machines Corporation Implementation of thermal throttling logic
US7603576B2 (en) * 2005-11-29 2009-10-13 International Business Machines Corporation Hysteresis in thermal throttling
US7512530B2 (en) * 2005-11-29 2009-03-31 International Business Machines Corporation Generation of software thermal profiles for applications in a simulated environment
US7552346B2 (en) * 2006-05-03 2009-06-23 International Business Machines Corporation Dynamically adapting software for reducing a thermal state of a processor core based on its thermal index
US20070260894A1 (en) * 2006-05-03 2007-11-08 Aguilar Maximino Jr Optimizing thermal performance using feed-back directed optimization
US8037893B2 (en) * 2006-05-03 2011-10-18 International Business Machines Corporation Optimizing thermal performance using thermal flow analysis
US7596430B2 (en) * 2006-05-03 2009-09-29 International Business Machines Corporation Selection of processor cores for optimal thermal performance
US20080046546A1 (en) * 2006-08-18 2008-02-21 Parmar Pankaj N EFI based mechanism to export platform management capabilities to the OS
WO2008028105A2 (en) * 2006-08-31 2008-03-06 Ati Technologies Ulc Video decoder and/or battery-powered device with reduced power consumption and methods thereof
JP2008141013A (ja) * 2006-12-01 2008-06-19 Matsushita Electric Ind Co Ltd 半導体装置
US7853812B2 (en) 2007-02-07 2010-12-14 International Business Machines Corporation Reducing power usage in a software application
US7937568B2 (en) * 2007-07-11 2011-05-03 International Business Machines Corporation Adaptive execution cycle control method for enhanced instruction throughput
US7779237B2 (en) * 2007-07-11 2010-08-17 International Business Machines Corporation Adaptive execution frequency control method for enhanced instruction throughput
TW200919306A (en) * 2007-07-11 2009-05-01 Ibm Adaptive execution frequency control method for enhanced instruction throughput
US20110213995A1 (en) * 2007-08-09 2011-09-01 International Business Machines Corporation Method, Apparatus And Computer Program Product Providing Instruction Monitoring For Reduction Of Energy Usage
US20090044032A1 (en) * 2007-08-09 2009-02-12 Timothy Chainer Method, Apparatus and Computer Program Product Providing Instruction Monitoring for Reduction of Energy Usage
JP2009048264A (ja) * 2007-08-14 2009-03-05 Oki Electric Ind Co Ltd 半導体集積回路装置
US7992017B2 (en) 2007-09-11 2011-08-02 Intel Corporation Methods and apparatuses for reducing step loads of processors
US8027798B2 (en) * 2007-11-08 2011-09-27 International Business Machines Corporation Digital thermal sensor test implementation without using main core voltage supply
US8495605B2 (en) * 2008-06-16 2013-07-23 International Business Machines Corporation Policy-based program optimization to minimize environmental impact of software execution
US8051480B2 (en) 2008-10-21 2011-11-01 Lookout, Inc. System and method for monitoring and analyzing multiple interfaces and multiple protocols
US9043919B2 (en) 2008-10-21 2015-05-26 Lookout, Inc. Crawling multiple markets and correlating
US9235704B2 (en) 2008-10-21 2016-01-12 Lookout, Inc. System and method for a scanning API
US8060936B2 (en) 2008-10-21 2011-11-15 Lookout, Inc. Security status and information display system
US8347386B2 (en) 2008-10-21 2013-01-01 Lookout, Inc. System and method for server-coupled malware prevention
US8984628B2 (en) * 2008-10-21 2015-03-17 Lookout, Inc. System and method for adverse mobile application identification
US9781148B2 (en) 2008-10-21 2017-10-03 Lookout, Inc. Methods and systems for sharing risk responses between collections of mobile communications devices
US8108933B2 (en) 2008-10-21 2012-01-31 Lookout, Inc. System and method for attack and malware prevention
US8533844B2 (en) 2008-10-21 2013-09-10 Lookout, Inc. System and method for security data collection and analysis
US9367680B2 (en) 2008-10-21 2016-06-14 Lookout, Inc. System and method for mobile communication device application advisement
US8087067B2 (en) 2008-10-21 2011-12-27 Lookout, Inc. Secure mobile platform system
US8538815B2 (en) * 2009-02-17 2013-09-17 Lookout, Inc. System and method for mobile device replacement
US9042876B2 (en) 2009-02-17 2015-05-26 Lookout, Inc. System and method for uploading location information based on device movement
US8855601B2 (en) 2009-02-17 2014-10-07 Lookout, Inc. System and method for remotely-initiated audio communication
US9955352B2 (en) 2009-02-17 2018-04-24 Lookout, Inc. Methods and systems for addressing mobile communications devices that are lost or stolen but not yet reported as such
US8467768B2 (en) 2009-02-17 2013-06-18 Lookout, Inc. System and method for remotely securing or recovering a mobile device
US20110022870A1 (en) * 2009-07-21 2011-01-27 Microsoft Corporation Component power monitoring and workload optimization
US8280456B2 (en) * 2009-08-14 2012-10-02 Google Inc. Providing a user with feedback regarding power consumption in battery-operated electronic devices
US20110078655A1 (en) * 2009-09-30 2011-03-31 International Business Machines Corporation Creating functional equivalent code segments of a computer software program with lower energy footprints
US8549330B2 (en) 2009-12-18 2013-10-01 International Business Machines Corporation Dynamic energy management
US8738765B2 (en) 2011-06-14 2014-05-27 Lookout, Inc. Mobile device DNS optimization
US9465427B2 (en) * 2011-06-30 2016-10-11 International Business Machines Corporation Software-centric power management by indirectly determining that user is not actively using computer program running on computing device
US8788881B2 (en) 2011-08-17 2014-07-22 Lookout, Inc. System and method for mobile device push communications
US8904208B2 (en) * 2011-11-04 2014-12-02 International Business Machines Corporation Run-time task-level dynamic energy management
JP5790431B2 (ja) * 2011-11-18 2015-10-07 富士通株式会社 設計支援装置、設計支援方法および設計支援プログラム
KR20130080323A (ko) * 2012-01-04 2013-07-12 삼성전자주식회사 배열 구조를 갖는 프로세서를 위한 전력 제어 방법 및 장치
US9589129B2 (en) 2012-06-05 2017-03-07 Lookout, Inc. Determining source of side-loaded software
US9407443B2 (en) 2012-06-05 2016-08-02 Lookout, Inc. Component analysis of software applications on computing devices
US9087095B2 (en) * 2012-06-21 2015-07-21 International Business Machines Corporation Processing columns in a database accelerator while preserving row-based architecture
US8655307B1 (en) 2012-10-26 2014-02-18 Lookout, Inc. System and method for developing, updating, and using user device behavioral context models to modify user, device, and application state, settings and behavior for enhanced user security
US9208215B2 (en) 2012-12-27 2015-12-08 Lookout, Inc. User classification based on data gathered from a computing device
US9374369B2 (en) 2012-12-28 2016-06-21 Lookout, Inc. Multi-factor authentication and comprehensive login system for client-server networks
US8855599B2 (en) 2012-12-31 2014-10-07 Lookout, Inc. Method and apparatus for auxiliary communications with mobile communications device
US9424409B2 (en) 2013-01-10 2016-08-23 Lookout, Inc. Method and system for protecting privacy and enhancing security on an electronic device
US10699273B2 (en) 2013-03-14 2020-06-30 Lookout, Inc. System and method for authorizing payment transaction based on device locations
US9852416B2 (en) 2013-03-14 2017-12-26 Lookout, Inc. System and method for authorizing a payment transaction
US9307412B2 (en) 2013-04-24 2016-04-05 Lookout, Inc. Method and system for evaluating security for an interactive service operation by a mobile device
US9642008B2 (en) 2013-10-25 2017-05-02 Lookout, Inc. System and method for creating and assigning a policy for a mobile communications device based on personal data
US9753796B2 (en) 2013-12-06 2017-09-05 Lookout, Inc. Distributed monitoring, evaluation, and response for multiple devices
US10122747B2 (en) 2013-12-06 2018-11-06 Lookout, Inc. Response generation after distributed monitoring and evaluation of multiple devices
CN104679657A (zh) * 2015-03-16 2015-06-03 广州市久邦数码科技有限公司 一种动态调整应用程序功能的测试方法
CN104834562B (zh) * 2015-04-30 2018-12-18 上海新储集成电路有限公司 一种异构数据中心及该数据中心的运行方法
AU2016258533B2 (en) 2015-05-01 2017-11-30 Lookout, Inc. Determining source of side-loaded software
US10114649B2 (en) * 2015-05-26 2018-10-30 International Business Machines Corporation Thermal availability based instruction assignment for execution
US10440053B2 (en) 2016-05-31 2019-10-08 Lookout, Inc. Methods and systems for detecting and preventing network connection compromise
US10248554B2 (en) 2016-11-14 2019-04-02 International Business Machines Corporation Embedding profile tests into profile driven feedback generated binaries
US10218697B2 (en) 2017-06-09 2019-02-26 Lookout, Inc. Use of device risk evaluation to manage access to services
US11237220B2 (en) * 2018-08-03 2022-02-01 Advanced Micro Devices, Inc. Linear, low-latency power supply monitor
CN113792352A (zh) * 2021-08-18 2021-12-14 中山大学 一种功耗均衡的指令调度优化方法、系统、装置及介质

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6477654B1 (en) * 1999-04-06 2002-11-05 International Business Machines Corporation Managing VT for reduced power using power setting commands in the instruction stream
CN1405657A (zh) * 2001-09-15 2003-03-26 Lg电子株式会社 与串行总线相连的设备的电源控制装置
US20030126476A1 (en) * 2002-01-02 2003-07-03 Greene Michael A. Instruction scheduling based on power estimation

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5452401A (en) * 1992-03-31 1995-09-19 Seiko Epson Corporation Selective power-down for high performance CPU/system
US5996083A (en) * 1995-08-11 1999-11-30 Hewlett-Packard Company Microprocessor having software controllable power consumption
US6219796B1 (en) * 1997-12-23 2001-04-17 Texas Instruments Incorporated Power reduction for processors by software control of functional units
US6163764A (en) * 1998-10-12 2000-12-19 Intel Corporation Emulation of an instruction set on an instruction set architecture transition
US6564328B1 (en) * 1999-12-23 2003-05-13 Intel Corporation Microprocessor with digital power throttle
US6625740B1 (en) * 2000-01-13 2003-09-23 Cirrus Logic, Inc. Dynamically activating and deactivating selected circuit blocks of a data processing integrated circuit during execution of instructions according to power code bits appended to selected instructions
US6633987B2 (en) * 2000-03-24 2003-10-14 Intel Corporation Method and apparatus to implement the ACPI(advanced configuration and power interface) C3 state in a RDRAM based system
US7155617B2 (en) * 2002-08-01 2006-12-26 Texas Instruments Incorporated Methods and systems for performing dynamic power management via frequency and voltage scaling
US20040268159A1 (en) * 2003-06-30 2004-12-30 Microsoft Corporation Power profiling

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6477654B1 (en) * 1999-04-06 2002-11-05 International Business Machines Corporation Managing VT for reduced power using power setting commands in the instruction stream
CN1405657A (zh) * 2001-09-15 2003-03-26 Lg电子株式会社 与串行总线相连的设备的电源控制装置
US20030126476A1 (en) * 2002-01-02 2003-07-03 Greene Michael A. Instruction scheduling based on power estimation

Also Published As

Publication number Publication date
CN1890636B (zh) 2011-03-02
CN106598691B (zh) 2020-06-05
CN102063323A (zh) 2011-05-18
US20050138450A1 (en) 2005-06-23
WO2005066774A1 (en) 2005-07-21
CN1890636A (zh) 2007-01-03
CN106598691A (zh) 2017-04-26
DE112004002506T5 (de) 2006-11-02
DE112004002506B4 (de) 2011-06-09
US7287173B2 (en) 2007-10-23
TWI301573B (en) 2008-10-01
TW200527199A (en) 2005-08-16

Similar Documents

Publication Publication Date Title
CN102063323B (zh) 用于低功率程序调整的功率性能监视器的装置和方法
Lukefahr et al. Composite cores: Pushing heterogeneity into a core
Bertran et al. Decomposable and responsive power models for multicore processors using performance counters
Fields et al. Focusing processor policies via critical-path prediction
Keramidas et al. Interval-based models for run-time DVFS orchestration in superscalar processors
Goel et al. Portable, scalable, per-core power estimation for intelligent resource management
Capra et al. Is software “green”? Application development environments and energy efficiency in open source applications
Lim et al. Adaptive, transparent frequency and voltage scaling of communication phases in mpi programs
Bailey et al. Adaptive configuration selection for power-constrained heterogeneous systems
Li et al. Strategies for energy-efficient resource management of hybrid programming models
Rountree et al. Practical performance prediction under dynamic voltage frequency scaling
Haj-Yihia et al. Fine-grain power breakdown of modern out-of-order cores and its implications on skylake-based systems
Bertran et al. A systematic methodology to generate decomposable and responsive power models for CMPs
Naithani et al. Reliability-aware scheduling on heterogeneous multicore processors
Goel et al. Techniques to measure, model, and manage power
Lukefahr et al. Exploring fine-grained heterogeneity with composite cores
Chedid et al. Survey on power management techniques for energy efficient computer systems
Chen et al. enDebug: A hardware–software framework for automated energy debugging
US20230195593A1 (en) System, Method And Apparatus For High Level Microarchitecture Event Performance Monitoring Using Fixed Counters
Gottschall et al. TEA: Time-Proportional Event Analysis
Owahid et al. Wasted dynamic power and correlation to instruction set architecture for CPU throttling
Goel Per-core power estimation and power aware scheduling strategies for CMPs
Jiang et al. Quantifying throughput of basic blocks on arm microarchitectures by static code analyzers: A case study on kunpeng 920
Kamin III et al. Dynamic trace analysis for analytic modeling of superscalar performance
Azeemi Power Aware Framework for Dense Matrix Operations in Multimedia Processors

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20170301

Termination date: 20181201