CN102054662B - 倒装芯片封装的绕线方法及其装置 - Google Patents

倒装芯片封装的绕线方法及其装置 Download PDF

Info

Publication number
CN102054662B
CN102054662B CN200910209631.3A CN200910209631A CN102054662B CN 102054662 B CN102054662 B CN 102054662B CN 200910209631 A CN200910209631 A CN 200910209631A CN 102054662 B CN102054662 B CN 102054662B
Authority
CN
China
Prior art keywords
liner
layer
sequence
chip
flip
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200910209631.3A
Other languages
English (en)
Other versions
CN102054662A (zh
Inventor
张宸峰
沈勤芳
邱显仕
林依洁
许天彰
张耀文
林忠纬
李柏纬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Synopsys Inc
Original Assignee
Synopsys Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Synopsys Inc filed Critical Synopsys Inc
Priority to CN200910209631.3A priority Critical patent/CN102054662B/zh
Priority to PCT/IB2010/002738 priority patent/WO2011051785A2/en
Priority to US13/504,374 priority patent/US8578317B2/en
Publication of CN102054662A publication Critical patent/CN102054662A/zh
Priority to US14/045,090 priority patent/US8875083B2/en
Application granted granted Critical
Publication of CN102054662B publication Critical patent/CN102054662B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Abstract

本发明涉及一种应用于倒装芯片封装的绕线方法与装置,其中所述的倒装芯片包含若干个外层衬垫及若干个内层衬垫,所述的绕线方法包含下列步骤:根据所述的外层衬垫的排列顺序设定一外层序列;根据所述的内层衬垫和所述的外层衬垫的连接关系设定若干个内层序列;分别计算每一内层序列和所述的外层序列的最长共享次序列,定义所述的最长共享次序列所对应的所述的内层衬垫和所述的外层衬垫的连接关系为直接连接,并定义未列于所述的最长共享次序列所对应的所述的内层衬垫和所述的外层衬垫的连接关系为绕道连接;以及根据所述的内层衬垫和所述的外层衬垫的连接关系建立所述的倒装芯片的绕线方式。

Description

倒装芯片封装的绕线方法及其装置
技术领域
本发明是关于一种绕线方法与装置,尤其是关于应用于倒装芯片封装(flip-chip package)的绕线方法与装置。
背景技术
随着制程的发展,现今的集成电路相较于以往具有更高的复杂度及更小的体积,而此种特性也增加芯片输出/入连接的困难度。据此,一种具有较高集成密度及较多输出/入接脚数的倒装芯片封装技术即孕育而生。倒装芯片封装是一种可将半导体器件连接至外部电路的技术,其中所述的外部电路可包含封装装载器(package carrier)或是印刷电路板(printed circuit board)等。相较于其它封装技术,倒装芯片封装技术的优点包含具有更多可用于输出入连接的面积、可以较少的干扰达到较高的传输速率,以及可防止外在环境因素干扰信号。
倒装芯片封装技术是使用沉积于芯片衬垫上的焊球(solder bump)以和外部电路连接,其中所述的焊球是于最终的晶圆制作阶段沉积于晶圆顶层的焊球衬垫(bump pad)。为将所述的芯片安装于一外部电路,所述的芯片是反置以使其顶层向下,以使其焊球衬垫对齐所述的外部电路的衬垫。图1显示一倒装芯片封装的示意图。如图1所示,一芯片100是反置以安装于一封装装载器200,其中所述的芯片100的顶层具有若干个焊球衬垫102,其是藉由若干个焊球104连接于所述的封装装载器200上。所述的芯片100亦具有若干个导线结合衬垫(wire bonding pad)或称驱动衬垫(driver pad)106。图2显示所述的芯片100的截面图。如图2所示,为降低电路设计的复杂度及达到减少更改设计的目的,所述的芯片100具有一称为重新分布层(re-distribution layer)的额外的金属层于所述的芯片100的顶层金属层上,以连接所述的驱动衬垫106至所述的焊球衬垫102。
相较于接脚栅格阵列(pin grid array)或是球栅格阵列(ball grid array)的绕线方式,倒装芯片封装的绕线方式具有更多的限制。由于所述的重新分布层是在晶圆制作阶段形成,其绕线方式具有角度的限制,且必须满足制程的设计规则(design rule)。倒装芯片封装的绕线方式可分为自由分配(freeassignment)绕线及预先分配(pre-assignment)绕线两种方式。在使用自由分配绕线的倒装芯片封装技术时,驱动衬垫及焊球衬垫间的对应关系是由使用者或绕线工具软件所决定。因此,使用者或绕线工具软件具有较高的自由度决定驱动衬垫及焊球衬垫间的绕线轨迹。另一方面,在使用预先分配绕线的倒装芯片封装技术时,驱动衬垫及焊球衬垫间的对应关系是预先决定,而该对应关系无法在决定绕线时更动。因此,使用者或绕线工具软件仅能遵照该对应关系进行绕线而受到较多限制。
一般而言,使用预先分配绕线的绕线方式的倒装芯片封装的困难度远较使用自由分配绕线的绕线方式的倒装芯片封装高。然而,由于集成电路或是封装设计人员多习惯预先决定驱动衬垫及焊球衬垫间的对应关系,且应用于预先分配绕线方式的绕线工具软件亦可用于评估所述的对应关系的优劣,目前业界多半仍在倒装芯片封装技术中使用预先分配绕线方式。
目前存在一种整数线性编程(integer linear programming)算法用于计算使用预先分配绕线方式的倒装芯片封装技术时的绕线轨迹。整数线性编程算法包含两个阶段:第一阶段是全盘式的决定各驱动衬垫及对应的焊球衬垫间连接的绕线轨迹,第二阶段再辅以细节式的完成所述的绕线轨迹。然而,整数线性编程算法的其中一缺点即是其需耗费大量时间运算。对于讲求效率及研发成本的业界而言,整数线性编程算法并不符合使用上的需求。
据此,业界所需要的是一种应用于倒装芯片封装的绕线方法及用于实现的装置,其不仅可有效率的决定倒装芯片封装技术中各驱动衬垫及对应的焊球衬垫间连接的绕线轨迹,且可达到降低所需绕线长度的目的。
发明内容
本发明所提供的应用于倒装芯片封装的绕线方法与装置根据一芯片上的若干个衬垫的排列顺序设定若干个序列,并利用一算法计算各序列的最长共享次序列,以藉此建立所述若干个衬垫的连接关系。
本发明提供一种应用于倒装芯片封装的绕线方法,其中所述的倒装芯片包含若干个外层衬垫及若干个内层衬垫,所述的绕线方法包含下列步骤:根据所述的外层衬垫的排列顺序设定一外层序列;根据所述的内层衬垫和所述的外层衬垫的连接关系设定若干个内层序列;分别计算每一内层序列和所述的外层序列的最长共享次序列,定义所述的最长共享次序列所对应的所述的内层衬垫和所述的外层衬垫的连接关系为直接连接,并定义未列于所述的最长共享次序列所对应的所述的内层衬垫和所述的外层衬垫的连接关系为绕道连接;以及根据所述的内层衬垫和所述的外层衬垫的连接关系建立所述的倒装芯片的绕线方式。
本发明提供一种用于建立倒装芯片封装的绕线方式的装置,其中所述的倒装芯片包含若干个外层衬垫及若干个内层衬垫,所述的装置包含一排序单元、一计算单元和一绕线单元。所述的排序单元是排列所述的外层衬垫成一外层序列及排列所述的内层衬垫成若干个内层序列。所述的计算单元是根据所述的排序单元的排序结果计算所述的外层序列及内层序列的最长共享次序列。所述的绕线单元是根据所述的计算单元的计算结果建立所述的外层衬垫及内层衬垫的绕线方式。
本发明所提供的应用于倒装芯片封装的绕线方法与装置,由于所利用的算法仅需少许的时间计算,故本发明所提供的应用于倒装芯片封装的绕线方法能大幅降低所需的运算时间。
附图说明
图1显示一倒装芯片封装的示意图;
图2显示一倒装芯片封装芯片的截面图;
图3显示一倒装芯片的若干个驱动衬垫及焊球衬垫间的连接关系;
图4显示一倒装芯片的若干个驱动衬垫及焊球衬垫间的绕线轨迹;
图5显示根据本发明的一实施例的应用于倒装芯片封装的绕线方法的流程图;
图6显示根据本发明的一实施例的一倒装芯片的若干个驱动衬垫及焊球衬垫间的连接关系;
图7显示根据本发明的一实施例的切割线;
图8显示根据本发明的一实施例的虚拟衬垫;
图9A~9C显示根据本发明的另一实施例的虚拟衬垫;
图10显示根据本发明的一实施例的一第一层内层衬垫和一外层序列所代表的衬垫的连接关系;
图11显示根据本发明的一实施例的一第二层内层衬垫和一外层序列所代表的衬垫的连接关系;
图12显示根据本发明的另一实施例的一内层衬垫和一外层序列所代表的衬垫的连接关系;
图13显示根据本发明的一实施例的最长共享次序列的计算结果;
图14显示根据本发明的一实施例的一最长共享次序列的计算结果所建立的绕线结果;
图15显示根据本发明的一实施例的另一最长共享次序列的计算结果所建立的绕线结果;及
图16显示根据本发明的一实施例的用于建立倒装芯片封装的绕线方式的装置的示意图。
具体实施方式
本发明所提供的应用于倒装芯片封装的绕线方法及用于实现的装置,是将一芯片的若干个外层衬垫的排列顺序设定为一外层序列,并将所述的芯片的若干个内层衬垫的排列顺序设定为若干个内层序列。接着,利用最长共享次序列(longest common subsequence)算法计算每一内层序列和所述的外层序列的最长共享次序列,以根据所述的最长共享次序列定义各外层衬垫及其对应的内层衬垫的连接关系。由于所述的最长共享次序列可在低多项式时间(polynomial time)内以动态规划(dynamic programming)的方式计算,故本发明所提供的应用于倒装芯片封装的绕线方法能大幅降低所需的运算时间。
图3显示一倒装芯片的若干个驱动衬垫及焊球衬垫间的连接关系。如图3所示,所述的倒装芯片300具有16个驱动衬垫及16个焊球衬垫,其中所述的驱动衬垫是以方块表示,而所述的焊球衬垫是以八角形表示。在所述的连接关系中,除右下角以虚线包围的两个驱动衬垫及两个焊球衬垫外,其余驱动衬垫及焊球衬垫间的连接关系无产生绕线交错。由于多数倒装芯片的绕线皆于同一层金属层内完成,亦即重新分布层,其绕线不允许绕线交错产生。换言之,所述的右下角以虚线包围的两个驱动衬垫及两个焊球衬垫的绕线需以绕道连接方式完成。图4显示所述的倒装芯片300的若干个驱动衬垫及焊球衬垫间的绕线轨迹。如图4所示,所述的右下角以虚线包围的两个驱动衬垫及两个焊球衬垫的绕线的其中一者是以直接连接方式绕线,另一者则以绕道连接方式绕线。
图3所示的倒装芯片连接关系可以肉眼决定哪些连接关系可以直接连接方式绕线,哪些连接关系可以绕道连接方式绕线。然而,目前业界所使用的倒装芯片封装技术包含数个数量级以上的驱动衬垫及焊球衬垫,故无法仅以肉眼决定所述的驱动衬垫及焊球衬垫的连接关系。据此,本发明所提供的应用于倒装芯片封装的绕线方法及用于实现的装置是以最长共享次序列算法计算最少所需的绕道连接关系,以达到降低所需绕线长度的目的。
图5显示根据本发明的一实施例的应用于倒装芯片封装的绕线方法的流程图。在步骤S1,根据欲决定绕线轨迹的倒装芯片的若干个内层衬垫及外层衬垫设定一初始设定,并进入步骤S2。在步骤S2,根据所述的内层衬垫及外层衬垫设定一外层序列及若干个内层序列,设定最内层的内层序列为目前内层序列,并进入步骤S3。在步骤S3,计算目前内层序列各单元的权重及绕线花费,据此计算目前内层序列和所述的外层序列的最长共享次序列,并进入步骤S4。其中,各单元的权重相应于各连接关系的绕道连接个数,故权重越高则优先给予直接连接关系,而绕线花费代表若所述的连接关系是直接连接关系,其余连接关系因而采用绕道连接关系所需额外的绕道长度,故绕线花费越低则优先给予直接连接关系。在步骤S4,根据计算结果建立目前内层序列所对应的内层衬垫至其上一层内层衬垫的绕线轨迹,设定所述的上一层的内层序列为目前内层序列,并进入步骤S5。在步骤S5,决定是否已计算所有内层序列及所述的外层序列的最长共享次序列。若是,则进入步骤S6,否则回到步骤S3。在步骤S6,建立所述的内层衬垫及外层衬垫的绕线轨迹。
再次参照图3并应用图5所教示的方法,在步骤S1,进行所述的倒装芯片300的初始设定。如图6所示,定义所述的倒装芯片300的所述的16个驱动衬垫为外层衬垫,并定义所述的16个焊球衬垫为内层衬垫。所述的外层衬垫可以环状形式区分为第一层外层衬垫环及第二层外层衬垫环,其中所述的第一层外层衬垫环包含D2、D3、D6、D7、D10、D11、D14及D15的驱动衬垫,而所述的第二层外层衬垫环包含D1、D4、D5、D8、D9、D12、D13及D16的驱动衬垫。所述的内层衬垫亦可以环状形式区分为第一层内层衬垫环及第二层内层衬垫环,其中所述的第一层内层衬垫环包含B1至B12的焊球衬垫,而所述的第二层内层衬垫环包含B13至B16的焊球衬垫。
在步骤S2,根据所述的内层衬垫环及外层衬垫环设定一外层序列及若干个内层序列。首先,如图7所示,以一条切割线切开所述的外层衬垫环及内层衬垫环,并展开成为若干个序列,其中所述的切割线不能切断所述的外层衬垫及内层衬垫的连接关系。若不存在这种切割线,则可复制外层序列的头/尾单元至所述的外层序列的头/尾部分。例如,一外层序列(1,4,1,2,5,2,3,6,3)即可复制为(3,6,3,1,4,1,2,5,2,3,6,3,1,4,1)。
本实施例的应用于倒装芯片封装的绕线方法是藉由调换所述的内层序列内各衬垫的顺序以尽量符合所述的外层序列的顺序而达到减少绕道连接的目的。据此,本实施例的应用于倒装芯片封装的绕线方法使用虚拟衬垫代表所述的外层衬垫的可能排列顺序。如图8所示,在本发明的另一实施例中,一倒装芯片具有一第一层外层序列及一第二层外层序列,其中所述的第一层外层序列包含d1至d3共三个外层衬垫,而所述的第二层外层序列包含d4至d6共三个外层衬垫。所述的外层衬垫d1是连接至一内层衬垫,其中所述的连接可经由所述的外层衬垫d4的左侧或右侧。据此,所述的第一层外层序列及所述的第二层外层序列即合并为一外层序列(1,4,1,2,5,2,3,6,3),如图8所示。再次参照图3,由于本实施例的所述的外层序列并不存在多种不同路径,换言之,除图3所示的连接关系外,其余路径皆属于绕道连接,故所述的第一层外层序列及所述的第二层外层序列即合并为一外层序列(7,8,9,10,11,12,13,14,15,16,1,2,3,4,5,6)。
在本发明的另一实施例中,一倒装芯片具有一连接关系,其是连接三个以上的衬垫。如图9A所示,一倒装芯片包含一连接关系,其连接两个外层衬垫d1和d3及一内层衬垫b1。在所述的实施例中,是在所述的内层衬垫b1旁边产生一复制的虚拟衬垫b1′,其中所述的内层衬垫b1是连接至所述的外层衬垫d1,而所述的虚拟衬垫b1′是连接至所述的外层衬垫d3,如图9B所示。待绕线结束后,再合并所述的内层衬垫b1及所述的虚拟衬垫b1′,如图9C所示。再次参照图3,由于本实施例并未包含连接三个以上的衬垫的连接关系,故不需另行产生虚拟衬垫。
图10显示所述的第一层内层衬垫和所述的外层序列所代表的衬垫的连接关系。根据所述的连接关系,第一层内层序列即定义为(7,8,10,11,12,14,16,15,1,2,4,6)。图11显示所述的第二层内层衬垫和所述的外层序列所代表的衬垫的连接关系。根据所述的连接关系,第二层内层序列即定义为(9,13,3,5)。接着,设定最内层的内层序列为目前内层序列,亦即设定第二层内层序列为目前内层序列。
在步骤S3,计算目前内层序列各单元的权重及绕线花费,并据此计算目前内层序列和所述的外层序列的最长共享次序列。目前内层序列各单元的权重的计算是等于目前内层序列内连接的个数减去各单元和其它连接关系绕线交错的个数。在本发明的另一实施例中,一倒装芯片包含一外层序列(1,2,1,3,4,3)和一内层序列(3,2,1,4)。图12显示所述的外层序列和所述的内层序列所代表的衬垫的连接关系。如图12所示,所述的连接关系n3具有三绕线交错,所述的连接关系n2具有二绕线交错,所述的连接关系n1具有二绕线交错,而所述的连接关系n4具有一绕线交错。因此,所述的内层序列(3,2,1,4)的权重即为(2,2,1,3)。
再次参照本实施例的目前内层序列(9,13,3,5)及图11,由于各连接关系皆无绕线交错,目前内层序列的权重即为(4,4,4,4),且目前内层序列的绕线花费为(0,0,0,0)。接着,根据所述的权重及绕线花费计算目前内层序列(9,13,3,5)及所述的外层序列(7,8,9,10,11,12,13,14,15,16,1,2,3,4,5,6)的最长共享次序列,其中最长共享次序列的计算可根据目前已知的算法或任何其它算法,熟悉本技术人士可轻易得知最长共享次序列的计算方式,本实施例即依照下列的伪码进行计算。
输入:Sd,Sb,W,C
输出:Sw
for i=0 to|Sb|
     F[i][0]=0;
     G[i][0]=0;
for i=1 to|Sd|
     F[0][i]=0;
     G[0][i]=0;
for i=0 to|Sb|
     for j=1 to|Sd|
         if Sb[i]=Sd[j]
             k=F[i-1][j-1]+W[Sb[i]];
             l=G[i-1][j-1]+C[Sb[i]];
         else
             k=F[i-1][j-1];
             l=G[i-1][j-1];
   自F[i-1][j]、F[i][j-1]及k中选择最大值;
   若F[i-1][j]、F[i][j-1]及k的值相等,则根据
   G[i-1][j]、G[i][j-1]及l的最小值选择;
   if选择F[i-1][j]
      F[i][j]=F[i-1][j];
      G[i][j]=G[i-1][j];
      H[i][j]=′上′;
else if选择F[i][j-1]
      F[i][j]=F[i][j-1];
      G[i][j]=G[i][j-1];
      H[i][j]=′左′;
else
      F[i][j]=k;
      G[i][j]=l;
      H[i][j]=′左上′;
i=|Sb|;
j=|Sd|;
while i不等于0and j不等于0
     if H[i][j]=′上′
     i=i-1;
else if H[i][j]=′左′
      j=j-1;
else
     if Sb[i]=Sd[j]
          插入Sb[i]至Sw;
      i=i-1;
      j=j-1;
反转Sw的顺序;
return Sw
其中,Sd为外层序列,Sb为目前外层序列,W为权重,C为绕道花费,而Sw为最长共享次序列。
再次参照图12的连接关系,所述的外层序列(1,2,1,3,4,3)和所述的内层序列(3,2,1,4)及其权重(2,2,1,3)经由上述伪码,最长共享次序列的计算结果如图13所示。由图13的图表倒推可得所述的外层序列(1,2,1,3,4,3)和所述的内层序列(3,2,1,4)的最长共享次序列为(2,1,4)。
再次参照本实施例,所述的外层序列(7,8,9,10,11,12,13,14,15,16,1,2,3,4,5,6)及目前内层序列(9,13,3,5)的最长共享次序列由计算可得为(9,13,3,5)。因此,定义外层衬垫D5和内层衬垫B13,外层衬垫D9和内层衬垫B14,外层衬垫D13和内层衬垫B15的连接关系,及外层衬垫D3和内层衬垫B16的连接关系为直接连接。
在步骤S4,根据计算结果建立目前内层序列所对应的内层衬垫至其上一层内层衬垫的绕线轨迹。图14显示所述的绕线轨迹的建立结果,其中连接关系n3、n5、n9和n13皆为直接连接。接着,设定所述的上一层的内层序列为目前内层序列,亦即设定第一层内层序列(7,8,10,11,12,14,16,15,1,2,4,6)为目前内层序列。
在步骤S5,决定是否已计算所有内层序列及所述的外层序列的最长共享次序列。由于目前只计算了第二层内层序列及外层序列的最长共享次序列,故回到步骤S3。
在步骤S3,计算目前内层序列各单元的权重及绕线花费,并据此计算目前内层序列和所述的外层序列的最长共享次序列。图10显示所述的第一层内层衬垫和所述的外层序列所代表的衬垫的连接关系。据此,所述的第一层内层序列(7,8,10,11,12,14,16,15,1,2,4,6)的权重可计算得(12,12,12,12,12,12,12,12,12,12,11,11),而绕线花费为(4,4,4,4,4,4,4,4,4,4,4,6)。根据此权重及绕道花费,所述的第一层内层序列(7,8,10,11,12,14,16,15,1,2,4,6)和所述的外层序列(7,8,9,10,11,12,13,14,15,16,1,2,3,4,5,6)的最长共享次序列由计算可得为(7,8,10,11,12,14,15,1,2,4,6)。
在步骤S4,根据计算结果建立目前内层序列所对应的内层衬垫至其上一层内层衬垫的绕线轨迹。图15显示所述的绕线轨迹的建立结果,其中连接关系n16为绕道连接,其余连接关系则为直接连接。
在步骤S5,决定是否已计算所有内层序列及所述的外层序列的最长共享次序列。由于已计算了两层内层序列及外层序列的最长共享次序列,故进入步骤S6。
在步骤S6,建立所述的内层衬垫及外层衬垫的绕线轨迹,其绕线完成结果即如图4所示。
图16显示根据本发明的一实施例的用于建立倒装芯片封装的绕线方式的装置的示意图。如图16所示,所述的装置1600包含一排序单元1610、一计算单元1620及一绕线单元1630。所述的排序单元1610是排列一倒装芯片的若干个外层衬垫成一外层序列,及排列所述的倒装芯片的若干个内层衬垫成若干个内层序列。所述的计算单元1620是根据所述的排序单元1610的排序结果计算所述的外层序列及内层序列的最长共享次序列。所述的绕线单元1630根据所述的计算单元1620的计算结果建立所述的外层衬垫及内层衬垫的绕线方式。
对应本发明所教示的方法,所述的排序单元1610是根据欲决定绕线轨迹的倒装芯片的若干个内层衬垫及外层衬垫设定一初始设定,并根据所述的内层衬垫及外层衬垫设定一外层序列及若干个内层序列。所述的计算单元1620是计算所述的内层序列各单元的权重及绕线花费,并据此计算所述的内层序列和所述的外层序列的最长共享次序列。所述的绕线单元1630是根据所述的计算单元1620的计算结果建立所述的内层序列所对应的内层衬垫至其上一层内层衬垫的绕线轨迹,并建立所述的内层衬垫及外层衬垫的绕线轨迹。
图16所示的装置可以硬件方式实现,亦可以软件利用一硬件实现。例如,可藉由一计算机执行一软件程序而实现所述的装置。
综上所述,本发明所提供的应用于倒装芯片封装的绕线方法及用于实现的装置,是将一芯片的若干个外层衬垫的排列顺序设定为一外层序列,并将所述的芯片的若干个内层衬垫的排列顺序设定为若干个内层序列。接着,利用最长共享次序列算法计算每一内层序列和所述的外层序列的最长共享次序列,以根据所述的最长共享次序列定义各外层衬垫及其对应的内层衬垫的连接关系。由于所述的最长共享次序列可在低多项式时间内以动态规划的方式计算,故本发明所提供的应用于倒装芯片封装的绕线方法能大幅降低所需的运算时间。此外,由于本发明所提供的应用于倒装芯片封装的绕线方法及用于实现的装置是用于找出最少绕道连接的绕线方式,故得以达到降低所需绕线长度的目的。
本发明的技术内容及技术特点已揭示如上,然而熟悉本领域的技术人员仍可能基于本发明的教示及揭示而作种种不背离本发明精神的替换及修饰。因此,本发明的保护范围应不限于实施例所揭示的内容,而应包括各种不背离本发明的替换及修饰,并为本专利申请权利要求所涵盖。

Claims (12)

1.一种应用于倒装芯片封装的绕线方法,所述的倒装芯片包含若干个外层衬垫及若干个内层衬垫,其特征在于所述的绕线方法包含下列步骤:
根据所述的外层衬垫的排列顺序设定一外层序列;
根据所述的内层衬垫和所述的外层衬垫的连接关系设定若干个内层序列;
分别计算每一内层序列和所述的外层序列的最长共享次序列,定义所述的最长共享次序列所对应的所述的内层衬垫和所述的外层衬垫的连接关系为直接连接,并定义未列于所述的最长共享次序列所对应的所述的内层衬垫和所述的外层衬垫的连接关系为绕道连接;及
根据所述的内层衬垫和所述的外层衬垫的连接关系建立所述的倒装芯片的绕线方式。
2.根据权利要求1所述的绕线方法,其特征在于,其中所述的外层衬垫及所述的内层衬垫的排列顺序是于所述的倒装芯片上形成若干个衬垫环。
3.根据权利要求2所述的绕线方法,其特征在于,其中所述的外层序列及所述的内层序列是由一切割线切开所述的衬垫环以展开而得。
4.根据权利要求3所述的绕线方法,其特征在于,其中若不存在一无跨越连接关系的切割线,则复制所述的外层序列的头/尾单元至所述的外层序列的头/尾部分。
5.根据权利要求1所述的绕线方法,其特征在于,其中若所述的外层衬垫的排列顺序是构成若干个外层序列,则合并所述的外层序列。
6.根据权利要求5所述的绕线方法,其特征在于,其中所述的合并所述的外层序列是以虚拟衬垫代表所述的外层衬垫的可能排列顺序,其中所述的虚拟衬垫代表其对应的外层衬垫的可能绕线轨迹。
7.根据权利要求1所述的绕线方法,其特征在于,其中若有一连接关系连接三个以上的衬垫,则产生虚拟内层衬垫以使各连接关系仅连接两个衬垫。
8.根据权利要求1所述的绕线方法,其特征在于,其中所述的最长共享次序列的计算是考虑所述的内层序列内各单元的权重,权重越高则优先给予直接连接关系,其中所述的权重相应于各单元对应的连接关系的绕道连接个数。
9.根据权利要求1所述的绕线方法,其特征在于,其中所述的最长共享次序列的计算是考虑所述的内层序列内各单元的绕线花费,绕线花费越低则优先给予直接连接关系,其中所述的绕线花费代表若各单元对应的连接关系是直接连接关系,其余连接关系因而采用绕道连接关系所需额外的绕道长度。
10.根据权利要求1所述的绕线方法,其特征在于,其中所述的建立所述的倒装芯片的绕线方式的步骤是根据所述的内层衬垫和所述的外层衬垫的连接关系,自最内层的衬垫开始依序往其外层的衬垫建立所述的倒装芯片的绕线方式,直至建立完成所述的内层衬垫和所述的外层衬垫的绕线方式。
11.根据权利要求1所述的绕线方法,其特征在于,其中所述的外层衬垫为所述的倒装芯片的驱动衬垫。
12.根据权利要求1所述的绕线方法,其特征在于,其中所述的内层衬垫为所述的倒装芯片的焊球衬垫。
CN200910209631.3A 2009-10-30 2009-10-30 倒装芯片封装的绕线方法及其装置 Active CN102054662B (zh)

Priority Applications (4)

Application Number Priority Date Filing Date Title
CN200910209631.3A CN102054662B (zh) 2009-10-30 2009-10-30 倒装芯片封装的绕线方法及其装置
PCT/IB2010/002738 WO2011051785A2 (en) 2009-10-30 2010-10-27 Routing method for flip chip package and apparatus using the same
US13/504,374 US8578317B2 (en) 2009-10-30 2010-10-27 Routing method for flip chip package and apparatus using the same
US14/045,090 US8875083B2 (en) 2009-10-30 2013-10-03 Routing method for flip chip package and apparatus using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN200910209631.3A CN102054662B (zh) 2009-10-30 2009-10-30 倒装芯片封装的绕线方法及其装置

Publications (2)

Publication Number Publication Date
CN102054662A CN102054662A (zh) 2011-05-11
CN102054662B true CN102054662B (zh) 2014-11-05

Family

ID=43958862

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200910209631.3A Active CN102054662B (zh) 2009-10-30 2009-10-30 倒装芯片封装的绕线方法及其装置

Country Status (1)

Country Link
CN (1) CN102054662B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023184392A1 (zh) * 2022-03-31 2023-10-05 华为技术有限公司 确定与凸点连接的单元排布的方法及装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN201570493U (zh) * 2009-10-30 2010-09-01 新思科技有限公司 倒装芯片封装的绕线装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN201570493U (zh) * 2009-10-30 2010-09-01 新思科技有限公司 倒装芯片封装的绕线装置

Also Published As

Publication number Publication date
CN102054662A (zh) 2011-05-11

Similar Documents

Publication Publication Date Title
US6499134B1 (en) Method of assigning integrated circuit I/O signals in an integrated circuit package
US9589092B2 (en) Method for co-designing flip-chip and interposer
US6245599B1 (en) Circuit wiring system circuit wiring method semi-conductor package and semi-conductor package substrate
US20160154924A1 (en) Semiconductor design method and computer-readable recording medium
CN108228986A (zh) 地铁车站三维建筑模型自动生成方法
JP2009164195A (ja) 半導体チップ
Fang et al. Area-I/O flip-chip routing for chip-package co-design considering signal skews
CN102054662B (zh) 倒装芯片封装的绕线方法及其装置
CN201570493U (zh) 倒装芯片封装的绕线装置
US8875083B2 (en) Routing method for flip chip package and apparatus using the same
US9928334B2 (en) Redistribution layer routing for integrated fan-out wafer-level chip-scale packages
CN201608164U (zh) 倒装芯片封装的绕线装置
CN102054661B (zh) 倒装芯片封装的绕线方法及其装置
TWI470460B (zh) 覆晶封裝之繞線方法及其電腦化裝置
Lin et al. Area-I/O RDL routing for chip-package codesign considering regional assignment
US8104010B2 (en) Semiconductor integrated circuit design supporting method, semiconductor integrated circuit design supporting system, and computer readable medium
US20050235242A1 (en) Semiconductor integraged circuit device and method of routing interconnections for semiconductor IC device
Liu et al. Integrated algorithm for 3-D IC through-silicon via assignment
JP2004086881A (ja) 半導体集積回路設計装置、半導体集積回路設計方法、半導体集積回路の製造方法および可読記録媒体
JP4907257B2 (ja) 回路基板の配線方法及び配線支援装置
Lee et al. Board-and chip-aware package wire planning
Joseph et al. System-level optimization of network-on-chips for heterogeneous 3d system-on-chips
JP2007335511A (ja) 半導体集積回路装置の設計方法、半導体集積回路装置およびその製造方法
TWI584140B (zh) 應用於覆晶封裝之繞線方法及其電腦化裝置
Lee et al. A study of row-based area-array I/O design planning in concurrent chip-package design flow

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant