CN101958267B - Shallow groove filling method - Google Patents

Shallow groove filling method Download PDF

Info

Publication number
CN101958267B
CN101958267B CN2009100549802A CN200910054980A CN101958267B CN 101958267 B CN101958267 B CN 101958267B CN 2009100549802 A CN2009100549802 A CN 2009100549802A CN 200910054980 A CN200910054980 A CN 200910054980A CN 101958267 B CN101958267 B CN 101958267B
Authority
CN
China
Prior art keywords
groove
filling
flow
shallow trench
cubic centimeters
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2009100549802A
Other languages
Chinese (zh)
Other versions
CN101958267A (en
Inventor
郭世璧
洪学鹍
荆学珍
程永亮
符云飞
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN2009100549802A priority Critical patent/CN101958267B/en
Publication of CN101958267A publication Critical patent/CN101958267A/en
Application granted granted Critical
Publication of CN101958267B publication Critical patent/CN101958267B/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

The invention relates to a shallow groove filling method, comprising the following steps of: providing a substrate; forming a groove in the substrate; forming a side wall oxidization layer at the side wall of the groove; filling the groove in a progressive rate by using SiO2 until a first width of the groove is filled; vacuumizing the groove; and filling the groove in a second filling rate by using SiO2. The invention can not only effectively fill the groove, avoid generating gap phenomenon or slot phenomenon, but also combine the filling efficiency and the filling effect.

Description

The shallow trench fill method
Technical field
The present invention relates to field of semiconductor manufacture, particularly a kind of shallow trench fill method.
Background technology
(Shallow Trench Isolation STI) is a kind of device separation to the shallow trench isolation technology.The principle of fleet plough groove isolation structure preparation is that the surface of silicon corresponding with shallow trench etched groove, and (Chemical Vapor Deposition is CVD) with silicon dioxide (SiO with chemical vapour deposition technique 2) insert in the said groove.
Along with semiconductor technology gets into the deep-submicron epoch; 0.18 the element below the micron for example active area isolation layer of MOS circuit adopts the shallow trench isolation technology to make mostly, in the patent No. is the United States Patent (USP) of US7112513, can also find more relevant informations about the shallow trench isolation technology.
The concrete technology of shallow trench isolation technology comprises: on substrate, form shallow trench, and the active area on said shallow trench is used at the bottom of the isolation liner, the formation method of said shallow trench can be etching technics; In shallow trench, insert medium, and form dielectric layer at substrate surface, said dielectric material can be silica; Said medium is annealed; (Chemical Mechanical Polishing CMP) handles said dielectric layer with chemical mechanical polishing method.
But; When getting into 65 nanometers and following process node thereof along with semiconductor technology; The aspect ratio of shallow trench (Aspect Ratio; AR) meeting increasing (meeting is greater than 5 usually) adopt traditional high-density plasma technology to carry out very easily in above-mentioned groove, forming cavitation when spacer medium is filled, and ion bombardment can cause stronger destruction to device active region.The bombardment of adopting inferior aumospheric pressure cvd (SACVD) technology can realize filling the conformal growth of medium and not have plasma.For example, as the reaction raw materials growing silicon oxide, just can realize that the nothing cavity of groove is filled with tetraethyl silica alkane and ozone.But in the closed process of groove, the siliconoxide mass that slot and gap zone unavoidably appear in the groove middle section regional is come poorly than other, in follow-up cleaning, is etched more easily, and this effective isolation for device is an individual adverse factors.
Summary of the invention
The problem that the present invention solves is to have avoided the slot phenomenon occurring in the shallow trench filling.
For addressing the above problem, the invention provides a kind of shallow trench fill method, comprising: substrate is provided; Be formed with groove in the said substrate; Said trenched side-wall is formed with sidewall oxide; Use SiO 2Fill said groove with the speed of going forward one by one, until first width of filling said groove; Said groove is vacuumized processing; Use SiO 2Fill said groove with second fill rate.
Compared with prior art, the present invention has the following advantages: through using SiO 2Fill said groove with the speed of going forward one by one, improved the reliability of filling groove, said groove is vacuumized processing, remove by too fast speed and produce more intermediate product, use SiO then 2Fill said groove with second fill rate, make intermediate product to react more fully like this and form the complete silica of network configuration, thereby reduced the content of intermediate product in filling medium, improved the performance of the silica of filling.The present invention is effective filling groove not only, avoids occurring cavitation or the slot phenomenon occurs, and taken into account charging efficiency and filling effect.
Description of drawings
Fig. 1 is the schematic flow sheet of shallow trench fill method of the present invention;
The structural representation of Fig. 2 to Fig. 4 shallow trench fill method of the present invention.
Embodiment
Through a large amount of creativeness experiments, inventor of the present invention finds: the slot phenomenon appears in shallow trench when filling be that because the growth of the general character of silica, SiO all can grow in the groove both sides because shallow trench is being carried out in the filling process 2, as the SiO of both sides growth 2When trending towards contacting, the opening of said groove is also more and more littler, causes the required intermediate product of reaction to be difficult to enter into groove inside and to react to each other and forms the silica of structural integrity, thereby form slot in the center of shallow trench.
Through a large amount of experiments, inventor of the present invention provides a kind of shallow trench fill method, and its flow process is as shown in Figure 1, specifically comprises the steps:
Step S101 provides substrate; Be formed with cushion oxide layer on the said substrate successively, the SiN layer; Be formed with groove in the said substrate; Said trenched side-wall is formed with sidewall oxide;
Step S102 uses SiO 2Fill said groove with the speed of going forward one by one, until first width of filling said groove, said first width is 75% to 85% of a groove width;
Step S103 vacuumizes processing to said groove;
Step S104 uses SiO 2Fill said groove with second fill rate.
Below in conjunction with accompanying drawing, carry out detailed explanation for shallow trench fill method of the present invention.
With reference to figure 2, substrate 100 is provided, said substrate 100 is used to subsequent technique provides platform, and said substrate 100 can be selected from the silicon substrates such as (SOI) on N type silicon substrate, P type silicon substrate, the insulating barrier.
Be formed with cushion oxide layer 110 successively on the said substrate 100, SiN layer 120.
Said cushion oxide layer 110 materials are selected from SiO 2Said cushion oxide layer 110 provides resilient coating for the SiN layer 120 of follow-up formation; Specifically, SiN layer 120 directly is formed on the substrate can cause dislocation at substrate surface, and cushion oxide layer 110 is formed between substrate 100 and the SiN layer 120; Avoided directly on substrate, forming the shortcoming that SiN layer 120 can produce dislocation, and cushion oxide layer 110 can also be as the etching stop layer in subsequent etching SiN layer 120 step.
Said cushion oxide layer 110 can form for selecting the wet oxygen growth technique for use.Said wet oxygen growth technique can select for use oxidation furnace to carry out, and concrete processing step comprises: oxidizing temperature is 900 degrees centigrade to 1200 degrees centigrade, H 2Flow and O 2Flow-rate ratio be 9: 3 to 9: 6, N 2Flow is per minute 20 standard cubic centimeters to per minute 60 standard cubic centimeters.Said H 2With O 2Act as and generate H 2O, for the wet oxygen growth technique provides reactant, said N 2Be protective gas.
Said SiN layer 120 is used for the layer that stops as subsequent chemical-mechanical polishing technology, and said SiN layer 120 forms technology and can be existing chemical vapor deposition method.
Concrete technology comprises: said SiN layer 120 forms technology and can select plasma reinforced chemical vapour deposition (Plasma Enhanced Chemical Vapor Deposition for use; PECVD) equipment; Operating air pressure is 5 millitorr to 20 millitorrs (1 holder=133.32 handkerchiefs); Reaction temperature is 200 degrees centigrade to 300 degrees centigrade, and reacting gas is SiH 4With NH 3, SiH wherein 4With NH 3Gas flow ratio be 3: 1 to 1: 1.
In substrate 100, be formed with groove 101, said groove is used to isolate the active area of follow-up formation.The formation technology of said groove can existing plasma etch process.
Concrete technological parameter comprises: the etching apparatus chamber pressure is 10 millitorr to 50 millitorrs, and the top radio-frequency power is 200 watts to 500 watts, and the bottom radio-frequency power is 150 watts to 300 watts, C 4F 8Flow is per minute 10 standard cubic centimeters to per minute 50 standard cubic centimeters, and the CO flow is per minute 100 standard cubic centimeters to per minute 200 standard cubic centimeters, and the Ar flow is per minute 300 standard cubic centimeters to per minute 600 standard cubic centimeters, O 2Flow is per minute 10 standard cubic centimeters to per minute 50 standard cubic centimeters.
Said groove 101 sidewalls and SiN layer 120 surface are formed with sidewall oxide 111, and the formation technology of said sidewall oxide 111 is existing chemical vapor deposition method.
Concrete technological parameter comprises: operating air pressure is 200 millitorr to 600 millitorrs (1 holder=133.32 handkerchiefs), and reaction temperature is 300 degrees centigrade to 600 degrees centigrade, and reactant is tetraethoxysilane and ozone, and the tetraethoxysilane flow is 2500 milligrams of per minutes, ozone (O 3) flow is per minute 27000 standard cubic centimeters, carrier gas is N 2, N 2Flow is per minute 41500 standard cubic centimeters.
With reference to figure 3, S102 is said like step, uses SiO 2Fill said groove 101 with the speed of going forward one by one,, form first packed layer 130 until 75% to 85% of the width of filling said groove 101.
Filling said groove 101 with the speed of going forward one by one can be so that filling groove 101 cavitation can not occur.The said SiO that uses 2With the speed of going forward one by one fill said groove 101 technology can (Sub-Atmospheric Chemical Vapor Deposition SACVD) carries out in the equipment at inferior aumospheric pressure cvd.
Said process conditions specifically comprise: reaction pressure is 100 holders to 600 holders (1 holder=133.32 handkerchiefs), and reaction temperature is 450 degrees centigrade to 600 degrees centigrade, and (Tetraethyl Orthosilicate, TEOS) flow is the mode that gradual change is risen to tetraethoxysilane.
Can reach the reasonable process conditions of not having the filling effect in cavity is: reaction pressure is 600 holders (1 holder=133.32 handkerchiefs); Reaction temperature is 540 degrees centigrade, and the tetraethoxysilane initial flow is 1125 milligrams of per minutes, the rising of going forward one by one; The speed of going forward one by one is 0.17 milligram of per 2 power second, and carrier gas is N 2And He, N 2With the flow sum of He be per minute 41500 standard cubic centimeters (SCCM), ozone (O 3) flow is per minute 27000 standard cubic centimeters, when the TEOS flow arrives 2500 milligrams of per minutes, at the SiO of groove 101 growths 2Thickness is roughly 300 dust to 450 dusts, fill said groove 101 width 75% to 85%, form first packed layer 130.
S103 is said like step, and said groove 101 is vacuumized processing.
Inventor of the present invention finds, uses SiO among the step S102 2Fill in said groove 101 steps,, cause reaction rate also to rise gradually because the flow of tetraethyl silica alkane rises gradually; If adopt the speed of going forward one by one to fill said groove 101 all the time, when finishing to step, it is maximum that the speed of reaction reaches; Too fast speed produces more intermediate product, and when step finished, these remaining intermediate products were adsorbed on flute surfaces unevenly; Can fast reaction form silica, cause the fluctuating of the profile of flute surfaces, influence the filling of groove.
In order to reduce this effect,, when inventor of the present invention finishes at step S102, adopt the mode that vacuumizes processing to remove the intermediate product that is adsorbed on flute surfaces through a large amount of experiments.
Said groove 101 is vacuumized to handle to select for use in time aumospheric pressure cvd equipment carry out, be beneficial to step S102 in SiO 2The technology of filling said groove 101 combines, and has practiced thrift the time of technology, raises the efficiency.
In the present embodiment, aumospheric pressure cvd equipment is done exemplary illustrated in proper order, and concrete technological parameter is that reaction temperature is 450 degrees centigrade to 600 degrees centigrade, and (TetraethylOrthosilicate, TEOS) flow is 0 to tetraethoxysilane, ozone (O 3) flow is 0, N 2Flow is 0, opens time aumospheric pressure cvd equipment vacuum pump, vacuumizes until inferior aumospheric pressure cvd equipment cavity vacuum degree less than 1 holder.
With reference to figure 4, use SiO 2Fill said groove 101 with second fill rate, form second packed layer 140.
The inventor finds, in groove 101, fills SiO 2Until 75% to 85% o'clock of the width of filling said groove 101; The vertical wide ratio of groove even be greater than before the filling; Therefore in follow-up filling process, adopt lower fill rate, intermediate product can react more fully and form the complete silica of network configuration like this; Thereby reduced the content of intermediate product in filling medium, improved the performance of silica.And low more speed; The conformability of silica is good more; Groove 101 effects of filling are just good more; Through a large amount of experiments; Inventor of the present invention takes all factors into consideration efficient of filling said groove 101 and the effect of filling said groove 101, and selecting second fill rate is that per second
Figure G2009100549802D00061
is to per second
Figure G2009100549802D00062
Use SiO 2Fill said groove 101 steps with second fill rate and can select chemical vapour deposition technique to realize,, can select the identical equipment of equipment with execution in step S102 and step S103 in order to raise the efficiency.
Concrete process conditions are: reaction pressure is that 200 holders are to 600 holders; Reaction temperature is 450 degrees centigrade to 600 degrees centigrade; The tetraethoxysilane flow is 800 to 1500 milligrams of per minutes, and ozone (O3) flow is per minute 25000 standard cubic centimeters to per minute 30000 standard cubic centimeters, N 2With the flow summation of He be per minute 30000 standard cubic centimeters to per minute 45000 standard cubic centimeters, deposition rate is about per second 1 dust to per second 2 dusts, with above-mentioned process conditions, until the said groove 101 of complete filling.
Through a large amount of experiment of inventor, find that reaction pressure is big more, temperature is high more, the SiO of filling 2Compactness can be got well, and more helps the filling of groove 101, takes all factors into consideration above-mentioned factor and practical principle; When reaction pressure be 600 the holder, reaction temperature is 540 degrees centigrade, the tetraethoxysilane constant flow is 1200 milligrams of per minutes; Ozone (O3) flow is per minute 27000 standard cubic centimeters, N 2With the flow summation of He be per minute 41500 standard cubic centimeters, deposition rate is about 1.2 dust per seconds, is the process program of comparative optimization.
The present invention is through using SiO 2Fill said groove 101 with the speed of going forward one by one, improved the reliability of filling groove 101, said groove is vacuumized processing, remove by too fast speed and produce more intermediate product, use SiO then 2Fill said groove 101 with second fill rate, make intermediate product to react more fully like this and form the complete silica of network configuration, thereby reduced the content of intermediate product in filling medium, improved the performance of the silica of filling.The present invention is effective filling groove 101 not only, avoids occurring cavitation or the slot phenomenon occurs, and taken into account charging efficiency and filling effect.
Though the present invention discloses as above with preferred embodiment, the present invention is defined in this.Any those skilled in the art without departing from the spirit and scope of the present invention, all can do various changes and modification, so protection scope of the present invention should be as the criterion with claim institute restricted portion.

Claims (10)

1. shallow trench fill method comprises:
Substrate is provided;
Be formed with groove in the said substrate;
Said trenched side-wall is formed with sidewall oxide;
It is characterized in that, also comprise:
Use SiO 2Fill said groove with the speed of going forward one by one; Until first width of filling said groove, said technological parameter of filling said groove with the speed of going forward one by one is: reaction pressure be 100 holders to 600 holders, reaction temperature is 450 degrees centigrade to 600 degrees centigrade; The initial flow of tetraethoxysilane is from 1125 milligrams of per minutes; The rising of going forward one by one, the speed of going forward one by one are 0.17 milligram of per 2 power second, and carrier gas is N 2And He, N 2With the flow sum of He be per minute 41500 standard cubic centimeters, the ozone flow is per minute 27000 standard cubic centimeters;
Said groove is vacuumized processing;
Use SiO 2Fill said groove with second fill rate.
2. shallow trench fill method as claimed in claim 1 is characterized in that, is formed with cushion oxide layer, SiN layer on the said substrate successively.
3. shallow trench fill method as claimed in claim 1 is characterized in that, said first width is 75% to 85% of a said groove width.
4. shallow trench fill method as claimed in claim 1 is characterized in that, said to fill said trench process with the speed of going forward one by one be time aumospheric pressure cvd.
5. shallow trench fill method as claimed in claim 1; It is characterized in that said technological parameter of filling said groove with the speed of going forward one by one is: reaction pressure is 600 holders, and reaction temperature is 540 degrees centigrade; The initial flow of tetraethoxysilane is from 1125 milligrams of per minutes; The rising of going forward one by one, the speed of going forward one by one are 0.17 milligram of per 2 power second, and carrier gas is N 2And He, N 2With the flow sum of He be per minute 41500 standard cubic centimeters, the ozone flow is per minute 27000 standard cubic centimeters.
6. shallow trench fill method as claimed in claim 1 is characterized in that, the technology that said groove vacuumizes is carried out in inferior aumospheric pressure cvd equipment, and said vacuum degree is less than 1 holder.
7. shallow trench fill method as claimed in claim 1 is characterized in that, said second fill rate is lower than the fill rate of the end of first width of filling said groove.
8. shallow trench fill method as claimed in claim 1 is characterized in that, said second fill rate is per second 1 dust to per second 2 dusts.
9. shallow trench fill method as claimed in claim 1 is characterized in that, the said SiO that uses 2The technological parameter of filling said groove with second fill rate is: reaction pressure is that 200 holders are to 600 holders; Reaction temperature is 450 degrees centigrade to 600 degrees centigrade; The tetraethoxysilane flow is 800 to 1500 milligrams of per minutes; The ozone flow is per minute 25000 standard cubic centimeters to per minute 30000 standard cubic centimeters, N 2With the flow summation of He be per minute 30000 standard cubic centimeters to per minute 45000 standard cubic centimeters.
10. shallow trench fill method as claimed in claim 9 is characterized in that, the said SiO that uses 2The technological parameter of filling said groove with second fill rate is: reaction pressure is 600 holders, and reaction temperature is 540 degrees centigrade, and the tetraethoxysilane constant flow is 1200 milligrams of per minutes, and the ozone flow is per minute 27000 standard cubic centimeters, N 2With the flow summation of He be per minute 41500 standard cubic centimeters.
CN2009100549802A 2009-07-16 2009-07-16 Shallow groove filling method Expired - Fee Related CN101958267B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN2009100549802A CN101958267B (en) 2009-07-16 2009-07-16 Shallow groove filling method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN2009100549802A CN101958267B (en) 2009-07-16 2009-07-16 Shallow groove filling method

Publications (2)

Publication Number Publication Date
CN101958267A CN101958267A (en) 2011-01-26
CN101958267B true CN101958267B (en) 2012-05-23

Family

ID=43485525

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009100549802A Expired - Fee Related CN101958267B (en) 2009-07-16 2009-07-16 Shallow groove filling method

Country Status (1)

Country Link
CN (1) CN101958267B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103137458B (en) * 2011-12-05 2016-03-30 中芯国际集成电路制造(上海)有限公司 The manufacture method of high dielectric layer metal gate
CN104795351B (en) * 2014-01-20 2018-03-30 中芯国际集成电路制造(上海)有限公司 The forming method of isolation structure

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6905940B2 (en) * 2002-09-19 2005-06-14 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
CN1930675A (en) * 2004-01-14 2007-03-14 应用材料股份有限公司 Limited thermal budget formation of PMD layers
CN101197272A (en) * 2006-12-05 2008-06-11 中芯国际集成电路制造(上海)有限公司 Method for forming metal front medium layer and its structure

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6905940B2 (en) * 2002-09-19 2005-06-14 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
CN1930675A (en) * 2004-01-14 2007-03-14 应用材料股份有限公司 Limited thermal budget formation of PMD layers
CN101197272A (en) * 2006-12-05 2008-06-11 中芯国际集成电路制造(上海)有限公司 Method for forming metal front medium layer and its structure

Also Published As

Publication number Publication date
CN101958267A (en) 2011-01-26

Similar Documents

Publication Publication Date Title
CN105047660B (en) Fleet plough groove isolation structure
US6335261B1 (en) Directional CVD process with optimized etchback
US6368988B1 (en) Combined gate cap or digit line and spacer deposition using HDP
US9012302B2 (en) Intrench profile
EP1502297B1 (en) Double pullback method of filling an isolation trench
US6949447B2 (en) Method for fabricating isolation layer in semiconductor device
US20030057184A1 (en) Method for pull back SiN to increase rounding effect in a shallow trench isolation process
TW200843025A (en) Methods of thin film process
CN102693931A (en) Thin film filling method
CN104282616A (en) Method of forming a shallow trench isolation structure
CN106653675A (en) Method of forming isolation structure of shallow trench
CN104124195B (en) The forming method of groove isolation construction
CN102122630B (en) The manufacture method of fleet plough groove isolation structure
CN109326553A (en) Forming method, the chemical vapor deposition process of groove isolation construction
US20050196976A1 (en) Methods of filling gaps using high density plasma chemical vapor deposition
TWI261332B (en) Gap-filling for isolation
CN104425278B (en) The forming method of semiconductor devices and semiconductor devices
CN101958267B (en) Shallow groove filling method
US7358190B2 (en) Methods of filling gaps by deposition on materials having different deposition rates
CN103943621A (en) Shallow trench isolation structure and forming method thereof
US6960530B2 (en) Method of reducing the aspect ratio of a trench
CN101996921B (en) STI forming method
CN102487032A (en) Method for forming shallow-trench isolating structure
CN102122628B (en) Shallow trench isolation structure and manufacturing method thereof
CN110137131A (en) Forming method, the chemical vapor deposition process of groove isolation construction

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120523

Termination date: 20200716