CN101853802A - 半导体制造中的测量方法 - Google Patents

半导体制造中的测量方法 Download PDF

Info

Publication number
CN101853802A
CN101853802A CN201010128086.8A CN201010128086A CN101853802A CN 101853802 A CN101853802 A CN 101853802A CN 201010128086 A CN201010128086 A CN 201010128086A CN 101853802 A CN101853802 A CN 101853802A
Authority
CN
China
Prior art keywords
device substrate
dielectric layer
refractive index
layer
target
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201010128086.8A
Other languages
English (en)
Other versions
CN101853802B (zh
Inventor
许家豪
傅士奇
许峰嘉
蔡嘉雄
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
TSMC China Co Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN101853802A publication Critical patent/CN101853802A/zh
Application granted granted Critical
Publication of CN101853802B publication Critical patent/CN101853802B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/7084Position of mark on substrate, i.e. position in (x, y, z) of mark, e.g. buried or resist covered mark, mark on rearside, at the substrate edge, in the circuit area, latent image mark, marks in plural levels
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/7076Mark details, e.g. phase grating mark, temporary mark
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Multimedia (AREA)
  • Solid State Image Pick-Up Elements (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本发明提供了一种制造半导体器件的方法。该方法包括提供具有正面和背面的器件衬底,器件衬底具有第一折射率,在器件衬底的正面之上形成嵌入靶,在嵌入靶之上形成反射层,在器件衬底的背面之上形成介质层,介质层具有小于第一折射率的第二折射率,从背面投射辐射穿过介质层和器件衬底,从而为半导体加工检测嵌入靶。

Description

半导体制造中的测量方法
技术领域
本发明涉及半导体制造技术。
背景技术
半导体集成电路(IC)工业经历了快速的发展。IC材料和设计的技术进步产生了IC世代,其中每一代比前一代具有更小和更复杂的电路。然而,这些进步增加了加工和制造IC的复杂度,对于这些需要实现的进步,需要IC加工和制造的类似的发展。在集成电路的演进过程中,功能密度(即每芯片区域的互连器件的数目)普遍增加了,同时几何尺寸(即,能够使用制造工艺产生的最小元件(或线路))减小了。规模缩小的工艺通过增加生产效率和降低相关成本而提供了益处。
为了制造半导体器件,在光刻工艺期间,光掩膜和晶片之间的精确对准,以及半导体器件中的各个层之间的良好重叠很关键。对准或重叠标记已经被用于测量和调整对准或重叠。对准和/或重叠标记可以嵌入在半导体器件中。对于一些半导体器件,在加工过程中检测对准或重叠标记可能很困难。例如,背面照明图像传感器器件使用像素阵列来检测投射向半导体晶片的背面的辐射(如光)。检测到的辐射被转换为电信号,其可以用于限定图像。为了成功加工晶片的背面,嵌入的对准或重叠标记需要从晶片的背面得到精确检测。然而,对准或重叠标记的检测图像经常不够锐利或清析,不能满足半导体制造工艺的对准或重叠需求。
发明内容
本发明的一种较广的形式包括一种制造半导体器件的方法,其包括:提供具有正面和背面的器件衬底,该器件衬底具有第一折射率;在器件衬底的正面之上形成嵌入靶;在所述嵌入靶之上形成反射层;在器件衬底的背面之上形成介质层,介质层具有小于第一折射率的第二折射率;从背面投射辐射穿过介质层和器件衬底,从而为半导体加工检测嵌入靶。
本发明的另一种较广的形式包括一种半导体器件,其包括:具有正面和背面的器件衬底,该器件衬底具有第一折射率;形成在器件衬底的正面之上的嵌入靶;形成在嵌入靶之上的反射层,该反射层能够反射从器件衬底的背面投射的辐射;形成在器件衬底的背面之上的介质层,该介质层具有小于第一折射率的第二折射率。
本发明的又一种较广的形式包括一种制造半导体器件的方法,其包括:提供具有正面和背面的器件衬底,该器件衬底具有第一折射率和划线区;在器件衬底的正面之上的栅层中形成嵌入标记,该嵌入标记形成在划线区之内;在互连结构之内形成反射层;将载体衬底建和到器件衬底的正面;从背面减薄器件衬底;在减薄的器件衬底的背面之上形成介质层,介质层具有小于第一折射率并大于空气折射率的第二折射率;从背面投射辐射穿过介质层和器件衬底,从而为半导体加工检测嵌入标记。
附图说明
本发明的方面从以下的详细描述结合附图可以得到更好的理解。需要强调的是,根据行业内的标准实践,各种特征没有按比例绘制。实际上,各种特征的尺寸可以为了描述清楚而任意的增加或减小。
图1是根据本发明的各个方面的制造半导体器件的方法的流程图;以及
图2A-2G示出了根据图1的方法制造半导体器件的各个阶段的剖面图。
具体实施方式
可以理解的是,下面的说明书提供了很多不同的实施例,例如,用于实现本发明的不同特征。以下描述了元件和排列的具体例子以简化本说明书。当然,这些仅仅是例子,并不作为限制。另外,以下的描述中第一特征在第二特征之上或上面的结构可以包括第一和第二特征直接接触的实施例,也可以包括附加的特征形成在第一和第二特征之间的实施例,这样第一和第二特征可能不是直接接触。为了简单和清楚,各个特征任意地按不同的比例绘制。
图1所示为根据本发明的各个方面的制造半导体器件的方法100的流程图。图2A-2G示出了根据图1的方法100制造半导体器件200的各个阶段的一个实施例的剖面图。为了示例的目的,图2A-2G中示出的半导体器件200为背面照明(BSI)图像传感器器件。BSI图像传感器器件200包括用于检测和记录指向图像传感器器件200的背面的辐射的强度的像素的阵列或网格。图像传感器器件200也可以包括电荷耦合器件(CDD)、互补金属氧化物半导体(CMOS)图像传感器(CIS)、有源像素传感器(APS)以及无源像素传感器。图像传感器器件200还可以包括被提供在邻近于像素网格的附加的电路和输入/输出,用于为像素提供运行环境以及用于支持外部与像素的通信。可以理解的是,为了更好的理解本发明的发明构思,图2A-2G进行了简化。
参考图1,方法100开始于块100,其中提供了具有正面和背面的器件衬底。器件衬底具有第一折射率。方法100继续到块120,其中在器件衬底的正面之上形成嵌入靶。方法100继续到块103,其中在嵌入靶之上形成反射层。方法100继续到块140,其中在器件衬底的背面之上形成介质层。介质层具有小于第一折射率的第二折射率。方法100继续到块150,其中从背面投射辐射穿过介质层和器件衬底,从而为半导体加工检测嵌入靶。
参考图2A,示出了图像传感器器件200,其包括具有正面(或正表面)204和背面(或背表面)206的衬底202。在当前的实施例中,衬底202为掺杂P型掺杂剂如硼的硅衬底(如P型衬底),或掺杂N型掺杂剂如磷的硅衬底(如N型衬底)。在另外的实施例中,衬底202可以包括其他基本半导体如锗或金刚石。可选择地,衬底202可以可选地包括化合物半导体和/或合金半导体。另外,衬底202可以包括外延层(epi层),可以被应力改变以提高性能,并可以包括绝缘体上硅(SOI)结构。衬底202也可以包括半导体电路。在当前的实施例中,衬底202包括多个具有掺杂的辐射传感区的像素。辐射传感区可操作以检测投射向衬底202的背面206的辐射,如光。与辐射相关联的图像通过辐射传感区转换为电信号。衬底202中的像素也可以具有隔离结构,将像素彼此隔离,以减少像素之间的噪声干扰。由于衬底202包括半导体器件如像素,所以也可以称为器件衬底202。器件衬底202具有范围在大约100um到大约1000um的初始厚度210。在当前的实施例中,初始厚度210为大约750um。
可以理解的是,器件衬底202可以在辐射穿过器件衬底202时吸收辐射。辐射的吸收量可以依赖于衬底202的材料成分以及厚度210。辐射的吸收量也决定了器件衬底202的透光率。从而,器件衬底202的透光率可以根据器件衬底202的厚度210而变化。一般地,器件衬底202越厚,其变得越不透光。可以观察到,即使在相对薄的水平,器件衬底202也不是完全透光的。器件衬底202缺乏良好的透光性可能导致检测对准或重叠标记困难,对准或重叠标记可以位于器件衬底202之下。这将在之后详细描述。
器件衬底202上的集成电路器件,如当前实施例中的像素,可以分隔为不同的管芯,其将被封装以产生集成电路(IC)芯片。为了分隔管芯,可以在相邻的管芯之间提供多个划线区,这样管芯能够被分隔,并且在加工过程中不会损坏集成电路。为了示例和简化的目的,图2A中示出了器件衬底202中一个这样的划线区215。划线区215的边界由虚线标出。划线区215具有宽度217。在当前的实施例中,划线区215的宽度217范围为大约40um到大约160um,例如大约为80um。
在器件衬底202的正面204上形成栅电介质层222。在当前的实施例中,栅电介质层222包括氧化硅。在可选择的实施例中,栅电介质层222包括高K值材料如二氧化铪(HfO2)、硅氧化铪(HfSiO)、氮氧硅铪(HfSiON)、氧化铪钽(HfTaO)、氧化铪钛(HfTiO)、氧化铪锆(HfZrO)或其组合。栅电介质层222可以由原子层沉积(ALD)或本领域内其他适合的技术而形成。栅电介质层222具有厚度223,其范围为从大约10埃到大约60埃。
在栅电介质层222上形成栅电极层224。在当前的实施例中,栅电极层224包括多晶硅。在可选择的实施例中,栅电极层224包括功函金属如氮化钛(TiN)或氮化钽(TaN),以及导电材料如铝(A1)、铜(Cu)、钨(W)、镍(Ni)或其组合。栅电极层224可以通过各种沉积技术如物理汽相沉积(PVD)、化学汽相沉积(CVD)、原子层沉积(ALD)、电镀或本领域所知的其他适合的技术来形成。栅电极层224具有厚度225,其范围为从大约1000埃到大约4000埃。
现在参考图2B,栅电介质层222和栅电极层224通过构图工艺228被构图,以形成器件衬底202中晶体管器件的栅230A-230E。构图工艺228可以包括一个或多个光刻和蚀刻过程,以形成构图的栅230A-230E。在当前的实施例中,构图工艺228也构图栅电极层224的部分,以形成靶235,其也可以称为标记。标记235表示在随后的过程中需要被检测的标记。标记235的检测包括检测工艺,之后将更详细的讨论。在当前的实施例中,标记235在划线区215之内形成。在可选择的实施例中,标记235可以在划线区215之外形成。标记235具有尺寸237,其小于划线区215的宽度217。在当前的实施例中,标记235的尺寸237范围为从大约20um到大约80um,例如为大约40um。
标记235在随后的过程中可以用于提供对准。例如,在光刻工艺中,光掩膜可以用于将图像构图转移到半导体晶片上。光掩膜具有对准标记,半导体晶片具有另一个对准标记。为了保证光掩膜和半导体晶片之间的对准满足制造需求,自动器械或操作员可以相对于半导体晶片以平移模式(在X方向或Y方向)或旋转模式转移(或移动)光掩膜,这样光掩膜的对准标记与晶片上的对准标记对准。这称为对准工艺。类似地,重叠工艺用于保证半导体晶片之内的不同层之间的对准(称为重叠)充分满足制造的需求。在重叠工艺中,一个或多个重叠标记包括在对重叠误差敏感的层中。例如,对重叠误差敏感的层可以在该层的中心区和角区具有重叠标记。每层中的重叠标记被调整以对准它们在其他层中相对应的重叠标记(之上的或之下的)。在对准工艺和重叠工艺中,自动器械或操作员移动一个掩膜与其他掩膜对准的工艺都被称为对准(register)工艺。例如,对准工艺可以包括相对于第二重叠标记移动第一重叠标记,直到第一重叠标记基本在第二重叠标记的中心之上。
可以理解的是,进行不同的工艺以完成图像传感器器件200的不同的集成电路器件(如,光电二极管、晶体管、电阻、电容等等)的形成。现在参考图2C,在器件衬底202的正面204之上形成互连结构240。互连结构240包括多个层(称为金属层M1、M2、M3等等),其提供图像传感器器件200的各个掺杂特征、电路和输入/输出特征之间的互连(如接线)。为了示意的目的,图2C中示出了层240A-240D。240A-240D的每个层包括导线,例如,层240A和层240B中的导线250。在一个实施例中,互连结构240为铝互连结构。对于铝互连结构240,导线250包括铝、铝/硅/铜合金、钛、氮化钛、钨、多晶硅、金属硅化物,或其组合。导线250可以通过包括物理汽相沉积(PVD)(或溅射)、化学汽相沉积(CVD)或其组合的工艺形成。在另一个实施例中,互连结构240为铜互连结构。对于铜互连结构240,导线250包括铜、铜合金、钛、氮化钛、钽、氮化钽、钨、多晶硅、金属硅化物,或其组合。导线250可以通过包括CVD、溅射、电镀或其他适合工艺的工艺形成。
240A-240D的每个层也包括电介质材料255(称为金属间电介质)。在当前实施例中,电介质材料255包括氧化硅。在其他的实施例中,电介质材料255可以包括氟硅酸玻璃(FSG)、低k值材料或其组合。低k值材料可以定义为介电常数小于3.9的电介质材料,3.9为热氧化硅的介电常数。低k值材料可以包括掺杂碳的氧化硅、Black Diamond
Figure GSA00000037288800061
(加利福尼亚州,圣克拉拉,应用材料公司)、干凝胶、气凝胶、氟化非晶碳、聚对二甲苯、BCB(bis-benzocyclobutenes)、SiLK(陶氏化学,米德兰,密歇根)、聚酰亚胺和/或其他材料。
互连结构240的层240A也包括触点252,其提供互连结构240和图像传感器器件200中的其他晶体管器件例如栅230A-230E之间的电连接。每个触点252可以通过使用光刻工艺蚀刻沟槽,然后用导电材料如金属填充沟槽而形成,该沟槽延伸从而穿过层240A中的电介质材料255。在当前的实施例中,触点252中的导电材料包括钨。层240B-240D也包括通孔254,其提供层240B-240D之间的电连接。通孔254使用类似于用于形成触点254的方法形成,也包括用导电材料填充的沟槽。在当前的实施例中,通孔254中的导电材料包括钨、铜、钛、氮化钛或其组合。需要注意的是,每个层240A-240D中的电介质材料用于电绝缘互连结构240中的导线250、触点252和通孔254。
在当前的实施例中,用于形成导线250的工艺步骤也用于形成互连结构240中的反射层260。反射层260嵌入到互连结构中,包括具有良好折射率的材料,这样其可以用作镜样(mirror-like)器件,反射回从图像传感器器件200的背面260投射的辐射265。(为了简化的目的,在下文中辐射265称为光265)。如图2C所示,反射层260形成在互连结构240的第一层240A中,包括基本与导线250相同的材料。在划线区215内标记235之下也形成反射层260。在可选择的实施例中,反射层260可以在互连结构240的其它层240B-240C中形成,并可以在划线区215外部形成(如在一个或多个栅230A-230E之下)。
在当前实施例中,反射层260具有几个有益效果。一个有益效果为反射层260使得标记235的检测更容易。一般,对于进行光检测的对象,其需要自身发射光或反射光,发射的或反射的光需要通过光检测设备进行检测。在当前实施例中,标记235不发射光。从而,标记235的检测涉及被标记235反射回的光265的部分。在光265(从器件衬底202的背面206投射出的)穿过图像传感器器件200时,光265的一部分可能被图像传感器器件200的不同材料吸收,所述不同材料如硅,其没有良好的透光率。光265的吸收减少了被标记235反射回的光的强度,其依次增加了检测标记235的难度。然而,反射层260也反射回部分光265。从而,反射光线的整体强度增加,部分由于增加了反射层260。因此,在当前实施例中,标记235的构图或图像具有增强的清晰度和锐度。当前实施例的另一个有益效果为反射层260通过与形成层240A-240D的工艺步骤相同的工艺步骤形成,因此不需要额外的工艺步骤来形成反射层260。
虽然没有在附图中示出,但是可以理解的是,半导体器件200也包括至少一个蚀刻阻挡层。例如,蚀刻阻挡层可以设置在器件衬底202和互连结构240的层240A之间的界面上。蚀刻阻挡层的材料可以选自氮化硅、氮氧化硅、碳化硅和其他适合的材料。
现在参考图2D,在互连结构240之上形成缓冲层270。在当前实施例中,缓冲层270包括电介质材料如氧化硅。缓冲层270也可以可选地包括氮化硅。缓冲层270通过CVD、PVD或其他适合的技术形成。缓冲层270也被平坦化以形成平滑的表面,这样缓冲层270具有更好的键合性能。平坦化可以使用化学物理抛光(CMP)工艺或本领域公知的其他适合的技术来完成。
接下来,载体衬底272与缓冲层270的平滑表面键合,这样,可以进行器件衬底202的背面206的加工。在当前实施例中,载体衬底272通过分子力键合到缓冲层270——一种直接键合或光学融合键合技术,其需要平滑和平坦的键合表面(这是抛光缓冲层270的表面的原因)。在另外的实施例中,载体衬底272可以通过本领域所知的如金属扩散或阳极键合技术键合到缓冲层270。载体衬底272可以类似于器件衬底202,并包括硅材料。可选择地,载体衬底272可以可选地包括玻璃材料。载体衬底272为形成在器件衬底202中的各个特征(如像素)提供保护,也为以下所描述的衬底202的背面206的加工提供机械强度和支持。需要注意的是,除了提供平滑和平坦的表面以键合到载体衬底272之外,缓冲层270还提供器件衬底202和载体衬底272之间的电绝缘。
在键合之后,器件衬底202和载体衬底272可以可选地进行退火以增强键合强度。然后,进行减薄工艺280以从背面206减薄器件衬底202。减薄工艺280可以包括机械研磨步骤和化学减薄步骤。在机械研磨步骤期间,衬底材料的基本量可以从器件衬底202上移除。此后,化学减薄步骤可以在背面206施加蚀刻化学品,以进一步减薄器件衬底202到厚度282。在当前实施例中,厚度282在大约1.5um到大约2.5um的范围内。也可以理解的是,此处所公开的特定厚度仅仅是示例,根据应用的类型和图像传感器器件200的设计需求,也可以采用其他的厚度。
现在参考图2E,在器件衬底202的背面206上形成介质层290。在当前实施例中,介质层290为背面抗反射(BARC)层,包括紫外线氮化硅材料和氧化物材料。紫外线氮化硅材料具有大约270埃的厚度,氧化物材料具有大约100埃的厚度。从而在当前实施例中,介质层290具有大约370埃的厚度292(紫外线氮化硅材料和氧化物材料的厚度的和)。介质层290可以通过CVD、PVD或本领域所知的其他适合的技术形成。
介质层290包括折射率。一般,介质的折射率测量了波——如光或声音在介质内部传播(穿过)时,与真空中波传播速度相比其速度的衰减。例如,如果介质对于光的折射率为2,那么光在该介质中以真空中光速的1/2=0.5倍的速度传播。特定介质的折射率值还依赖于波的波长或频率。为了简化和示意的目的,此处所讨论的折射率值是指各个介质对于可见光的折射率值。也为本领域所知的是,当波(如光)从第一介质传播到第二介质时,如果两种介质具有不同的折射率值,波的入射角会变化。各个介质的折射率值与各自的入射角之间的关系由折射斯奈尔定律决定:介质1的折射率值*sine(入射角1)=介质2的折射率值*sine(入射角2)。
例如,在当前实施例中,光265(图2C中所讨论的)穿过空气294、介质层290以及器件衬底202,然后被器件衬底202之外的反射层260反射到介质层290中,最终到达空气294中。标记235的图像可以通过反射光检测。空气294、介质层290以及器件衬底202每个具有相关的折射率值,分别称为N1、N2和N3。N1(空气的折射率值)是N1、N2和N3中最小的。N2(介质层290的折射率值)大于N1但是小于N3(器件衬底202的折射率值)。N3为N1、N2和N3中最大的。也就是,N1<N2<N3。在当前实施例中,空气的折射率值大约为1,器件衬底202的折射率值大约为3.9。如上所述,在当前实施例中,介质层290包括形成在氧化硅层上的紫外线氮化硅层。紫外线氮化硅层包括大约为1.9的折射率值,氧化硅层包括大约为1.5的折射率值。从而,介质层的有效折射率值N2仍然满足N1<N2<N3的关系。
需要注意的是,N1和N3是基本固定的,因为它们分别表示空气294和器件衬底202(在当前实施例中为硅基材料)的折射率值。同时,选择介质层290的材料成分具有自由度,以调节它的折射率值N2。选择N2大于N1但是小于N3的一个有益效果在于,上述的反射光将具有较大的强度,因此增强了标记235的图像质量。为了示意,光265在其到达空气294和介质层290之间的界面时具有入射角296。根据折射斯奈尔定律,光265在其进入介质层290时将弯曲,从而弯曲的光265A形成满足如下公式的入射角298。
N1*sine(入射角296)=N2*sine(入射角298)。
因为N1<N2,所以入射角298<入射角296。因此,光265A当其从空气294进入到介质层290时更“垂直”。由于同样的原因,光265A在其从介质层290进入到器件衬底202时再次弯曲到光265B。当光265B到达反射层260时,其反射为光265C。(注意虽然光265B在被反射层260反射之前,不仅在器件衬底202中也在之下的互连结构240内部传播,但是为了简化的目的在当前阐述中忽略了)。反射光265C形成与光265B形成的入射角基本相等的相同的入射角。当反射光265C到达器件衬底202和介质层290之间的界面时,部分光265C被反射回来,另外的部分穿过介质层290并再次弯曲,之后在其离开介质层290时再次弯曲变为光265D。光265C的反射部分(在介质层290和器件衬底202之间的界面反射的)与光265D强度的减小相关,强度的减小与光反射量相关。最终,光265D穿出介质层290并进入到空气294中,被图2E中没有示出的外部光检测器检测。
标记235的检测图像的质量部分依赖于光265D的强度。从而,介质层290和器件衬底202之间的界面的较小量的反射光导致获得标记235的更好图像。因为N2和N3之间的差相对较小,所以光265C在器件衬底202和介质层290之间的界面上反射的部分也相对较小。现在将在空气294和器件衬底之间夹有介质层290的当前实施例和不使用介质层290的情况相对比。在那种情况下,器件衬底202与空气294直接形成界面。空气的折射率值N1和器件衬底202的折射率值N3之间具有相对较大的差值。部分由于N1和N3之间的相对较大的差值,光265C的较多部分将向器件衬底202反射回,从而由外部光检测器检测到的光265D的强度小于当前实施例中的光265D的强度。因此,当前的实施例的一个有益效果在于,通过在器件衬底202和空气294之间增加介质层290,其中介质层290具有小于N3并大于N1的折射率值N2,图像传感器器件200内的总反射减小了。总反射的减小依次减小了当光265D被检测时的强度损耗,从而标记235的检测到的图像具有较好的对比度并且可以更好的分辨,其使得它的检测更加容易。从而,介质层290减少了光从硅衬底202的表面的反射,增加了靶235和其周围区域的光对比度。
参考图2F,在介质层290上形成构图的光致抗蚀剂层300。构图的光致抗蚀剂层300可以通过在介质层290上首先沉积光致抗蚀剂层,以及在光致抗蚀剂层上进行包括各种曝光、烘焙和蚀刻工艺的光刻工艺而形成。构图的光致抗蚀剂层300包括与标记235对准的标记302。如上所述,标记302和标记235之间的对准称为重叠。标记302和标记235之间的良好重叠有助于保证在其他制造工艺期间,图像传感器器件200的不同层之间的良好重叠。例如,构图的光致抗蚀剂层300包括开口304和306,以通过离子注入工艺经由开口304和306在器件衬底202中形成掺杂区域如光传感区。这些掺杂区域与其下的一个或多个栅230A-230E可能需要良好的重叠。器件衬底中的掺杂区域和栅230A-230E之间的良好重叠通过保证标记302和235之间的重叠满足必要条件而实现。另外,如果在形成标记302之后,发现标记302和235之间的重叠不够良好,那么可以使用反馈过程来减少未来工艺的重叠误差。例如,如果标记302和235具有过大的偏移。该偏移可以被反馈到之后的工艺中,可以在之后的工艺(如对于下一个晶片)中进行调整以解决该偏移。从而使层之间达到较好的重叠。
现在参考图2G,构图的光致抗蚀剂层300通过本领域所知的工艺如灰化或去膜工艺被移除。然后在介质层290之上形成滤色器层310。滤色器层310能够支持不同颜色的滤色(如红、绿和蓝),可以设置为使得入射光辐射指向其上并穿过其中。例如,滤色器层310包括滤色器312,其用于过滤第一波长的光辐射,以及滤色器314,其用于过滤第二波长的光辐射,这样具有对应于第一和第二波长的不同颜色的光分别被滤色器312和滤色器314过滤。滤色器312、314可以包括染料型(或颜料型)聚合物或树脂用于过滤特定波段。然后在滤色器层310之上形成具有多个微镜片的微镜片层320,用于向器件衬底202中的像素直射和聚焦光辐射。根据用于微镜片的材料的折射率和与传感器表面的距离,微镜片层320中的镜片可以按不同的排列设置,并具有不同的形状。可以理解的是,图像传感器器件200在滤色器的形成之前也可以经过另一个激光退火过程。应当注意的是,准确对准滤色器层和微镜片层的过程可以通过与以上讨论的类似的方式实现。
总之,此处所公开的方法和器件提供了用于检测嵌入靶的有效和高效的方案。此处所公开的方法和器件利用了在半导体器件中形成嵌入靶,在半导体器件的互连结构中形成反射层来反射光,在空气和半导体衬底之间形成介质层,其中介质层具有大于空气的折射率值并小于半导体衬底的折射率值的折射率值。这样做,本实施例与现有技术的器件相比具有几个有益效果,可以理解的是,不同的实施例可以具有不同的有益效果,没有特定的有益效果是对于所有实施例都必需的。本实施例的一个有益效果在于,通过使用具有介于空气和半导体衬底的折射率值之间的折射率值的介质层290,半导体器件中的总反射量与不形成介质层290的情况相比减少了。因此,离开半导体器件的反射光在其被检测时具有较少的损耗和较大的强度。本实施例的另一个有益效果在于互连结构中的反射层反射了限定靶图像的入射光。因此,反射的光——当被检测时——由于光反射而具有增加的强度。本实施例的又一个有益效果在于,反射层使用与形成互连结构的导电层相同的工艺形成,不需要额外的加工步骤。另外,概要的步骤与CMOS工艺流程兼容,并适于VLSI(超大规模集成电路)工艺。
上述已经概述了几个实施例的特征,以使得本领域技术人员可以更好的理解之后的详细描述。本领域技术人员应当理解,他们可以很容易地使用本发明作为用于实现与此处介绍的实施例相同的目的和/或达到相同效果的设计或改进其他工艺和结构的基础。本领域技术人员也应当意识到,等同的结构没有偏离本发明的精神和范围,他们可以在不偏离本发明的精神和范围的情况下做出各种变化、替换和改造。

Claims (15)

1.一种方法,包括:
提供具有正面和背面的器件衬底,所述器件衬底具有第一折射率;
在所述器件衬底的正面之上形成嵌入靶;
在所述嵌入靶之上形成反射层;
在所述器件衬底的背面之上形成介质层,所述介质层具有小于所述第一折射率的第二折射率;以及
从所述背面投射辐射穿过所述介质层和所述器件衬底,从而为半导体加工检测所述嵌入靶。
2.根据权利要求1所述的方法,还包括:
在所述器件衬底的正面之上形成栅层,所述栅层具有栅电介质层和栅电极层;
在所述栅层之上形成互连结构,所述互连结构具有多个金属层;
将载体衬底键合到所述器件衬底的正面;以及
从所述背面减薄所述器件衬底。
3.根据权利要求2所述的方法,其中形成所述嵌入靶采用与用于形成所述栅电极相同的加工步骤,和/或其中形成所述反射层采用与用于形成所述金属层之一相同的加工步骤。
4.根据权利要求1所述的方法,其中形成所述嵌入靶包括在所述器件衬底的划线区之内形成所述靶。
5.根据权利要求1所述的方法,还包括:
在所述器件衬底的背面上形成另外的靶;以及
将所述另外的靶与所述嵌入靶对准。
6.根据权利要求1所述的方法,其中形成所述介质层使得所述第二折射率大于空气的折射率。
7.根据权利要求1所述的方法,其中形成所述介质层使得所述介质层包括氧化物材料和紫外线氮化物材料。
8.一种半导体器件,包括:
具有正面和背面的器件衬底,所述器件衬底具有第一折射率;
形成在所述器件衬底的正面之上的嵌入靶;
形成在所述嵌入靶之上的反射层,所述反射层能够反射从所述器件的背面投射的辐射;以及
形成在所述器件衬底的背面之上的介质层,所述介质层具有小于所述第一折射率的第二折射率。
9.根据权利要求8所述的半导体器件,还包括:
形成在所述器件衬底的正面之上的栅层,所述栅层具有栅电介质层和栅电极层;
形成在所述栅层之上的互连结构,所述互连结构具有多个金属层;以及
键合到所述器件衬底的正面的载体衬底;
其中所述器件衬底具有小于大约10um的厚度。
10.根据权利要求9所述的半导体器件,其中所述嵌入靶和所述栅电极包括相同的材料,和/或其中所述反射层和所述金属层之一包括相同的材料。
11.根据权利要求8所述的半导体器件,其中所述靶在所述器件衬底划线区之内形成。
12.根据权利要求8所述的半导体器件,还包括形成在所述器件衬底的背面上的另外的靶,其中所述另外的靶与所述嵌入靶对准。
13.根据权利要求8所述的半导体器件,其中所述第二折射率大于空气的折射率。
14.一种半导体制造方法,包括:
提供具有正面和背面的器件衬底,所述器件衬底具有第一折射率和划线区;
在所述器件衬底的正面之上的栅层中形成嵌入标记,所述嵌入标记形成在所述划线区之内;
在互连结构中形成反射层;
将载体衬底键合到所述器件衬底的正面;
从所述背面减薄所述器件衬底;
在所述减薄的器件衬底的背面之上形成介质层,所述介质层具有小于所述第一折射率并大于空气的折射率的第二折射率;以及
从所述背面投射辐射穿过所述介质层和所述器件衬底,从而为半导体加工检测所述嵌入标记。
15.根据权利要求14所述的方法,还包括:
在形成所述介质层之后,在所述介质层上形成构图的光致抗蚀剂层,所述光致抗蚀剂层包括在所述划线区之内的另外的标记;以及
通过投射辐射将所述另外的标记与所述嵌入标记对准。
CN201010128086.8A 2009-03-31 2010-03-08 半导体制造中的测量方法 Active CN101853802B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/415,005 2009-03-31
US12/415,005 US8178422B2 (en) 2009-03-31 2009-03-31 Method of measurement in semiconductor fabrication

Publications (2)

Publication Number Publication Date
CN101853802A true CN101853802A (zh) 2010-10-06
CN101853802B CN101853802B (zh) 2013-01-09

Family

ID=42783123

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201010128086.8A Active CN101853802B (zh) 2009-03-31 2010-03-08 半导体制造中的测量方法

Country Status (2)

Country Link
US (1) US8178422B2 (zh)
CN (1) CN101853802B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103367316A (zh) * 2012-04-01 2013-10-23 台湾积体电路制造股份有限公司 通过金属通孔槽减少ocd测量噪声
CN106796892A (zh) * 2014-08-26 2017-05-31 德卡技术股份有限公司 用于包括唯一标识符的封装体的正面封装级别序列化
CN109037258A (zh) * 2018-08-03 2018-12-18 德淮半导体有限公司 半导体装置及其制造方法
CN109273476A (zh) * 2013-03-11 2019-01-25 台湾积体电路制造股份有限公司 图像传感器及其制造方法
CN116544181B (zh) * 2023-07-07 2023-11-28 长鑫存储技术有限公司 半导体封装方法与半导体封装结构

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5306123B2 (ja) * 2009-09-11 2013-10-02 株式会社東芝 裏面照射型固体撮像装置
JP5091964B2 (ja) * 2010-03-05 2012-12-05 株式会社東芝 固体撮像装置
US8614495B2 (en) 2010-04-23 2013-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Back side defect reduction for back side illuminated image sensor
JP5857399B2 (ja) * 2010-11-12 2016-02-10 ソニー株式会社 固体撮像装置及び電子機器
US9443996B2 (en) * 2013-07-17 2016-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric structure for color filter array
US9337225B2 (en) * 2013-09-13 2016-05-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US9728511B2 (en) * 2013-12-17 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor wafer and semiconductor die
US9281338B2 (en) 2014-04-25 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor image sensor device having back side illuminated image sensors with embedded color filters
US9613912B2 (en) * 2014-12-16 2017-04-04 Deca Technologies Inc. Method of marking a semiconductor package
EP3613085B1 (en) 2017-04-21 2021-11-24 Shenzhen Xpectvision Technology Co., Ltd. Semiconductor radiation detector and method of making the same
US20200286765A1 (en) * 2019-03-07 2020-09-10 Alta Devices, Inc. Methods and systems for alignment to embedded patterns in semiconductor device processing
US11430909B2 (en) * 2019-07-31 2022-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. BSI chip with backside alignment mark
US11309202B2 (en) * 2020-01-30 2022-04-19 Kla Corporation Overlay metrology on bonded wafers

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6524163B1 (en) * 2001-04-18 2003-02-25 Advanced Micro Devices Inc. Method and apparatus for controlling a polishing process based on scatterometry derived film thickness variation
CN1279583C (zh) * 2002-05-17 2006-10-11 台湾积体电路制造股份有限公司 对准标记的制造方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4374700A (en) * 1981-05-29 1983-02-22 Texas Instruments Incorporated Method of manufacturing silicide contacts for CMOS devices
JP2797941B2 (ja) * 1993-12-27 1998-09-17 日本電気株式会社 光電変換素子とその駆動方法
US20040075179A1 (en) * 2002-10-22 2004-04-22 United Microelectronics Corp Structural design of alignment mark
US6924241B2 (en) * 2003-02-24 2005-08-02 Promos Technologies, Inc. Method of making a silicon nitride film that is transmissive to ultraviolet light
JP4501633B2 (ja) * 2004-10-28 2010-07-14 ソニー株式会社 固体撮像素子とその製造方法
US7141439B2 (en) * 2005-01-28 2006-11-28 Intel Corporation Transistor-level signal cutting method and structure
US7316942B2 (en) * 2005-02-14 2008-01-08 Honeywell International, Inc. Flexible active matrix display backplane and method
KR20090035262A (ko) * 2007-10-05 2009-04-09 삼성전자주식회사 이미지 센서 및 그의 제조 방법
WO2009057669A1 (en) * 2007-11-01 2009-05-07 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing photoelectric conversion device
US7838956B2 (en) * 2008-12-17 2010-11-23 Eastman Kodak Company Back illuminated sensor with low crosstalk

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6524163B1 (en) * 2001-04-18 2003-02-25 Advanced Micro Devices Inc. Method and apparatus for controlling a polishing process based on scatterometry derived film thickness variation
CN1279583C (zh) * 2002-05-17 2006-10-11 台湾积体电路制造股份有限公司 对准标记的制造方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103367316A (zh) * 2012-04-01 2013-10-23 台湾积体电路制造股份有限公司 通过金属通孔槽减少ocd测量噪声
CN109273476A (zh) * 2013-03-11 2019-01-25 台湾积体电路制造股份有限公司 图像传感器及其制造方法
CN109273476B (zh) * 2013-03-11 2021-05-07 台湾积体电路制造股份有限公司 图像传感器及其制造方法
CN106796892A (zh) * 2014-08-26 2017-05-31 德卡技术股份有限公司 用于包括唯一标识符的封装体的正面封装级别序列化
CN106796892B (zh) * 2014-08-26 2020-06-30 德卡技术股份有限公司 用于包括唯一标识符的封装体的正面封装级别序列化
CN109037258A (zh) * 2018-08-03 2018-12-18 德淮半导体有限公司 半导体装置及其制造方法
CN116544181B (zh) * 2023-07-07 2023-11-28 长鑫存储技术有限公司 半导体封装方法与半导体封装结构

Also Published As

Publication number Publication date
US20100244287A1 (en) 2010-09-30
US8178422B2 (en) 2012-05-15
CN101853802B (zh) 2013-01-09

Similar Documents

Publication Publication Date Title
CN101853802B (zh) 半导体制造中的测量方法
KR101495952B1 (ko) 후면 조명 이미지 센서에 대한 암 전류 감소
US8736006B1 (en) Backside structure for a BSI image sensor device
CN102769021B (zh) 带有经过改进的应力免疫的背面照明图像传感器
US20180040661A1 (en) Back side illuminated image sensor with deep trench isolation structures and self-aligned color filters
US8604405B2 (en) Backside illuminated image sensor device with refractive index dependent layer thicknesses and method of forming the same
US9691809B2 (en) Backside illuminated image sensor device having an oxide film and method of forming an oxide film of a backside illuminated image sensor device
US8664736B2 (en) Bonding pad structure for a backside illuminated image sensor device and method of manufacturing the same
US8981510B2 (en) Ridge structure for back side illuminated image sensor
CN105280652A (zh) 在具有类ono结构的背照式图像传感器中形成隐埋式滤色器
MXPA06014220A (es) Metodo para manufacturar un sensor de imagen, y un sensor de imagen.
US20090124073A1 (en) Semiconductor device with bonding pad
CN103311256A (zh) 改进的背面照明图像传感器架构及其制造方法
CN109768056A (zh) 图像传感装置的形成方法
TW201344893A (zh) 半導體裝置及其製造方法
US10522579B2 (en) Light blocking layer for image sensor device
TW201727269A (zh) 影像感測器裝置及方法
CN108962922A (zh) 制造影像感测器的方法
US20180122844A1 (en) Selective deposition and planarization for a cmos image sensor
US20230402484A1 (en) Image sensor with high quantum efficiency
CN101471300B (zh) 图像传感器及其制造方法
CN107910339B (zh) 一种背照式图形传感器的制造方法
US20230378225A1 (en) Bond pad structure for bonding improvement
CN1965411A (zh) 制造图像传感器的方法和图像传感器
KR20210053264A (ko) 이미지 센서 디바이스용 차광층

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20211210

Address after: 4000 Wenxiang Road, Songjiang District, Shanghai

Patentee after: TSMC (China) Co.,Ltd.

Address before: Taiwan, Hsinchu, China

Patentee before: Taiwan Semiconductor Manufacturing Co.,Ltd.