CN101799836A - 电路模拟和分析中的自适应网格分解 - Google Patents

电路模拟和分析中的自适应网格分解 Download PDF

Info

Publication number
CN101799836A
CN101799836A CN200910006283A CN200910006283A CN101799836A CN 101799836 A CN101799836 A CN 101799836A CN 200910006283 A CN200910006283 A CN 200910006283A CN 200910006283 A CN200910006283 A CN 200910006283A CN 101799836 A CN101799836 A CN 101799836A
Authority
CN
China
Prior art keywords
unit
plane
size
circuit
grid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200910006283A
Other languages
English (en)
Other versions
CN101799836B (zh
Inventor
代文亮
周忠勇
钟章民
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Cadence Design Systems Inc
Original Assignee
Cadence Design Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cadence Design Systems Inc filed Critical Cadence Design Systems Inc
Priority to CN200910006283.XA priority Critical patent/CN101799836B/zh
Priority to US12/610,948 priority patent/US8448117B2/en
Publication of CN101799836A publication Critical patent/CN101799836A/zh
Application granted granted Critical
Publication of CN101799836B publication Critical patent/CN101799836B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • G06F30/23Design optimisation, verification or simulation using finite element methods [FEM] or finite difference methods [FDM]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明涉及电路模拟和分析中的自适应网格分解。提供虚拟节点的自适应网格,以分析具有不规则形状的电源/接地平面对的性能。可准确地模型化平面传输线特征和区模态谐振,且与传统方法相比,模拟时间显著减少。大小可变的单元结构在不规则区中构造有较小的单元,且在均匀的区中构造有较大的单元。格节点因此可沿长度和宽度保持对准,以允许等效电路模型的参数被缩放成适合于单元大小。

Description

电路模拟和分析中的自适应网格分解
技术领域
本一般发明性概念针对在电路的设计阶段期间在模拟电路过程中的网格节点的自适应空间分解。本一般发明性概念应用于通过链接节点网格来模拟分割平面电路的电行为,所述节点的空间分解根据形成所述电路的平面的形状而适应。
背景技术
分割平面电源分布是一种将电源分布到较广电路设计的功能组件的常见电路配置。如图1中所说明,分割平面电源分布通常由电源平面110和接地平面120实施,电源平面110与接地平面120的组合在本文将被称为电源/接地平面对(PGPP)100。通常,电源平面110和接地平面120在平面并行对准中隔开,且通过电媒体分离。
通常,分割平面电源分布网络必须适应电流在其域上极其快速的开关时间。随着这些开关时间从一代电路到另一代电路不断增加,电源完整性(PI)分析已成为电路设计者的关注点,借此可在设计阶段模拟和修改电源分布网络。由于PGPP的几何形状和所涉及的开关时间,通常使用传输线模型化来在设计阶段期间分析PGPP的频率相依特征,使得可采取谨慎的设计测量。举例来说,如图1B中所说明,PGPP模型130包含多个传输线区段模型140,其每一者均包含在单元135内。当执行PGPP模型130时,可分析PGPP的频率响应。因此,(例如)当谐振在某一频率下出现在阻抗轮廓中时,设计者可在PGPP中的某些点处添加电容性元件,以有利地更改电源分布网络的谐振频率组件。由于通过PI分析,可在设计阶段且在制造实际电路之前实现此修改,所以可显著减少销售使用所属电路的产品的时间。
然而,模型化简单的平面对传输线并不困难,典型PGPP的抽象形状导致复杂的边界,其中的一些边界可能在PGPP的外部边界的内部。举例来说,如图1B中所说明,许多PGPP模型(例如PGPP模型130)在单元135的均匀网格中实施,使得可适应复杂的形状。因此,可能需要极大数目的单元135,以填充PGPP模型130的整体。虽然可使用若干算法来模型化PGPP的复杂形状,但这些算法的计算额外开销是受到抑制的,尤其是在此些PI分析工具必须与其它设计工具共享计算资源的情况下。
发明内容
显然需要PGPP的PI分析工具以及减少其计算额外开销的类似结构。
本一般发明性概念提供形成虚拟节点的自适应网格以分析具有不规则形状的电源/接地平面对的性能的设备和方法。可准确的模型化平面传输线特征和区模型谐振,且与传统方法相比,模拟时间显著减少。
可构造大小可变的单元结构,其中较小的单元在不规则的区中,且较大的单元在均匀的区中。大小可变的单元结构可连同虚拟格节点一起水平地且垂直地结成网格在一起。大小可变的单元的节点的对准可允许等效电路模型的参数经缩放成适合于单元大小。
本一般发明性概念的额外方面和效用的部分将在以下描述内容中陈述,且部分将从所属描述内容而明白,或可通过一般发明性概念的实践来学习。
附图说明
本一般发明性概念的这些和/或其它方面和效用将从结合附图进行的对实施例的以下描述而变得显而易见且容易了解,其中:
图1A是常规矩形电源平面/接地平面对的图解;
图1B是根据常规技术的用以模型化电源平面/接地平面对的频率特征的传输线等效电路的常规网格的图解;
图2A说明根据一般发明性概念的电源平面/接地对的横截面图;
图2B说明图2A中所说明的电源/接地平面对的关注区(ROI);
图2C说明图2B中所说明的ROI中的虚拟节点及其间距;
图3A到图3B是说明可在其上实践本一般发明性概念的示范性系统配置的框图;
图4A到图4B是说明根据本一般发明性概念的实施例的借此可镶嵌电源平面/接地平面对的关注区的示范性过程的流程图;
图4C说明根据本一般发明性概念的实施例的ROI的示范性内部和外部边界;
图5A到图5E是说明根据本一般发明性概念的实施例界定单元中的关注区的边界的图;
图6是根据本一般发明性概念的实施例的已在基本单元中界定其边界的电源平面/接地平面对的示范性关注区的图解;
图7A到图7E是说明根据本一般发明性概念的实施例的将基础单元包含在电源平面/接地平面对的关注区的内部内的框图;
图8是根据本一般发明性概念的实施例的已在基础单元中界定其内部的图6中所说明的示范性关注区的图解;
图9A说明根据本一般发明性概念的实施例的示范性单元行,其中所述行中的单元可具有不同的单元大小,但具有相同的宽度值;
图9B说明根据本一般发明性概念的实施例的示范性单元列,其中所述列中的单元可具有不同的单元大小,但具有相同的宽度值;
图9C是说明根据本一般发明性概念的实施例的基本单元合并成超单元的框图;
图10A到图10B是根据本一般发明性概念的实施例的其单元已合并成超单元的电源平面/接地平面对的示范性关注区的图解;
图11是根据本一般发明性概念的实施例的图6和图8中所说明的具有完整的自适应单元网格的示范性关注区的图解;
图12A到图12B是根据本一般发明性概念的示范性实施例的在自适应网格中构造传输线等效电路的图解;
图13是说明根据本一般发明性概念的示范性实施例的均匀网格和自适应网格的频率响应分析中的准确性差异的图表;
图14是根据本一般发明性概念的示范性实施例的在自适应网格中构造电阻性等效电路的图解;
图15是根据本一般发明性概念的示范性实施例的自适应网格中的电阻性等效电路的示范性网络的图解;以及
图16是根据本一般发明性概念的示范性实施例的使用电阻性等效电路模型的自适应网格的电源平面/接地平面对的电阻性模型上的模拟电压降的分布的图解。
具体实施方式
现将详细参考本一般发明性概念的实施例,在附图中说明其实例,其中相同的参考标号始终指代相同的元件。下文描述实施例,以便通过参考图式来阐释本一般发明性概念。
图2A到图2C说明一般电源/接地平面对(PGPP)200,以演示本一般发明性概念的一般特征。将理解,虽然呈现本文的某些描述,如同各种线、格、点、轴和其它几何特征被物理描绘或再现,但此描述内容将大体上提供对本一般发明性概念的简洁阐释。本文所描述的几何操作可由合适的机器操作来进行,如下文将通过实施例来示范。
如图2A中所说明,PGPP 200包含厚度为tg的接地平面210和厚度为tp的电源平面220,其与接地平面210平行,且与接地平面210分离距离d。尽管图2B和图2C中将接地平面210说明为连续的矩形,即其边界内没有空隙,但本一般发明性概念不限于此,如所属领域的技术人员在检视本发明后将了解。如图2A中所说明,电源平面220与接地平面210之间的区可由具有介电常数εd的材料205占据。出于描述而非限制的目的,将假定PGPP在坐标系统中对准,例如图2B和图2C中所说明的直角坐标系统,且所述坐标系统与执行PGPP 200的模拟和分析的机器的寻址方案兼容。
如图2B中所说明,将电源平面220的一般形状界定为外围边界,例如外围边界229处所指示。外围边界229可包含不同突起、切口、线性和弯曲区段以及其它此些特征。举例来说,如图2B中所说明,边界229可包含:垂直边界区段225,其中术语垂直指代与y轴平行对准的线性区段;水平边界区段226,其中术语水平指代与x轴平行对准的线性区段;线性边界区段227以及弧形边界区段228。将理解,在不偏离本一般发明性概念的精神和既定范围的情况下,外围边界229可包含具有除本文所说明的形状之外的形状的区段。
如图2B和图2C中所说明,电源平面220可包含一个或一个以上空隙,例如空隙240处所说明,其位于由外围边界229所限定的区域内。尽管将空隙240说明为由电源平面220中的矩形空隙边界242界定,但在不偏离本一般发明性概念的精神和既定范围的情况下,电源平面220和接地平面210中的空隙可能存在,且可由任何形状的边界界定。
通过电源平面220的区域与接地平面210的区域的几何相交来形成例如图2B中所说明的关注区(ROI)290。在图2B的实例中,ROI 290包含由外围边界229限定的区域,减去由空隙边界242限定的区域。换句话说,ROI 290包含电源平面220的区域,但不包含空隙240的区域。将理解,等效于电源平面220的区域的ROI 290是接地平面210的连续区域的结果,且因此如果接地平面在其中具有空隙或不延伸超过电源平面220的边界225,那么ROI 290将小于电源平面220的区域。
在本一般发明性概念的某些实施例中,ROI 290是选定分析技术在其中有效的区,不管形成实际电路的个别组件的特定几何形状如何。举例来说,如果选定分析技术使用例如传输线等效电路的等效电路模型,那么ROI将包含以下区:系统(图2B的实例中的接地平面210和电源平面220的平行平面)的几何形状使得传输线等效电路有效且提供对系统的真实行为的合理近似。当然,在本一般发明性概念的某些实施例中,可并入有调节和额外模型以提供更大的准确性,以便处理边缘效应。然而,在例如电源完整性(PI)分析的应用中,本一般发明性概念在无此处理的情况下提供足够的准确性。
如图2C所说明且根据本一般发明性概念的实施例,将虚拟节点(例如虚拟节点230处代表性地说明)放置在ROI 290中。如本文所使用,虚拟节点是导体上与形成导电元件之间的连接的物理节点相对的位置,所述物理节点例如是物理上可实现的电路元件的端子或导电元件的接合部。虚拟节点可物理上远离与物理电路元件的连接,但关于某些分析技术(例如形成等效电路模型的网格的互连)可充当物理节点,如下文在示范性实施例中所描述。虚拟节点还可界定在数字模型化过程中评估数学操作的点。举例来说,除下文所描述的等效电路模型化之外,根据本一般发明性概念而构造的虚拟节点的配置可通过此些数字分析技术(如有限差分时域模型化、有限元件方法和瞬间方法)来评估电源分布电路200。
根据本一般发明性概念的实施例,放置任何数目的虚拟节点230以便以减少常规技术所需的网格点的数目的方式来符合任何任意形状。举例来说,如图2C中所说明,在复杂边界所位于的ROI 290的区域(例如由区250所指示)中,虚拟节点230的间距可能较小,而在不存在此些复杂边界的ROI 290的区(例如由区260所指示)中,虚拟节点230之间的间距可增加。所述间距还可能在一个方向上比在另一方向上小,以适应在单个方向上对准的边界,例如由区270说明。因为,整个ROI 290可自适应地填充有虚拟节点230,以减少将在其处分析电路的点的数目。根据本一般发明性概念而实施的自适应网格减少存储容量的量,且还减少用以分析电源分布电路200的计算的数目。在本一般发明性概念的某些实施例中,虚拟节点230根据坐标系统(例如图2C中所说明的直角系统)而对准,如由对准线280所说明。举例来说,可允许格节点沿长度和宽度而保持对准,以允许等效电路模式的参数经缩放以适合单元大小。
在本一般发明性概念的某些实施例中,虚拟节点230包含在围绕每一节点界定一单元(例如单元233)的区域中。如本文所适用,单元是可被划分为其它单元且/或与邻近单元接合以形成单个单元的区的定义。所述单元不限于图2C中所说明的单元233的大小或形状,且可具有任何合适的形状。单元233可根据本一般发明性概念的实施例而对准且确定大小,使得区233的模型的电参数可仅由单元的尺寸和PGPP 200的物理构造(其在所有单元上均可为恒定)来界定。当基于填充ROI 290的虚拟节点230而构造此模型时,可执行对PGPP 200的整个ROI 290的电特征的分析。
图3A说明适合实践本一般发明性概念的系统配置的示范性实施例。图3A的示范性数据处理设备300包含输入/输出(I/O)系统320,数据处理设备300可通过输入/输出系统320与外围装置(在方框325处共同表示)通信,且/或与外部网络装置通信。图3A中所说明的实施例的示范性数据处理设备300包含处理器310,以引导数据处理设备300的组件的互相操作,且执行处理指令,所述处理指令实施各种功能模块,例如下文参考图3B而描述的功能模块。本一般发明性概念的实施例不限于处理器310的特定硬件配置或指令集架构,且可由以与本文所说明和描述的结构等效地执行的大量结构配置。此外,将理解,虽然将处理器310说明为单个组件,但本一般发明性概念的某些实施例可包含通过多个处理元件的分布式处理实施方案。希望本一般发明性概念包含所有此些替代实施方案,且所属领域的技术人员在检视本揭示案后将明白其它实施方案。
可利用存储单元340来代表图3A的示范性数据处理设备300而存储数据和处理指令。存储单元340可包含多个区段,例如用以保存待由处理器310执行的处理器指令的代码存储器342,以及用以存储数据(例如处理器310对其执行数据操纵操作的数据结构)的数据存储器344。存储单元340可包含分布在组件上的存储器,以(尤其)包含高速缓冲存储器和管线存储器。
数据处理设备300可包含永久存储系统330,以存储处理会话上的数据和处理指令。永久存储系统330可实施于单个永久存储器装置(例如硬盘驱动器)中,或可实施于多个永久存储器装置(其可通过通信网络互连)中。
将理解,尽管图3A的示范性实施例的功能区分通过其描述而促进了对本一般发明性概念的理解,但此配置对于实践本一般发明性概念来说并非实质。除所说明并描述的元件之外的元件可在其适当位置使用,描绘为在多个元件中进行的功能性可经组合以在单个组件中进行,且描绘为离散的元件可分布在多个组件上。事实上,所属领域的技术人员在检视本揭示案后将明白大量变化、替代和修改,且本一般发明性概念意在涵盖此些替代配置。
图3B说明适合实践本一般发明性概念的某些实施例的功能组件的示范性配置。图3B中所说明的系统可通过在处理器310上执行的处理指令来实施,且与如图3A中所说明的其它组件协作,从而形成数据处理设备300上的电源完整性分析系统(PIAS)350。PIAS 350可由电路设计者操作以分析电路设计,使得可作出适当的设计决策。PIAS 350对数据进行操作(与以物理方式制造的电路相对),所述数据可包含经格式化以在一个或一个以上电路承载媒体上以物理方式制造电路,例如用以构造组件和互连掩蔽图案的数据、组件放置位置数据、封装数据以及用以生产最终电路产品的制造过程中所必需的任何其它数据。本一般发明性概念不受任何特定制造过程限制,且在不偏离本一般发明性概念的精神和既定范围的情况下,任何合适制造数据格式可与本一般发明性概念一起使用。
PIAS 350可包含过程控制器360,以协调并控制PIAS 350的功能组件的互相操作,以便实现全操作数据处理系统。举例来说,过程控制器360可接收对应于用户接口365的用户操纵的数据,可将数据格式化成存储器中的命令和/或数据位置,且可将此信息传达到PIAS 350的适用功能模块。过程控制器360随后可接收来自适用功能模块的经处理数据,并将所述数据转发到另一功能模块,以及以在用户接口365上指示此处理。过程控制器365将根据PIAS 350的实施方案而执行其它协调和控制操作,且此些其它操作以及其实施方案可由较广范围的众所周知的过程控制方法和装置来实施。本一般发明性概念意在涵盖过程控制器360的所有此些替代方案,包含多线程和分布式过程控制方法。
如上文所指示,PIAS 350可包含用户接口365,PIAS 350通过用户接口365与其用户(通常为电路设计者)交互。外围装置325可包含显示单元和一个或一个以上输入装置(例如鼠标、跟踪球、指示笔、触摸屏和/或触摸垫等等)。硬件装置与由处理器310执行的合适编程的处理指令的组合形成用户接口365。用户接口365在本一般发明性概念的某些实施例中用于在显示器上以有意义的形式将数据呈现给用户,例如通过电路示意图、电路布局图、电路测试工作台接口、例如文件目录的数据管理接口的图像,以及用户所辨识的其它图像。
用户接口365还可将任何输入装置的用户操纵解译成可由过程控制器360辨识的消息和指令。用户接口365可包含多个用户控制以向用户提供与PIAS 350的交互性和对PIAS 350的控制。用户控制可包含上文所述的输入装置,且还可包含显示器上的软件实施的控制,例如按钮、命令菜单、文本命令条目块以及其它合适的软件控制。可通过合适配置的图形用户接口(GUI)来满足用户接口365的前述描述内容,为了提供对一般发明性概念的简明描述,将省略上述情况的实施细节。
PIAS 350可包含保存根据设计者的规范来设计、分析、修改和制造电路所必需的所有数据的电路对象的数据库380。如本文中所使用,电路对象是一种数据结构,其可存储在存储器装置中以含有电路元件的数据以使得电路元件可被查看、修改、与其它电路元件互连且在由用户选择的一个或一个以上电路设计上下文中分析。此可通过合适的数据抽象技术来实现,使得特定电路元件可(例如)呈现为示意性条目设计上下文中的示意性符号,呈现为布局设计上下文中的占用部分,呈现为电路路由设计上下文中的路由组件,且提供为电路分析和设计检验上下文中的组件模型。电路对象还可为分层的,借此电路对象含有经互连以形成具有示意性符号、布局占用部分和在电路中用作单个元件的终端特征模型的组件的电路元件的其它电路对象。此电路对象的实例为运算放大器。类似地,分析对象是一种数据结构,其可存储在存储器装置中以含有非电路元件的数据(例如,单元数据)以使得非电路元件可被查看、修改、与其它非电路元件互连且在由用户选择的一个或一个以上电路设计上下文中分析。将理解,在下文的描述内容中,除非另有清楚的对相反方面的陈述,否则下文所述的如在电路元件或非电路元件上执行的操作暗示可分别由PIAS 350的组件在所含电路对象或分析对象上执行操作。
将理解,互连和电源分布组件(例如终端垫、电线、导电轨迹及其区段、层间通孔、电源平面和接地平面等根据上文所给出的定义被视为电路元件,且可包含在存储器中的对应的电路对象中。虚拟节点也可存储在电路对象中,以及连接在虚拟节点之间的任何等效电路模型中。此外,电路承载媒体也是可包含在电路对象中的电路组件。因此,电路设计者可在(例如)硅的电路承载媒体上构造电源分布电路,硅具有已知的介电常数,其可存储在电路承载媒体的电路对象中。可从形成电源分布电路的组件的电路对象获得此些物理常数和电路组件尺寸,以构造下文所述的等效电路模型。
电路存储区域390是存储器中(例如数据存储器344中)的工作空间,其中用以存储电路例子。如本文所适用,电路例子是含有查看、修改、分析和评估电路或子电路所必需的所有电路对象的存储器中的数据结构。将理解,尽管图3B中仅说明单个电路存储区,但可在不偏离本一般发明性概念的精神和既定范围的情况下,使用任何数目的此些存储区域来提供如本文所描述的既定目的。另外,可将电路存储区域界定为静态存储器结构,或可被动态分配。本一般发明性概念不限于电路存储区域的特定实施方案。
如图3B中所说明,PIAS 350可包含网格数据处理器370以执行将电源分布路径上选定位置处的多个虚拟节点放置在存储器中(例如电路存储区域390中)所必需的操作。当所述虚拟节点被链接(例如通过等效电路模型)时,网格数据处理器370可构造网表,以界定经互连的网格组件的结构,例如传输线区段等效电路模型和电阻性等效电路模型。本一般发明性概念不受网格数据处理器370的数据处理功能的任一者的特定实施方案限制,且任何合适的功能可在不偏离本一般发明性概念的精神和既定范围的情况下与本一般发明性概念一起使用。用以实行本一般发明性概念的数据处理功能在下文将被描述为适用的;另外为了提供一般发明性概念的简明描述而将省略其实施细节。
示范性PIAS 350包含分析器375,其产生从由网格数据处理器375产生的经互连网格组件的模拟获得的分析数据。分析器375可实施各种分析功能,包含(但不限于)电源完整性分析。本一般发明性概念不限于分析器375的分析功能的任一者的特定实施方案,且执行如本文所述的既定目的的任何合适功能可在不偏离本一般发明性概念的精神和既定范围的情况下与本一般发明性概念一起使用。用以实行本一般发明性概念的分析器375和分析功能的某些特征在下文将被描述为适用的;另外为了提供一般发明性概念的简明描述而将省略其实施细节。
PIAS 350的部分可由具有与上文所述的特征类似的特征的合适电子设计自动化(EDA)系统来实施。本一般发明性概念可由具有如本文所描述和并入的新颖特征的现有EDA系统来实践。可通过更改EDA系统的流程来实行此并入,或此并入可并入作为功能模块,以通过(例如)应用程序编程接口(API)或其它此类机构来与现有EDA系统交互。
在以下段落中,将通过本一般发明性概念的特定示范性实施例来进一步描述和阐释本一般发明性概念。将理解,尽管将下文的操作描述为如同图表操纵正在发生,例如在关注区中构造单元,但这是为了描述目的,且暗示由PIAS 350的经协调过程执行的基础操作,如所属领域的技术人员将了解。另外,将理解,虽然借助于中间操作的图表描绘来描述各种过程,但可在显示装置上在无对应视觉描绘的情况下执行某些过程。
在图4A中,说明根据本一般发明性概念的实施例的用以通过单元网格来镶嵌ROI的示范性过程400的流程图。图4A中所说明的示范性镶嵌过程400假定直角坐标系统。然而,所属领域的技术人员将认识到,所述过程可容易延伸到其它几何形状及其坐标系统。还将理解,图4A中所说明的过程400出于阐释的目的而传达可由合适的机器操作(例如在类似于图3A中所说明的计算机平台的计算机平台上执行的经编程指令)实现的一般程序。本一般发明性概念可由除图4A中所说明的操作之外的操作实行,且/或以除图4A中所说明的次序之外的次序实行,如所属领域的技术人员将了解。
在进入后,且在操作402处产生电路设计之后,示范性镶嵌过程400过渡到操作405,借此初始化变量格X(GridX)和格Y(GridY),以分别在x方向和y方向上建立所述数目个较大单元。这些值可由电路设计者选择以在分析结果中以所需的准确性提供所需的分解。另外,在操作410中,初始化变量等级(Level),以建立可从较大单元划分的所述数目的较小单元。还可在计算基础单元的大小中使用变量等级,如下文进一步详细论述。举例来说,如果格X为8,且等级为2,那么基础单元的大小为轮廓宽度的1/16。
如本文所使用,较大单元是ROI的最大可允许再分,且较小单元是ROI中的最小可允许再分,且在本文中替代地被称为基础单元。因此,在完成镶嵌过程400后,单元可不大于较大单元且不小于较小单元,但可具有其间的任何大小。
在操作415中,确定x和y方向上的较小单元的数目,例如通过将等级划分成格X和格Y。示范性过程400接着过渡到操作420,借此确定ROI的边界,例如外部外围边界和任何内部外围边界。举例来说,在图4C中,说明区A、B、C、D、E和F,其中所述区可包含空隙、狭缝或其它特征。操作420可确定ROI的内部边界和外部边界(例如,在图4C中说明为边界“G”)。如上文所陈述,ROI是电源平面与接地平面的几何相交部,其可由合适的相交确定技术来获取。接着从ROI的所得形状的外部边界的坐标获取外部外围边界。ROI可存储在电路对象中,以包含其尺寸,其可用于在坐标系统中获得边界的坐标。
在操作425中,确定最大和最小网格间距。可通过计算将在x和y方向上完全填充ROI的基础单元的数目来确定最小间距。类似地,可从将填充ROI的较大单元的数目来确定最大网格间距。网格间距确定单元在ROI内的放置,如下文将描述。
如图4A中所说明,示范性过程400过渡到操作430,借此在ROI中界定基础单元网格。本一般发明性概念不受基础单元网格的构造限制,然而,出于说明的目的,图4B中说明操作430的示范性过程。
参看图4B,对应于图4A中的操作430的示范性过程430过渡到操作460,借此确定ROI的边界形状中的顶点和其它过渡(其全部在本文中将被称为顶点)。举例来说,如图7A中所说明,可在顶点A与顶点B之间形成水平线性边界。从ROI的一个顶点到另一顶点,一行中的基础单元界定外围水平边界线AB(在所述线与单元相交的情况下)。可从电源分布电路的布局中的放置数据确定顶点。可使用顶点来区别边界的区段,且可将顶点存储在电路对象中,例如经创建以存储与ROI相关联的数据的电路对象,以便在必要时检索。通过操作460中所界定的顶点,可考虑具有界定水平线的端点的顶点的线中的任何单元以界定边界。
在操作465中,依据预定包含标准,根据单元是否在外围边界上来设置外部外围边界的弧形边界区段上的基础单元的包含状态。图5A中说明单元是否在其弧形区段处的外围边界内的示范性确定。如图中所说明,弧形边界区段505界定半径R(从弧中心点C测量)的弧。可从含有弧形边界区段505作为其一部分的PGPP组件的制造数据的电路对象获得边界区段的中心点C和半径R。基础单元(在基础单元520处代表性地说明)根据操作425中所确定的网格间距而定位在边界505处。如果基础单元520的所有四个隅角点520a到520d都在由半径R界定的弧形边界505的区内,且从基础单元520的所有隅角点到弧形区段的中心的距离不大于且不小于半径R,则基础单元520可被视为弧形边界区段505。举例来说,基础单元535由于不在其区中而不在弧形边界505上,因为单元525在由过渡点P区别的线性区段上。基础单元524不在弧形边界区段505上,因为从所有四个隅角点到中心C的距离小于半径R,且基础单元522不在弧形边界区段505上,因为从其所有四个隅角点到中心C的距离大于半径R。然而,将基础单元520视为在弧形边界上,因为从隅角520a到弧形区段505的中心的距离(如由(例如)向量U的向量量值确定)小于半径R,且从至少一个其它隅角点到弧形区段505的中心的距离(如由(例如)向量V的向量量值确定)大于半径R。在基础单元520的情况下,从中心点C到所有三个剩余隅角点520b到520d的距离大于半径R。
在操作470中,根据基础单元是否位于边界上来设置ROI的水平和垂直线性边界上的基础单元的包含状态,以界定单元中的边界。从ROI的一个顶点到另一顶点,如果线与单元相交,那么水平边界的一行中且垂直边界的一列中的基础单元界定外围边界。举例来说,在操作460中确定了顶点的位置的情况下,考虑具有界定水平或垂直边界区段的端点的顶点的线中的任何单元以界定边界。此外,如果对应于水平或垂直边界区段的边缘由一个单元相交,那么行/列中从顶点到顶点的所有单元界定水平或垂直边界区段。
在操作475中,设置其它线性边界区段(例如平行于水平轴或垂直轴而对准的区段)上的基础单元的包含状态,以界定基础单元中的边界区段。图5B到图5E中说明此条件,其中在边界区段530处说明对角线外围边界区段。在本一般发明性概念的某些实施例中,可通过形成合适的向量且计算向量叉积来确定基础单元是否位于对角线边界上。举例来说,在图5B中,选择边界线530上的预定点,其在点540处说明。可将界定边界区段530的端点的顶点中的一者用作预定点。向量E可对准于边界区段530,且可形成四个向量A到D,其每一者开始于点P处,且被引导通过基础单元532的相应隅角。如果基础单元在边界区段530上,例如图5B中所说明,那么向量E与向量A到D中的每一者的向量叉积将产生方向与其它叉积结果相反的至少一个结果。举例来说,在图5B中,向量E与向量A和B的叉积产生在正z方向上的所得向量,而向量E与向量C和D的叉积产生在负z方向上的所得向量。相反,当从边界区段530移除图5C中所说明的基础单元533时,E与向量F到J的叉积产生都在同一方向上的相应所得向量。由于可从评估向量E与穿过基础单元的隅角点的向量的叉积的所得向量的方向来作出基础单元是否位于外围边界530上的确定,所以本一般发明性概念的某些实施例仅确定结果的正负号,与计算完整的叉积相对。
依据基础单元的大小、网格放置和大体形状,以及边界区段的位置,可能必须放置并评估额外单元,使得在基础单元中界定整个边界区段。举例来说,如图5D到图5E中所说明,由于基础单元的网格放置和形状的缘故,对角线上定向的基础单元可不对应于外围边界区段530的定向。因此,可放置额外基础单元,例如图5D中的单元A和B处所说明,且对其进行评估(例如通过上文所述的叉积计算),以确定所放置的基础单元是否在边界区段530上。在图5D中,将标记为A的单元确定为远离边界区段530,而将标记为B的单元确定为在边界区段530上。可例如通过合适的处理器指令环来放置和评估单元,直到边界区段530由基础单元界定为止,例如图5E中所说明。一旦已将单元建立为边界单元,含有单元信息的分析对象就可设置指示单元的状态为边界单元的数据字段。
再次参看图4B,在操作480中,借此在基础单元中界定内部边界,所述内部边界界定(例如)电源平面和接地平面的一者或两者中的空隙。可以类似于外部外围边界区段的方式的方式来实现内部边界区段的界定,且为了本一般发明性概念的描述的简明性,将省略其描述。
图6中说明如由ROI 620的基础单元615界定的外围边界610。在所说明的实例中,ROI 620由电源平面629的形状界定,电源平面629在与接地平面630相交时保持其形状。如图6中所说明,还已在基础单元中界定空隙640a到640e的边界。图8中说明在基础单元中完全界定图6中所说明的ROI 620。将理解,用以在基础单元中建立ROI的外围的其它技术可在不偏离本一般发明性概念的精神和既定范围的情况下与本一般发明性概念一起使用。
在操作485中,设置ROI内部的单元的包含状态。图7A到图7E中说明用以设置包含状态的示范性技术。
如图7A中所说明,可通过(例如)上文所述的过程来放置示范性ROI 710的边界单元(即,有效边界730的单元),且可将单元是边界单元的指示包含在单元的分析对象中。可建立矩形框720,其环绕待分析的ROI 710,且可执行图4A中所说明且上文所述的网格操作。最初可将ROI 710中的基础单元的状态值设置为状态值“0”,如图7A中所说明。带箭头的线指示开始于(例如)左下有效边界单元(其可被称为开始单元(“SC”))的围绕ROI 710的有效边界730的环方向。尽管将左下边界单元用作环的开始点,但可将沿有效边界730的任何其它合适单元位置选择为SC。
“环”可至少部分地基于边界单元的位置。作为有效边界730的一部分的边界单元的“垂直位置”可在y(即,垂直“向上”)或y′(即,垂直“向下”)方向上移动。举例来说,如图7B中所说明,可将临时状态“+1”指配给沿有效边界730定位在边界单元左侧的每个单元。
在图7B中所说明的使用单元A、B和C的实例中,单元A在垂直y方向上的高度可大于单元B,且单元B和C在y方向上可具有相同的垂直高度。在沿带箭头环(从SC开始)移动的过程中,如果前者单元在垂直y方向上具有相同高度,那么所述单元可不用作有效边界单元。在此实例中,可将单元A和单元B用作有效边界单元,且单元C可不用作有效边界单元,因为其前者边界单元(即,单元B)与单元C具有相同的高度。如图7B中所说明,在垂直y方向上从SC开始,用临时状态值+1来指示在所确定的边界单元左侧的单元的临时状态。
图7C中说明单元D、单元E、单元F和单元G。在此所说明的实例中,单元D的垂直高度可大于单元E的垂直高度,其中单元E在与单元F比较时高度相等,且单元F的垂直高度可大于单元G的垂直高度。类似于如上文所述,如果前者单元在垂直y′方向(垂直向下)上具有相同高度,那么所述单元可不用作有效边界单元。在此实例中,单元F可不用作有效边界单元,因为单元F与在环方向上在其之前的单元(即,单元E)具有相同的大小。由于单元D、单元E和单元G与在它们之前的单元具有不同的垂直高度,所以可将它们用作有效边界单元。如图7C中所说明,将在有效边界单元左侧的所有单元的临时状态的值设置为-1。
同一过程可如上文所述用于将沿有效边界730的单元彼此进行比较。如图7D中所说明,在比较沿有效边界730的单元之后,可从其临时状态获得每一单元的状态。当临时状态为非零值时,可使所述单元的状态重设为+1。否则,可使其保持为零值。
可确定基础单元在边界“内”还是在边界“外”,且因此对其进行设置。转到图7E,可将边界内(且包含边界)的所有单元的状态设置为+1,且可将这些单元设置为“内”。在边界单元外的单元的状态可具有零值,且可将其设置为“外”。
尽管可在水平和垂直方向上执行此设置,但在设置“内”或“外”状态的过程中至少可利用垂直方向。如果形状具有空隙、制造异常、狭缝或其它特征,那么可使用类似过程来确定哪些单元在空隙区域“内”或“外”。举例来说,在空隙内的单元可具有为非零值的临时状态,且可将其状态设置为“外”。定位在空隙外的单元可具有临时状态0(零),其中将此些单元的状态设置为“内”。
一旦已在基础单元中界定了ROI,且已设置了这些单元的包含状态,图4A的过程400就过渡到操作435,借此通过单元在ROI的边界内和上的放置而确定单元的图案。
图9A到图9C中说明用以确定ROI内的单元图案的示范性过程。图9A中说明可在ROI中的示范性单元行,其中单元行中在x方向上的单元具有相同的宽度值h。如图9B中所说明,可在ROI中的示范性单元列中的单元可在y方向上具有相同宽度值w。下文详细论述的图10A说明单元行和列在一起的结构。
转到图9C,说明具有单元行和列以及其它特征的示范性ROI 900的一部分。ROI 900由复杂外围边界950界定,负责外围边界950包含切口(在切口910处代表性地说明)以及线性边界区段920,其不平行于图9C中所说明的示范性坐标系统的任一轴。ROI 900也由圆形空隙930界定。
假定已例如通过上文结合图4A和4B而描述的示范性过程在基础单元中界定了ROI900。然而,为了避免使图显得拥挤,在ROI 900的外部提供标度902和904,以在水平和垂直方向上指示基础单元在其中的放置。
根据本一般发明性概念的某些实施例,ROI 900的单元经合并以形成超单元,其可大于最大所允许大小的单元,但可不小于基础单元。在本一般发明性概念的某些实施例中,ROI 900中的单元的图案界定最大可能超单元,使得单元行中的所有单元在如图9B中所说明的x方向上的宽度h恒定,且单元列中所有单元在y方向上的宽度w恒定,其中单元列和行中每一者在其在ROI 900的边界上(在界定空隙930的内边界上,或在外部外围边界950上)的相应末端处终止。
合适的图案识别过程可选择(例如)边界单元,且确定所述单元所驻留的行和列的范围。行和列的指示可存储在单元的分析对象中,且可选择下一个边界单元以确定所述单元所驻留的行和列的范围。当所有边界单元都已被处理时,可从单元的共同行/列结构确定图案。举例来说,ROI 900的区940中的单元位于在边界区段952左侧终止且在切口910的边界区段905右侧终止的行中。类似地,区940中的单元位于在ROI的相同上和下边界上终止的列中。区942中的单元位于其在圆形空隙930处的一端处终止的列中。在本一般发明性概念的某些实施例中,共同行和列(即,分别在x和y方向上在ROI 900的共同边界处终止的行和列)中的ROI 900中的单元可合并成单个超单元。具有公共区形成图9C中通过虚线格说明的图案。
参看图4A,过程400过渡到操作440,借此ROI的单元根据操作435中所确定的图案经合并以形成超单元。图10A中说明更宽的实例,其中图6和图8中所说明的ROI620界定于超单元中。另外,图10B中说明在具有弧形边界1020的ROI 1010中界定的超单元的实例。
在操作445中,将大于最大大小的超单元划分为不大于单元的最大大小限制的单元。在操作445完成后,单元的自适应网格完成。图11中将上文所述的ROI 620说明为镶嵌在大小不大于较大单元且大小不小于基础单元的单元中。在操作450中,网格数据经格式化以供分析,如结合图12A到图12B以及图14而进一步描述。
如上文所论述,本一般发明性概念的自适应网格可用于多种分析技术中。出于描述而非限制的目的,将在电源完整性(PI)分析的上下文中描述本一般发明性概念,且相应地格式化网格数据。
参看图12A,说明镶嵌到多个单元(在单元1210处代表性地说明)中的ROI 1200。ROI 1200可在直角轴上对准,且每一单元可由长度Δx和宽度Δy界定,例如单元1210处所说明。可将单元1210的传播延迟计算为:
T cell = T dx = Δx c d T dy = Δy c d , c d = 1 μ 0 ϵ 0 ϵ r - - - ( 1 )
且可将单元的特征阻抗计算为:
Z 0 cell = Z 0 x = T dx C cell Z 0 y = T dy C cell , C cell = ϵ 0 ϵ r ΔxΔy d , - - - ( 2 )
其中cd是光在分离PGPP的媒体中的速度,Ccell是单元的等效电容,d是电源平面与接地平面之间的距离,ε0和μ0分别是自由空间的介电常数和磁导率,且εr是PGPP的平面之间的媒体的相对介电常数。将注意到,个别单元的特征阻抗由单元的尺寸界定,且所有其它参数为所有单元所共用。
在本一般发明性概念的某些实施例中,构造等效传输线模型,例如在电路模型1250处所说明,其中每一单元1250由x方向上的一对传输线区段1252和y方向上的一对传输线区段1254模型化。传输线区段1252和1254在网格点1260处彼此互连,且在点1262到1268处与网格中的其它类似模型互连,如下文将进一步描述。如图12A中所说明,传输线模型1250包含具有网格点1271的接地平面模型1270,以及分别对应于互连节点1262到1268的互连节点1272到1278。可将每个传输线区段1252,1254的传播延迟计算为:
T tline _ x = T dx 2 2 - - - ( 3 )
T tline _ x = T dx 2 2 , - - - ( 4 )
且可将每一传输线区段1252,1254的特征阻抗计算为:
Z 0 tline _ x = 2 Z 0 x 2 - - - ( 5 )
Z 0 tline _ y = 2 Z 0 y 2 . - - - ( 6 )
在等式(3)到(6)中,已应用校正因数
Figure B200910006283XD0000157
使得单元的延迟和特征阻抗对应于传输线模型的延迟和特征阻抗。另外,由于传输线模型1250是基于每一方向上的一对传输线区段1252,1254,所以一个区段的延迟是所述对的总延迟的一半,且每一区段1252,1254的特征阻抗加倍。
每一单元1210的传输线模型1250可经互连以形成传输线等效电路1250a到1250c的网格,例如图12B中所说明,其具有由相应单元1210a到1210c的尺寸所界定的特征参数。对应于等效电路1250a到1250c的网格的数据可由(例如)图3B中的网格数据处理器370根据分析器(例如,分析器375)的要求而格式化。举例来说,可将网格数据格式化成界定等效电路1250a到1250c的网格的互连和特征阻抗的合适网表,以提供给传输线模拟器,例如具有“w”元件模型参数的HSpice。本一般发明性概念不限于分析数据格式或使用其执行的分析。
通过将图12B中所说明的网格的网格点数目与图1B中所说明的网格的网格点数目进行比较,本一般发明性概念的数据减少是显而易见的。有利地,由本一般发明性概念实现的数据减少不会显著影响分析结果的准确性。图13说明传输线等效电路模型的由线1310描绘的均匀网格中的阻抗的模拟频率响应与根据本一般发明性概念的实施例的传输线等效电路模型的由线1320描绘的自适应网格的比较。所模拟的均匀网格是64×64单元(其具有相同大小)的格,且所模拟的自适应网格是8×8等级8格,其具有等于均匀网格单元的最小大小的单元。两个响应均取自大致上并置的探测点,且披露大致上等效的结果。对图13的实例中的均匀网格的模拟在12分钟内完成,而有利地,对根据本一般发明性概念的实施例的自适应网格的模拟仅在40秒内完成。
本一般发明性概念还可用于执行静态IR降分析。可根据单元的尺寸以类似于传输线分析应用的方式来缩放矩形单元的电阻。举例来说,一旦已建立了自适应网格,就可如图14中所说明来构造等效电阻性电路模型1410,通过:
R x = Δx σΔyt - - - ( 7 )
R y = Δy σΔxt - - - ( 8 )
在等式(7)到(8)中,σ表示西门子/计量器中的导体的导电率,且t是导体的有效厚度,其用以获得DC电阻,且t为图2C中所说明的导体的厚度。电阻性模型1410可以类似于传输线模型的方式互连,如图15中所说明,且可对合适的网表进行格式化以用于IR降分析。根据网表,可通过应用基尔霍夫的电流定律(Kirchhoff’s Current Law)来获得矩阵等式:
[G][V]=[I],                                        (9)
其中[G]是电导矩阵,[I]是注入电流向量,且[V]是每一节点处的电压向量。可从具有等效于电阻的倒数值的值的元件形成矩阵[G]。矩阵尺寸的尺寸由除链接到电压调节器模型(VRM)的节点之外的电路节点的数目确定。举例来说,在对应于如图15中所说明的四个单元的电路中,等式(9)变为:
g total 1 - g 1 0 - g 2 g total 2 - g 3 0 - g 3 g total 3 V 1 V 2 V 3 = 0 I 2 0 . - - - ( 10 )
如果邻近节点连接到一个VRM,那么非对角线元素是两个节点之间的电导,而对角线元素将是所述行处所有非对角线元素与两个节点(电流节点和其邻近节点)之间的电导的总和。在每一行上,最多将存在六个元素。除具有电流噪声源的节点外,注入电流向量[I]将为一个零向量。即,所述电流向量行处的电流值将填充有电流值。gtotali(例如gtotal1、gtotal2、gtotal3等)是电导值gi(例如g1、g2、g3等)的总和,电导值gi是来自可连接到节点I(例如,节点1、节点2、节点3等)的电阻器的结果。
在已创建了稀疏矩阵等式的情况下,采用稀疏矩阵解决方案来计算电压向量[V]。使用此计算出的结果来界定每一经接合单元中心上的电压降,称为VRM位置。示范性结果如图16中所说明,其中观察到电压降在电源平面上变化。
当一个或一个以上电源平面具有连接在一起的电源通孔时,SQPI可考虑此些通孔的效应。位于一个或一个以上所界定单元处的电源通孔可连接到(例如)两个邻近电源平面上的至少两个单元的中心。通孔Rvia(例如,图14和图15中所说明)的电阻可由等式
Figure B200910006283XD0000172
确定,其中r1,r0是通孔的外径和内径的尺寸,且d为两个电源平面之间的尺寸。可使用上文所列出的等式(7)和(8)来确定图14和图15中所说明的Rx和Ry的电阻的值。
本一般发明性概念的某些实施例将功能组件提供为编码在计算机可读媒体上的处理器指令而制造、运输、交易且/或出售。在这样实施时,本一般发明性概念可被实践而不管处理器指令在其上执行的处理平台如何,且不管将处理器指令编码在媒体上的方式如何。
将理解,上文所述的计算机可读媒体可为指令可编码在其上且随后由处理器检索、解码和执行的任何媒体,包含电、磁性和光学存储装置,以及有线、无线、光学和声学通信信道。计算机可读媒体可包含永久存储装置(本文称为“计算机可读记录媒体”)和如空间时间存储装置(本文称为“计算机可读传输媒体”中的任一者或两者。计算机可读记录媒体的实例包含(但小限于)只读存储器(ROM)、随机存取存储器(RAM)和其它电存储装置;CD-ROM、DVD和其它光学存储装置;以及磁带、软盘、硬盘和其它磁性存储装置。计算机可读记录媒体可分布在组件上,以包含通过经由通信网络而互连的存储系统的此分布。计算机可读传输媒体可在电磁载波或信号上传输经编码的指令,或通过声学传输性媒体作为产学信号而传输经编码的指令。此外,可以各种编程语言从本一般发明性概念的算法构造导出处理器指令,其仅有的预期说明本一般发明性概念的大量可实现抽象形式。
尽管已说明并描述了本一般发明性概念的几个实施例,但所属领域的技术人员将了解,可在不脱离本一般发明性概念的原理和精神的情况下在这些实施例中做出改变,且本一般发明性概念的范围在所附权利要求书及其均等物中界定。

Claims (32)

1.一种用于分析具有电源平面和接地平面的电子电路的平面对的自适应网格分解方法,所述方法包括:
产生所述平面对的第一区的第一单元结构的第一大小;
基于所述平面对的第二区的形状而自适应地产生第二单元结构的第二大小,且确定所述第一大小与所述第二大小之间的缩放因数;以及
水平且垂直地将所述第一单元结构和所述第二单元结构结成网格,其中所述第一单元结构的第一中心节点与所述第二单元结构的第二中心节点对准。
2.根据权利要求1所述的方法,其进一步包括:
基于所述缩放因数而确定每一单元的传输线特征。
3.根据权利要求1所述的方法,其进一步包括:
确定所述平面对的阻抗。
4.根据权利要求1所述的方法,其进一步包括:
设置目标阻抗;
确定所述平面对中一位置处的阻抗;以及
当所述确定的阻抗大于所述目标阻抗时,放置去耦装置以降低所述位置中的阻抗。
5.根据权利要求1所述的方法,其进一步包括:
对所述结成网格的单元结构执行电源完整性分析。
6.根据权利要求1所述的方法,其进一步包括:
对所述结成网格的单元结构执行静态IR(电流-电阻)降分析。
7.一种用于分析具有电源平面和接地平面的电子电路的平面对的自适应网格分解方法,所述方法包括:
在x方向上设置所述对平面的具有第一大小的第一数目的第一单元结构,并在y方向上设置第二数目的所述第一单元结构;
设置所述第一单元结构的等级,其中所述等级是最大倍数,具有所述第一大小的所述第一单元结构是可再分的;
确定在所述x方向和所述y方向上具有第二大小的第二单元结构的数目,所述第二单元结构是所述第一大小小于所述第二大小的所述第一单元结构的再分;
确定关注区(ROI)的边界;
确定最大和最小网格间距;以及
确定所述ROI中的第二单元结构的网格。
8.根据权利要求7所述的方法,其进一步包括:
根据所述ROI的所述边界来确定第二单元结构的图案;以及
根据所述确定的图案合并所述ROI的所述第二单元结构以形成一个或一个以上超单元。
9.根据权利要求8所述的方法,其进一步包括:
将大小大于所述第一单元结构的所述第一大小的所述一个或一个以上超单元划分为具有小于或等于所述第一单元结构的第三大小的一个或一个以上第三单元结构。
10.根据权利要求7所述的方法,其中所述确定所述最大和最小网格间距包括:
通过计算用以在所述x和y方向上填充所述ROI的具有所述第二大小的第二单元结构的数目来确定所述最小网格间距;以及
通过计算用以在所述x和y方向上填充所述ROI的具有所述第一大小的第一单元结构的数目来确定所述最大网格间距。
11.根据权利要求7所述的方法,其进一步包括:
对所述网格的数据进行格式化以供分析。
12.根据权利要求11所述的方法,其中所述对所述数据进行格式化进一步包括:
对所述数据进行格式化以用于电源完整性(PI)分析。
13.根据权利要求11所述的方法,其中所述对所述数据进行格式化进一步包括:
对所述数据进行格式化以用于静态IR(电流-电阻)降分析。
14.根据权利要求7所述的方法,其进一步包括:
通过传输线网格来表示所述平面对;以及
对于所述第二单元结构中的每一者,通过从所述第二单元结构的尺寸中确定延迟和阻抗来确定等效传输线网络。
15.根据权利要求7所述的方法,其中所述确定所述ROI中的第二单元结构网格进一步包括:
确定所述ROI的顶点;以及
设置所述ROI的所述第二单元结构的包含状态。
16.根据权利要求15所述的方法,其中所述设置所述第二单元结构的包含状态进一步包括:
设置所述ROI的至少一个外部弧形边界的所述第二单元结构的包含状态;
设置所述ROI的水平和垂直边界的所述第二单元结构的包含状态;
设置所述ROI的线性边界的所述第二单元结构的包含状态;
设置所述ROI的内部边界的所述第二单元结构的包含状态;以及
设置所述ROI的内部的所述第二单元结构的包含状态。
17.一种从电源分布电路的模型中确定所述电源分布电路的性能的方法,所述方法包括:
确定其中所述电源分布电路的所述模型有效的区的边界;
将所述区镶嵌到多个单元中,使得所述单元中的至少一者具有不同于所述单元中的另一者的大小;
从所述单元的所述大小中确定所述单元中的每一者的等效电路模型的参数;
从所述参数对分析数据进行格式化以与所述模型对应;以及
使用所述经格式化的分析数据来执行模拟,以确定所述电源分布电路的所述性能。
18.根据权利要求17所述的方法,其中每一单元与邻近单元正交对准。
19.一种电路分析器设备,其包括:
数字存储单元,其用以存储电路数据以形成带有具有电源平面和接地平面的平面对的电子电路;
网格数据处理器,其用以从将所述电子电路表示为多个经链接节点的所述电路数据中产生模型数据,以便从围绕相应节点的所述电子电路的区域的物理尺寸中确定所述相应节点之间的链路的经模型化电特征的差异;以及
分析器,其用以从所述模型数据中产生所述电子电路的电特征数据。
20.根据权利要求19所述的设备,其中所述电路数据是与接地平面呈平面平行对准的电源平面的数据。
21.根据权利要求19所述的设备,其中所述节点中的每一者之间的所述链路由所述网格数据处理器模型化为至少一个传输线区段。
22.根据权利要求19所述的设备,其中所述网格数据处理器将所述电子电路的关注区镶嵌到多个单元中,使得所述单元中的至少一者具有不同于所述区域的所述物理尺寸的相应大小的所述单元中的另一者的大小。
23.根据权利要求19所述的设备,其中由所述分析器产生的所述电特征数据是频率响应数据。
24.根据权利要求19所述的设备,其中由所述分析器产生的所述电特征数据是电压降数据。
25.一种电路设计设备,其包括:
数字存储单元,其用以存储电路数据,以形成带有具有电源平面和接地平面的平面对的电子电路;
处理器,其用以将所述平面对的所述平面的相应形状分割为节点网格,其中从所述节点中的一者到所述节点中的至少另一者的距离不同于从所述节点中的所述一者到所述节点中的又一者的距离,所述处理器进一步用以模型化如由所述节点之间的距离所界定的等效电路互连的所述网格的所述节点,且使用其计算电源分布电路的电特征;以及
分析器,其用以模型化如由所述节点之间的距离所界定的等效电路互连的所述网格的所述节点,且使用其计算所述平面对的电特征。
26.根据权利要求25所述的设备,其中所述网格的所述节点由所述处理器在矩形坐标中对准。
27.根据权利要求25所述的设备,其中所述节点非均匀地间隔,但保持在格上。
28.根据权利要求25所述的设备,其中所述分析器形成所述模型的电路网表。
29.根据权利要求25所述的设备,其中由所述分析器形成的所述网格是用于所述电源平面与所述接地平面的空间相交。
30.根据权利要求25所述的设备,其中由所述处理器形成的正交网格减少了许多节点,且保持正交。
31.根据权利要求25所述的设备,其进一步包括:
用户接口,其用以改变所述平面对的所述电特征。
32.一种其上含有计算机可读指令的计算机可读媒体,所述计算机可读指令在由处理器执行时执行用于分析具有电源平面和接地平面的电子电路的平面对的自适应网格分解方法,所述方法包括:
产生所述平面对的第一区的第一单元结构的第一大小;
基于所述平面对的第二区的形状而自适应地产生第二单元结构的第二大小,且确定所述第一大小与所述第二大小之间的缩放因数;以及
水平且垂直将所述第一单元结构和所述第二单元结构结成网格,其中所述第一单元结构的第一中心节点与所述第二单元结构的第二中心节点对准。
CN200910006283.XA 2009-02-11 2009-02-11 电路模拟和分析中的自适应网格分解 Expired - Fee Related CN101799836B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN200910006283.XA CN101799836B (zh) 2009-02-11 2009-02-11 电路模拟和分析中的自适应网格分解
US12/610,948 US8448117B2 (en) 2009-02-11 2009-11-02 Adaptive mesh resolution in electric circuit simulation and analysis

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN200910006283.XA CN101799836B (zh) 2009-02-11 2009-02-11 电路模拟和分析中的自适应网格分解

Publications (2)

Publication Number Publication Date
CN101799836A true CN101799836A (zh) 2010-08-11
CN101799836B CN101799836B (zh) 2014-09-17

Family

ID=42541433

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200910006283.XA Expired - Fee Related CN101799836B (zh) 2009-02-11 2009-02-11 电路模拟和分析中的自适应网格分解

Country Status (2)

Country Link
US (1) US8448117B2 (zh)
CN (1) CN101799836B (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102573282A (zh) * 2010-12-22 2012-07-11 日立环球储存科技荷兰有限公司 具有修正的共振频率的供电和接地层
CN102651038A (zh) * 2011-02-24 2012-08-29 益华公司 用于电力输送网络分析的方法和系统
US8949102B2 (en) 2011-02-24 2015-02-03 Cadence Design Systems, Inc. Method and system for power delivery network analysis
CN104796175A (zh) * 2014-01-22 2015-07-22 英特尔公司 用于描述传输线特性的技术
CN105808806A (zh) * 2014-12-31 2016-07-27 瑞昱半导体股份有限公司 集成电路的时序分析方法及集成电路的时序分析装置
CN107666299A (zh) * 2013-03-15 2018-02-06 谐振公司 根据频率响应要求设计声微波滤波器的方法
CN109582991A (zh) * 2017-09-28 2019-04-05 台湾积体电路制造股份有限公司 电路布局着色方法
CN110637365A (zh) * 2017-04-11 2019-12-31 高通股份有限公司 基于单元的电力网格(pg)架构

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8219377B2 (en) * 2009-02-23 2012-07-10 Georgia Tech Research Corporation Multi-layer finite element method for modeling of package power and ground planes
US9252633B2 (en) 2012-12-21 2016-02-02 General Electric Company System and method for accelerated assessment of operational uncertainties in electrical power distribution systems
US9317638B1 (en) * 2014-11-03 2016-04-19 Keysight Technologies, Inc. Polymorphic circuit simulation system
US10394299B2 (en) * 2016-05-23 2019-08-27 Qualcomm Incorporated Systems and methods to separate power domains in a processing device
US10503858B1 (en) 2016-12-30 2019-12-10 Cadence Design Systems, Inc. Method, system, and computer program product for implementing group legal placement on rows and grids for an electronic design
US10402530B1 (en) 2016-12-30 2019-09-03 Cadence Design Systems, Inc. Method, system, and computer program product for implementing placement using row templates for an electronic design
US10515180B1 (en) * 2016-12-30 2019-12-24 Cadence Design Systems, Inc. Method, system, and computer program product to implement snapping for an electronic design
US10452807B1 (en) 2017-03-31 2019-10-22 Cadence Design Systems, Inc. Method, system, and computer program product for implementing routing aware placement for an electronic design
US10515177B1 (en) 2017-06-29 2019-12-24 Cadence Design Systems, Inc. Method, system, and computer program product for implementing routing aware placement or floor planning for an electronic design
US11829697B2 (en) * 2021-08-06 2023-11-28 International Business Machines Corporation Region-based layout routing
CN114580345B (zh) * 2022-04-29 2022-07-05 深圳鸿芯微纳技术有限公司 电路仿真方法、装置、计算机设备及计算机可读存储介质

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6532439B2 (en) * 1998-06-18 2003-03-11 Sun Microsystems, Inc. Method for determining the desired decoupling components for power distribution systems
CN1545049A (zh) * 2003-11-14 2004-11-10 清华大学 基于虚拟模块的大规模混合模式布图方法
US7277841B1 (en) * 2002-02-11 2007-10-02 Sun Microsystems, Inc. Method for adaptive sub-gridding for power/ground plane simulations
US20080079443A1 (en) * 2005-03-28 2008-04-03 Fujitsu Limited Electromagnetic field intensity calculating method and apparatus

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6532439B2 (en) * 1998-06-18 2003-03-11 Sun Microsystems, Inc. Method for determining the desired decoupling components for power distribution systems
US7277841B1 (en) * 2002-02-11 2007-10-02 Sun Microsystems, Inc. Method for adaptive sub-gridding for power/ground plane simulations
CN1545049A (zh) * 2003-11-14 2004-11-10 清华大学 基于虚拟模块的大规模混合模式布图方法
US20080079443A1 (en) * 2005-03-28 2008-04-03 Fujitsu Limited Electromagnetic field intensity calculating method and apparatus

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102573282A (zh) * 2010-12-22 2012-07-11 日立环球储存科技荷兰有限公司 具有修正的共振频率的供电和接地层
CN102651038A (zh) * 2011-02-24 2012-08-29 益华公司 用于电力输送网络分析的方法和系统
US8949102B2 (en) 2011-02-24 2015-02-03 Cadence Design Systems, Inc. Method and system for power delivery network analysis
CN107666299A (zh) * 2013-03-15 2018-02-06 谐振公司 根据频率响应要求设计声微波滤波器的方法
CN107666299B (zh) * 2013-03-15 2020-11-03 谐振公司 根据频率响应要求设计声微波滤波器的方法
CN104796175A (zh) * 2014-01-22 2015-07-22 英特尔公司 用于描述传输线特性的技术
CN104796175B (zh) * 2014-01-22 2017-08-08 英特尔公司 用于描述传输线特性的技术
CN105808806A (zh) * 2014-12-31 2016-07-27 瑞昱半导体股份有限公司 集成电路的时序分析方法及集成电路的时序分析装置
CN105808806B (zh) * 2014-12-31 2019-05-31 瑞昱半导体股份有限公司 集成电路的时序分析方法及集成电路的时序分析装置
CN110637365A (zh) * 2017-04-11 2019-12-31 高通股份有限公司 基于单元的电力网格(pg)架构
CN110637365B (zh) * 2017-04-11 2023-05-05 高通股份有限公司 基于单元的电力网格(pg)架构
CN109582991A (zh) * 2017-09-28 2019-04-05 台湾积体电路制造股份有限公司 电路布局着色方法

Also Published As

Publication number Publication date
CN101799836B (zh) 2014-09-17
US20100205572A1 (en) 2010-08-12
US8448117B2 (en) 2013-05-21

Similar Documents

Publication Publication Date Title
CN101799836B (zh) 电路模拟和分析中的自适应网格分解
CN111737943B (zh) 一种基于等效电路模型的集成电路ibis模型提取方法及系统
CA2308707C (en) Polygon representation in an integrated circuit layout
Yu et al. Advanced field-solver techniques for RC extraction of integrated circuits
CN107315848B (zh) 用于集成电路设计的方法及系统
US7827514B2 (en) Efficient electromagnetic modeling of irregular metal planes
US6775807B2 (en) Numerically modeling inductive circuit elements
US20200042667A1 (en) Modeling of Power Distribution Networks for Path Finding
US20170116367A1 (en) Electromigration-aware integrated circuit design methods and systems
US20180157783A1 (en) Nano-wire resistance model
CN115659898A (zh) 量子版图优化方法、装置及计算机可读存储介质
US20050237776A1 (en) System and method for patient controlled communication of DICOM protected health information
CN109960841B (zh) 一种流体表面张力的仿真方法、终端设备及存储介质
CN103473402A (zh) 面向集成电路互连电容参数提取的空间管理数据生成方法
Pleskacz et al. A DRC-based algorithm for extraction of critical areas for opens in large VLSI circuits
US20050246671A1 (en) Method and apparatus for determining worst case coupling within a differential pair group
US9916415B2 (en) Integrated circuit performance modeling that includes substrate-generated signal distortions
Avram et al. Numerical Optimization of an Electrostatic Device based on the 3D XFEM and Genetic Algorithm
CN114329868A (zh) 变电设备安全距离校验方法、装置和计算机设备
US10831974B2 (en) Capacitance extraction method for semiconductor SADP metal wires
US10810339B1 (en) Determination of dimensional changes of features across mask pattern simulation fields
Halbwachs et al. Topological and geometric characterization of fault networks using 3-dimensional generalized maps
CN102651038B (zh) 用于电力输送网络分析的方法和系统
JP2004004054A (ja) Fdtd法を用いた電磁界解析方法、電磁界解析における媒質表現方法、シミュレーション装置、及びプログラム
US9026974B2 (en) Semiconductor integrated circuit partitioning and timing

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20140917

Termination date: 20150211

EXPY Termination of patent right or utility model