CN101241857A - 形成介电结构的方法以及半导体结构 - Google Patents

形成介电结构的方法以及半导体结构 Download PDF

Info

Publication number
CN101241857A
CN101241857A CNA2008100054542A CN200810005454A CN101241857A CN 101241857 A CN101241857 A CN 101241857A CN A2008100054542 A CNA2008100054542 A CN A2008100054542A CN 200810005454 A CN200810005454 A CN 200810005454A CN 101241857 A CN101241857 A CN 101241857A
Authority
CN
China
Prior art keywords
low
layer
dielectric layer
dielectric
low dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2008100054542A
Other languages
English (en)
Inventor
陈奕伊
蔡方文
吴振诚
包天一
郑双铭
余振华
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN101241857A publication Critical patent/CN101241857A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明公开了一种形成介电结构的方法以及半导体结构。形成介电结构的方法包含提供基材;沉积包含第一成孔剂的低介电系数介电层于基材上;沉积包含第二成孔剂的低介电系数帽盖层于低介电系数介电层上;以及同时熟化低介电系数介电层及低介电系数帽盖层,以移除第一及第二成孔剂,使产生第一孔隙度于低介电系数介电层中,及第二孔隙度于低介电系数帽盖层中。第二孔隙度优选地小于第一孔隙度。优选地,低介电系数介电层及低介电系数帽盖层包含一组共同的前趋物及成孔剂,且原位进行。

Description

形成介电结构的方法以及半导体结构
技术领域
本发明一般涉及集成电路,尤其涉及形成介电结构的方法以及半导体结构。
背景技术
高密度集成电路,例如超大型集成(VLSI)电路,一般是形成具有数个金属互连以作为三维线路结构。数个金属互连的目的是将密集元件适当连接在一起。随着积集程度的增加,金属互连之间导致信号延迟(RC delay)及串音(cross talk)的寄生电容效应随之增加。为了降低金属互连之间的寄生电容并增加导电速度,一般使用低介电系数(low-k)介电材料来形成层间介电层(ILD)及内金属介电层(IMD)。
低介电系数介电材料一般具有低机械强度,因此在后续的化学机械抛光中可能会毁损。尤其是在低介电系数介电材料及上层抗反射涂层之间产生剥离。因此,通常会形成帽盖层。常使用的帽盖层材料之一为四乙基正硅酸盐(TEOS)。TEOS与具有介电系数大于约3.9的低介电系数介电材料一同使用。然而,对于65nm技术或其以下的技术,低介电系数介电材料即使具有更低介电系数,如超低介电系数介电材料(ELK),TEOS和ELK之间的材料不匹配随之增加,TEOS和ELK的界面变得脆弱,剥离因而发生。
另一种一般使用的帽盖材料通过等离子体处理并熟化低介电系数介电材料而形成,因此使得低介电系数介电材料的顶层转变成帽盖层。实施等离子体处理及熟化会有两种可能的结果,但是任何一种都不能提供令人满意的结果。如果低介电系数介电材料先以等离子体处理再进行熟化,则因等离子体处理会使低介电系数介电材料的顶部形成缜密层,将使得驱使成孔剂移出低介电系数介电材料之后续熟化受到妨碍。相反地,若低介电系数介电材料先熟化再进行等离子体处理,则等离子体可能会损害低介电系数介电材料且增加介电系数值。
因此,目前需要一种新颖的帽盖层材料来克服前述的缺点。
发明内容
根据本发明的一方面,提供一种形成以低介电系数介电材料为主的互连结构的方法。本方法包含提供基材;沉积包含第一成孔剂的低介电系数介电层于基材上;沉积包含第二成孔剂的低介电系数帽盖层于第一低介电系数介电层上;以及,同时熟化低介电系数介电层及低介电系数帽盖层,以移除第一及第二成孔剂,使得产生第一孔隙度于低介电系数介电层中,及第二孔隙度于低介电系数帽盖层中。优选地,低介电系数帽盖层及低介电系数介电层是以相同的材料形成,且/或第一成孔剂及第二成孔剂以相同的材料形成。
根据本发明的另一方面,本方法包含提供基材;沉积包含成孔剂的低介电系数介电层于基材上;原位沉积包含成孔剂的低介电系数帽盖层于低介电系数介电层上,其中低介电系数介电层与低介电系数帽盖层是由相同的前趋物所形成;以及,同时熟化低介电系数介电层与低介电系数帽盖层,以移除成孔剂并产生第一孔隙度于低介电系数介电层中及第二孔隙度于低介电系数帽盖层中,其中第二孔隙度小于第一孔隙度。
根据本发明的另一方面,半导体结构包含基材;第一低介电系数介电层于基材上;以低介电系数材料形成的低介电系数帽盖层,其中低介电系数介电层及低介电系数帽盖层包含一组共同的分子,且其中于低介电系数介电层中的第一孔隙度大于低介电系数帽盖层中的第二孔隙度。
本发明的优点特征包含简化工艺及降低成本,是由于低介电系数介电层及低介电系数帽盖层的原位形成,低介电系数帽盖层与下层低介电系数介电层之间的良好粘着性,以及低介电系数帽盖层与上层ARC层之间的良好粘着性,使得剥离问题得以实质上减少且可能消除。再者,其他问题,如移除成孔剂的困难及毁损低介电系数介电层,也可能消除。
附图说明
为了更完整了解本发明及其优点,在以下的说明中参考符号与相关的说明图式,其中:
图1至图5为制造本发明的优选实施例的中间阶段的剖面图。
附图标记说明
10基材                  20介电层
22导线                  24蚀刻停止层
26低介电系数的介电层    28低介电系数的帽盖层
30底部抗反射涂层    32光致抗蚀剂层
34开口              36金属特征
38阻障层
具体实施方式
本发明优选实施例的制造及使用将于下详细描述。然而,可以了解的是本发明提供多种适当的新颖观念,可以特定内容的广泛变化予以具体化。在此所讨论的特定实施例仅为制造及使用本发明的特定方式的说明,但并非用以限定本发明的范围。
本发明提供一种形成互连结构的帽盖层的方法。以下描述本发明的优选实施例的制造的中间阶段。遍及本发明的多种观点及说明实施例,使用类似的元件符号来表明类似的元件。
在半导体集成电路工艺中,半导体元件形成于基材上或基材中。之后,使用金属线来互连元件。金属线可能形成于不同的金属化层且以介电层,如层间介电层(ILD)及内金属介电层(IMD),加以分隔,而各层间则通过介层(via)互连。介电层优选地具有低介电系数值,使得导线之间的寄生电容低。图1至图5说明单一的镶嵌工艺,以作为解释本发明内容的范例。本领域技术人员将可了解形成帽盖层的方法适于用来形成互连结构的其他方法。
图1说明基材10的一部分,于其上形成导线22于介电层20中。为了简化,之后的图式将不显示基材10。导线22优选地为金属,包含铜、钨、铝、银、金及类似物。也可以其他导电材料(如掺杂多晶硅)来形成。导线22典型地连接至其他特征(未显示),如介层或接触栓塞。介电层20可为层间介电层(ILD)或内金属介电层(IMD)。
图2说明形成蚀刻停止层(ESL)24及低介电系数介电层26于导线22及介电层20上。ESL 24优选地为介电材料,包含如氮化物、SiCN、SiCO及类似物。低介电系数介电层26提供导线22残留的金属化层及上层金属化层(未显示)之间的绝缘。低介电系数介电层26优选地为具有小于约3.5的介电常数(k)值,且优选地小于约2.5。较佳的材料包含有机硅酸盐玻璃、含碳材料及其组合。低介电系数介电层26可利用化学气相沉积(CVD)法沉积,虽然也可以使用其他一般使用的沉积法,如低压CVD(LPCVD)及原子层CVD(ALCVD),而优选地为等离子体增强式CVD(PECVD)。如果使用65nm技术来形成,低介电系数介电层26的较佳厚度介于约3000及约5000之间,且更优选地为4500。然而,本领域技术人员可以了解的是当使用较小尺寸的技术时,集成电路的特征尺寸将会减少。
在一例式实施例,低介电系数介电层26是使用PECVD来形成。工艺条件包含介于约300℃及约450℃之间的温度、介于约5Torr及约9Torr之间的反应室压力、介于约300W及约900W之间的RF电源、介于50sccm及约1000sccm之间的氧流量、介于100sccm及约3000sccm之间的氦流量与介于50mgm及约3000mgm之间的有机成孔剂流量。优选地,介于面板(faceplate)和加热器之间的距离约100mil至约600mil。
参考图3,第二低介电系数介电层28(也可称为低介电系数帽盖层28)形成于低介电系数介电层26上。在一优选实施例中,以共同的前趋物来形成低介电系数帽盖层28及低介电系数介电层26,因此,产生的低介电系数帽盖层28及低介电系数介电层26具有实质上类似的材料。低介电系数帽盖层28及低介电系数介电层26的材料优选地约85%以上、更优选地约95%以上相同。再者,低介电系数帽盖层28及低介电系数介电层26较佳包含相同类型的成孔剂,如含CxHy的材料,包含C2H4、C3H6、双三甲基硅胺(HMDS)及类似物。低介电系数帽盖层28优选地为具有小于约500的厚度,更优选地介于约50及450之间。
在最终的结构中,相较于低介电系数介电层26,低介电系数帽盖层28包含较少的成孔剂。优选地,低介电系数帽盖层28包含小于25%的成孔剂,且低介电系数介电层26包含小于35%的成孔剂。更优选地,在低介电系数帽盖层28中所含的成孔剂百分比较低介电系数介电层26小了约5%以上的差异。因此,低介电系数帽盖层28的机械强度较低介电系数介电层26的机械强度好。而低介电系数帽盖层28的介电系数值较低介电系数介电层26的介电系数值大一点,如约0.2或更大的差异。优选地是低介电系数帽盖层28具有小于约3.7的介电系数值,更优选地是小于约2.7。
为了达到前面特定的性质差异,工艺条件需要稍有不同。在优选实施例中,低介电系数帽盖层28与低介电系数介电层26原位形成且使用相同方法形成。因此,在沉积低介电系数介电层26之后,优选地是调整工艺条件至适合产生较少成孔剂含量及较大硬度,且连续形成低介电系数帽盖层28。举例来说,相较于用在低介电系数介电层26,低介电系数帽盖层28使用较低的RF电源沉积。再者,相较于低介电系数介电层26,低介电系数帽盖层28优选地为以较低的成孔剂对前趋物比(porogen-to-precursor ratio)来沉积。在一例示实施例中,形成低介电系数介电层26的成孔剂对前趋物比介于约0.9及约2.5之间,而形成低介电系数帽盖层28的成孔剂对前趋物比介于约0.5及约1.4之间。本领域技术人员可以了解的是其他工艺条件,如反应室的压力或分压,也会影响低介电系数介电层26及28的性质,而透过例行实验可以找出理想的工艺条件。
在一例示实施例,形成低介电系数帽盖层28的工艺条件包含介于约200℃及约400℃之间的温度、介于约3Torr及约9Torr之间的反应室压力、介于约400W及约650W之间的RF电源、介于50sccm及约1000sccm之间的氧流量、介于100sccm及约3000sccm之间的氦流量、介于50mgm及约3000mgm之间的有机成孔剂流量,以及介于面板和加热器之间的距离约100mil至约500mil。
之后执行熟化工艺,可以使用一般使用熟化方法来执行熟化工艺,如电子束熟化、紫外线(UV)熟化、热熟化、SCCO2熟化及其类似者,也可以在用于PECVD、原子层沉积(ALD)、LPCVD等的生产机台中执行。可以结合多于一种的熟化方法来达成更好的效果。
优选地熟化具有两种功能:驱使成孔剂自低介电系数介电层26及28移除,以及改善各低介电系数介电材料的机械性能。在此优选实施例中,移除成孔剂及改善机械性能的熟化工艺是以连续的熟化步骤执行。虽然每一熟化步骤可以提供这两种功能,然而,在其他实施例中,第一熟化工艺主要用于移除成孔剂,而第二熟化工艺主要用于改善机械性能。如果使用两个熟化工艺,第一及第二熟化工艺可能以相同方法或不同方法执行。在执行紫外线熟化的一例示实施例中,可能以大于第二熟化的对应波长的波长执行第一熟化。在一例示实施例中,第一熟化具有介于约250nm及约280nm之间的波长,第二熟化具有介于约200nm及约300nm之间的波长。另一方面,第一及第二熟化工艺也可以相同的波长执行。
优选地,熟化工艺是伴随键修复(bond-repairing)材料(优选地为含碳材料)的存在来执行。较佳的键修复材料包含硅烷群中的材料,如(CH3)3SiH(也就是已知的3MS或三甲基硅烷)且/或Si(OC2H5)2(CH3)H(也就是已知的DEMS)及其类似者。其他包含碳及氢(常常表示为CxHy)的材料也可使用于熟化。键修复材料供应碳及氢,有效复原低介电系数介电材料的键结。在熟化期间,碳及氢与低介电系数介电材料形成键结,获得机械性能的改善。
由于低介电系数介电层26及低介电系数帽盖层28以类似的材料形成且具有类似的结构,低介电系数介电层26及上层低介电系数帽盖层28同时熟化。因此,低介电系数介电层26中的成孔剂可以轻易穿过低介电系数帽盖层28,而若低介电系数帽盖层28使用不同于低介电系数介电层26的材料,则低介电系数介电层26中的成孔剂可能被低介电系数帽盖层28阻挡。在另一实施例中,低介电系数介电层26及低介电系数帽盖层28可能分开熟化,举例来说,通过在低介电系数帽盖层28形成之前熟化低介电系数介电层26。
由于调整工艺条件,低介电系数帽盖层28的成孔剂含量小于低介电系数介电层26的成孔剂含量,因此在成孔剂移除之后,产生的低介电系数帽盖层28具有较小的孔隙度(porosity),因此相较于低介电系数介电层26,具有较佳的机械性质。优选地,低介电系数介电层26的孔隙度小于35%,而低介电系数帽盖层28的孔隙度小于25%。甚至更优选地是,低介电系数帽盖层28的孔隙度较低介电系数介电层26的孔隙度小约1.2%。
使用前面讨论的方法,简单的结构得以形成及测量。优选地通过如前面的讨论谨慎地调整工艺条件,低介电系数帽盖层28的硬度可较低介电系数介电层26的硬度大约10%或甚至更多。举例来说,使用优选实施例制作的样品结构,低介电系数介电层26的硬度小于约1.6Gpa,而低介电系数帽盖层28的硬度大于约1.8Gpa,有时候甚至大于约1.9Gpa或2.0Gpa。由于硬度的显著增加,低介电系数帽盖层28可以执行帽盖功能,以保护下层低介电系数介电层26,免于因CMP造成的损毁。
参考图4,形成且图案化的底部抗反射涂层(BARC)30及光致抗蚀剂层32。BARC 30优选地为不含氮。之后,蚀刻低介电系数帽盖层28及低介电系数介电层26,形成开口34。开口34可以是介层开口或沟槽开口。为本领域技术人员所知的是可以通过蚀刻或灰化低介电系数介电层26来形成开口34。此蚀刻/灰化工艺停在ESL 24。接着,蚀刻ESL 24的暴露部分。因为相对于低介电系数介电层26,ESL 24相当薄,工艺控制及终点侦测更严密控制,因此,限制过度蚀刻穿过下层导线22的可能性。之后,移除BARC 30及光致抗蚀剂层32。在一些实施例中,优选地是可过度蚀刻ESL 24,以形成凹槽于导线22中(未显示)。
参考图5,填充开口34,形成金属特征36。在优选实施例中,填充材料包含如铜、钨、铝、银、金及其组合且/或其他已知的替代材料。优选地是在金属特征36形成之前,形成阻障层38,且阻障层38由包含如钛、氮化钛、钽、氮化钽、碳化硅、氧碳化硅及类似物的材料所形成。阻障层38防止金属材料,尤其是铜,扩散进入低介电系数介电层26及造成电路失效。之后,执行化学机械抛光(CMP),以移除过多的材料。
低介电系数帽盖层28与BARC 30具有好的粘着性。在CMP工艺期间,在BARC 30及下层帽盖层28之间的界面未观察到剥离。
在本发明优选实施例中,低介电系数介电层26及低介电系数帽盖层28包含共同的前趋物及共同的成孔剂,且原位形成。在其他实施例中,低介电系数介电层26及低介电系数帽盖层28是以相同前趋物但不同成孔剂所形成。在其他实施例中,以不同前趋物及不同成孔剂形成低介电系数介电层26及低介电系数帽盖层28。在所有这些实施例中,调整工艺条件使得低介电系数介电层26及低介电系数帽盖层28具有类似前面讨论的介电系数值、孔隙度及硬度。然而,若至少一前趋物或成孔剂不同时成本会较高。
本发明的优选实施例具有多个优点特征,通过以类似材料原位形成低介电系数介电层及上层低介电系数帽盖层,工艺得以简化且成本降低。在本发明的优选实施例中,低介电系数帽盖层与下层低介电系数介电层及上层ARC层具有好的粘着性,因此实质上不会有剥离。其他存在于已知方法的问题,如难以移除成孔剂及损害低介电系数介电层也实质上减少或消除。
虽然本发明及其优点已详细描述,可以了解的是在此不脱离权利要求界定的本发明的精神及范围内,可有各种变化、取代及替代。再者,本申请案的范围非限定于详述于说明书中工艺、机台、制造及物质的组合物、手段、方法及步骤的特定实施例。根据本发明,本领域技术人员可以轻易从本发明的披露、工艺、机台、制造及物质的组合物、手段、方法及步骤、现存或之后将发展的,可能使用披露于此的实施例,执行实质上相同功能或达到实质上相同的结果。因此,权利要求试图包含这些工艺、机台、制造及物质的组合物、手段、方法及步骤于其中。
本申请案主张美国临时专利申请案案号60/899,703的优先权,此临时专利申请案申请于2007年2月6日且标题为“无剥离多孔帽盖材料(peeling-freeporous capping material)”,在此并入参考。

Claims (30)

1.一种形成介电结构的方法,该方法包含:
提供基材;
沉积第一低介电系数介电层于该基材上,该第一低介电系数介电层包含第一成孔剂;
沉积第二低介电系数介电层于该第一低介电系数介电层上,该第二低介电系数介电层包含第二成孔剂;以及
同时熟化该第一及该第二低介电系数介电层,以移除该第一及该第二成孔剂,且产生第一孔隙度于该第一低介电系数介电层中,及第二孔隙度于该第二低介电系数介电层中,其中该第二孔隙度小于该第一孔隙度。
2.如权利要求1所述的方法,其中该第一及该第二成孔剂包含相同材料,且其中该第一低介电系数介电层及该第二低介电系数介电层的沉积包含一组共同的前趋物。
3.如权利要求2所述的方法,其中该第二低介电系数介电层中的成孔剂比例小于该第一低介电系数介电层中的成孔剂比例约5%以上。
4.如权利要求1所述的方法,其中相较于沉积该第二低介电系数介电层的该步骤,沉积该第一低介电系数介电层的该步骤具有较高的成孔剂对前趋物比。
5.如权利要求1所述的方法,其中该第一及该第二低介电系数介电层通过化学气相沉积法所形成。
6.如权利要求1所述的方法,其中熟化该第一及该第二低介电系数介电层的该步骤,是使用实质上选自紫外线熟化、电子束熟化、热熟化、SCCO2熟化及其组合所组成的群组的方法来执行。
7.如权利要求1所述的方法,还包含额外紫外线熟化工艺。
8.如权利要求1所述的方法,其中熟化的该步骤在包含键修复材料的环境中执行。
9.如权利要求8所述的方法,其中该键修复材料包含一含碳气体。
10.如权利要求1所述的方法,其中该第一低介电系数介电层具有小于约2.5的介电系数,以及该第二低介电系数介电层具有小于约2.7的介电系数。
11.如权利要求1所述的方法,其中该第一低介电系数介电层具有小于25%的孔隙度,以及该第二低介电系数介电层具有小于35%的孔隙度。
12.如权利要求1所述的方法,其中该第一低介电系数介电层及该第二低介电系数介电层具有大于85%的材料是共同的。
13.一种形成介电结构的方法,该方法包含:
提供基材;
沉积低介电系数介电层于该基材上,该低介电系数介电层包含成孔剂;
原位沉积低介电系数帽盖层于该低介电系数介电层上,该低介电系数帽盖层包含该成孔剂,其中该低介电系数介电层与该低介电系数帽盖层是以实质上相同的前趋物形成;以及
同时熟化该低介电系数介电层与该低介电系数帽盖层,以移除该成孔剂,且产生第一孔隙度于该低介电系数介电层中,及第二孔隙度于该低介电系数帽盖层中,其中该第二孔隙度小于该第一孔隙度。
14.如权利要求13所述的方法,其中相较于沉积该低介电系数帽盖层的该步骤,沉积该低介电系数介电层的该步骤使用一较高的RF电源。
15.如权利要求13所述的方法,其中相较于沉积该低介电系数帽盖层的该步骤,沉积该低介电系数介电层的该步骤具有较高的成孔剂对前趋物比。
16.如权利要求13所述的方法,其中熟化该低介电系数介电层与该低介电系数帽盖层的该步骤包含第一熟化及第二熟化。
17.如权利要求16所述的方法,其中该第一熟化为紫外线熟化,该紫外线熟化使用具有大于该第二熟化的较长波长的放射线。
18.如权利要求16所述的方法,其中该第一熟化使用介于约250nm及约280nm之间的波长,以及该第二熟化使用介于约200nm及约300nm之间的波长。
19.如权利要求13所述的方法,其中该熟化步骤在包含CxHy的氛围中进行。
20.如权利要求19所述的方法,其中CxHy包含实质上选自C2H4、C3H6、HMDS及其组合所组成的群组的材料。
21.一种半导体结构,包含:
基材;
低介电系数介电层于该基材上;以及
低介电系数帽盖层于该低介电系数介电层上,其中该低介电系数介电层及该低介电系数帽盖层包含实质上类似的材料,以及其中于该低介电系数介电层中的第一孔隙度大于该低介电系数帽盖层中的第二孔隙度。
22.如权利要求21所述的半导体结构,其中该低介电系数介电层具有一介电系数,小于该低介电系数帽盖层的介电系数。
23.如权利要求22所述的半导体结构,其中该低介电系数介电层具有小于约2.5的介电系数,以及该低介电系数帽盖层具有小于约2.7的介电系数。
24.如权利要求21所述的半导体结构,其中该低介电系数介电层具有小于35%的孔隙度,以及该低介电系数帽盖层具有小于25%的孔隙度。
25.如权利要求21所述的半导体结构,其中该低介电系数帽盖层的硬度大于该低介电系数介电层的硬度约10%。
26.如权利要求21所述的半导体结构,其中该低介电系数帽盖层的孔隙度小于该低介电系数介电层的孔隙度约1.2%。
27.如权利要求21所述的半导体结构,其中该低介电系数介电层及该低介电系数帽盖层包含有机硅酸盐玻璃。
28.如权利要求21所述的半导体结构,其中该低介电系数介电层及该低介电系数帽盖层包含一含碳材料。
29.如权利要求21所述的半导体结构,还包含一金属特征,该金属特征从该低介电系数帽盖层的顶表面延伸至该低介电系数介电层的底表面。
30.如权利要求21所述的半导体结构,其中该低介电系数介电层及该低介电系数帽盖层具有大于85%的材料是共同的。
CNA2008100054542A 2007-02-06 2008-02-04 形成介电结构的方法以及半导体结构 Pending CN101241857A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US89970307P 2007-02-06 2007-02-06
US60/899,703 2007-02-06
US11/728,623 2007-03-27

Publications (1)

Publication Number Publication Date
CN101241857A true CN101241857A (zh) 2008-08-13

Family

ID=39676536

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2008100054542A Pending CN101241857A (zh) 2007-02-06 2008-02-04 形成介电结构的方法以及半导体结构

Country Status (2)

Country Link
US (1) US20080188074A1 (zh)
CN (1) CN101241857A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112271254A (zh) * 2020-10-27 2021-01-26 长江先进存储产业创新中心有限责任公司 相变存储器及相变存储器的制作方法

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102009035417B4 (de) * 2009-07-31 2014-12-04 Globalfoundries Dresden Module One Llc & Co. Kg Größere Dichte von dielektrischen Materialien mit kleinem ε in Halbleiterbauelementen durch Anwenden einer UV-Behandlung
US20120156890A1 (en) * 2010-12-20 2012-06-21 Applied Materials, Inc. In-situ low-k capping to improve integration damage resistance
US8889544B2 (en) * 2011-02-16 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric protection layer as a chemical-mechanical polishing stop layer
US20140087559A1 (en) * 2012-09-27 2014-03-27 United Microelectronics Corp. Semiconductor structure and manufacturing method of the same
US9330989B2 (en) 2012-09-28 2016-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for chemical-mechanical planarization of a metal layer
US9768061B1 (en) * 2016-05-31 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric interconnect systems
US9997451B2 (en) 2016-06-30 2018-06-12 International Business Machines Corporation Semiconductor device including a porous dielectric layer, and method of forming the semiconductor device

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6614097B1 (en) * 1998-09-30 2003-09-02 Lsi Logic Corporation Method for composing a dielectric layer within an interconnect structure of a multilayer semiconductor device
US6603204B2 (en) * 2001-02-28 2003-08-05 International Business Machines Corporation Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics
US6713874B1 (en) * 2001-03-27 2004-03-30 Advanced Micro Devices, Inc. Semiconductor devices with dual nature capping/arc layers on organic-doped silica glass inter-layer dielectrics
JP2004274020A (ja) * 2002-09-24 2004-09-30 Rohm & Haas Electronic Materials Llc 電子デバイス製造
US6943121B2 (en) * 2002-11-21 2005-09-13 Intel Corporation Selectively converted inter-layer dielectric
US6924222B2 (en) * 2002-11-21 2005-08-02 Intel Corporation Formation of interconnect structures by removing sacrificial material with supercritical carbon dioxide
US6774053B1 (en) * 2003-03-07 2004-08-10 Freescale Semiconductor, Inc. Method and structure for low-k dielectric constant applications
US7208389B1 (en) * 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US20050140029A1 (en) * 2003-12-31 2005-06-30 Lih-Ping Li Heterogeneous low k dielectric
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7491658B2 (en) * 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7598183B2 (en) * 2006-09-20 2009-10-06 Applied Materials, Inc. Bi-layer capping of low-K dielectric films

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112271254A (zh) * 2020-10-27 2021-01-26 长江先进存储产业创新中心有限责任公司 相变存储器及相变存储器的制作方法
CN112271254B (zh) * 2020-10-27 2021-12-28 长江先进存储产业创新中心有限责任公司 相变存储器及相变存储器的制作方法

Also Published As

Publication number Publication date
US20080188074A1 (en) 2008-08-07

Similar Documents

Publication Publication Date Title
US7235485B2 (en) Method of manufacturing semiconductor device
CN101241857A (zh) 形成介电结构的方法以及半导体结构
CN100468689C (zh) 预防双重金属镶嵌结构的金属漏电的氮化物阻障层
CN101431047B (zh) 用于在多级互连结构中形成空气间隙的方法
US6967405B1 (en) Film for copper diffusion barrier
CN101859727B (zh) 内连线结构
CN101111930B (zh) 制造半导体器件的方法
CN100539075C (zh) 双层多孔性介电层和半导体介电层内连线结构的制造方法
US20030203614A1 (en) Method for forming silicon containing layers on a substrate
EP2251899B1 (en) Dielectric barrier deposition using nitrogen containing precursor
CN1319148C (zh) 具有改进的层间界面强度的半导体器件及其制备方法
WO2006091510A1 (en) Plasma pre-treating surfaces for atomic layer deposition
US20140127902A1 (en) Method of providing stable and adhesive interface between fluorine based low k material and metal barrier layer
US20060281299A1 (en) Method of fabricating silicon carbide-capped copper damascene interconnect
JPH06224141A (ja) 選択cvd法による配線形成方法
KR100519169B1 (ko) 반도체 소자의 금속배선 형성방법
CN102044473B (zh) 半导体器件的形成方法
US9087877B2 (en) Low-k interconnect structures with reduced RC delay
US11069568B2 (en) Ultra-thin diffusion barriers
KR20050022526A (ko) 반도체 소자 및 그 제조 방법
US20020000661A1 (en) Method for fabricating metal wiring and the metal wiring
US20060040490A1 (en) Method of fabricating silicon carbide-capped copper damascene interconnect
US20110081503A1 (en) Method of depositing stable and adhesive interface between fluorine-based low-k material and metal barrier layer
CN102945825A (zh) 一种带金属帽盖的铜互连结构及其制造方法
CN112435958B (zh) 集成电路结构及其形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20080813