CN101179341B - 信道识别系统和方法及电子色散补偿的系统 - Google Patents

信道识别系统和方法及电子色散补偿的系统 Download PDF

Info

Publication number
CN101179341B
CN101179341B CN2007101482285A CN200710148228A CN101179341B CN 101179341 B CN101179341 B CN 101179341B CN 2007101482285 A CN2007101482285 A CN 2007101482285A CN 200710148228 A CN200710148228 A CN 200710148228A CN 101179341 B CN101179341 B CN 101179341B
Authority
CN
China
Prior art keywords
signal
module
impulse response
phase
channel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2007101482285A
Other languages
English (en)
Other versions
CN101179341A (zh
Inventor
苏迪普·布霍贾
瓦苏·帕萨萨拉斯
维韦克·特兰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Broadcom Corp
Zyray Wireless Inc
Original Assignee
Zyray Wireless Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/837,301 external-priority patent/US7830987B2/en
Application filed by Zyray Wireless Inc filed Critical Zyray Wireless Inc
Publication of CN101179341A publication Critical patent/CN101179341A/zh
Application granted granted Critical
Publication of CN101179341B publication Critical patent/CN101179341B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

本发明涉及一种信道识别系统和方法以及一种对通过通信信道发射的信息承载信号执行电子色散补偿的系统。所述系统包括信道识别模块,用于接收信息承载信号的第一数字化形式和信息承载信号的均衡化形式,并用于据此判定通信信道的脉冲响应。所述系统包括时变相位检测器,用于接收信息承载信号的均衡化形式、信息承载信号的第二数字化形式、以及脉冲响应,并根据脉冲响应和信息承载信号的均衡化形式生成参考波形。所述时变相位检测器用于根据参考波形和误差信号生成相位信号,所述误差信号根据参考波形以及信息承载信号的第二数字化形式来确定。

Description

信道识别系统和方法及电子色散补偿的系统
技术领域
本发明涉及数字集成电路和信号处理,更具体地说,涉及执行电子色散补偿(dispersion compensation),采用交错式架构,以及利用信道识别信息来执行定时恢复。
背景技术
无线电通信系统包括有发射机,用于将待发射信息编码为电磁波;传输媒介,为电磁波的传输提供渠道;以及接收机,用于接收和处理承载信息的电磁波。无线电通信系统可利用波导(waveguide)作为传输媒介。波导是一种导引或约束电磁辐射的传播的结构。波导可包括固体电介质形式的材料边界(material boundary)系统。在无线电通信系统中,光纤通常用作波导。
由于多种原因,人们希望增加无线电通信系统的带宽或传输速率。首先,需要更大的带宽来支持现在的无线电通信设备,例如,在数据中心使用的那些设备,或者用于现场视频和音频的设备,以及其它超带宽设备。其次,出于效率和成本的原因,需要增加无线电通信系统的带宽。因此,解决波导的物理条件限制对传输高带宽电磁信号来说是非常重要的。
色散是限制通过通信信道成功发射和恢复承载信息的电磁波的能力的重要物理现象。传输媒介中任何波谱分量的相位速度都会取决于物理媒介的折射系数。通常,传输媒介的折射系数取决于频率。当光纤之类的波导中的波速取决于其频率时,就会发生波导色散。被波导限制的波的横模(transverse mode)通常有取决于频率的不同速度。类似的现象有因在给定频率具有多个模式的波导所引起的模式色散(modal dispersion),该波导的每个模式以不同的速度传播。
波导色散导致无线电通信系统中的信号衰减,因为改变信号的不同分量之间到达时间中的延迟显著降低了通过波导发射的脉冲的脉冲特征。这种现象通常被称为符号间干扰(ISI)。表示为脉冲的相邻符号明显地彼此“渗入”,在一个符号的特定取样时刻可能存在能量,该符号实际包括有与相邻符号相关的能量。
因此,必须校正误差源,例如接收到的通过通信信道发射的信号中引入的色散和相关的ISI。通常,接收机会配备信号处理系统,来校正通信信道引入的色散影响。这些信号处理系统经常分析通信信道的统计特性,以消除ISI。信号处理系统通常利用一个或者多个均衡器来执行这些校正。一种常用的均衡器是前馈均衡器(FFE),用于校正前达(pre-cursor)ISI(其中当前符号受到下一个符号的影响)。FFE通常与判决反馈均衡器(DFE)组合,判决反馈均衡器用于校正后达(post-cursor)ISI(其中当前符号受到前一个符号的影响)。
在构造信号处理系统以校正色散和ISI的过程中可能会出现多种技术挑战,在使用高波特率或符号率的通信系统中,这些挑战变得尤为艰巨。首先,需要在数字域中执行信号处理操作,因为它通常比同等的模拟系统更容易实现更高的SNR。其次,数字系统具有在信号布局和设计方面复杂度更低的优点,并提供了可很容易修改所使用的信号处理程序的机会。
数字信号处理系统必须将所接收的模拟信号转换为数字信号。一般而言,使一系列ADC以超过1.5-2GHz的波特率工作是很困难和昂贵的。这是有问题的,因为经常需要构造在至少10GHz左右工作的通信系统。对于设计和构造在高数据率工作的均衡器来说,也存在类似的问题。
第二个技术问题与通信信道的时变特性有关,这对接收机的定时恢复操作的性能产生影响。发射机通常包括有时钟,用于将数据信号编码为载波信号,以通过信道传输。发射机时钟将会确定通过通信信道传输符号的速率。
接收机通常也需要时钟,它的相位最好是与发射机时钟锁定,以准确地恢复发射机通过通信信道发射的符号。但是,发射机和接收机时钟通常会相对于彼此漂移,这导致两者之间频率偏移。相位是频率的一部分,因此发射机和接收机时钟之间的相位会发生偏移。因此,通信系统中的接收机通常包括有定时恢复电路,用于使发射机时钟与接收机时钟同步。
数字通信系统可使用被称为波特率或符号率采样的方法,其中以波特率对所接收的信号采样。由于不必在通信系统中恢复全部模拟信号,因此不必在尼奎斯特率(Nyquist rate)采样。但是,波特率采样显著约束了在接收机中执行定时恢复操作从而使接收机对有效稳定信号采样的准确性。
如上所述,通信系统需要物理媒介来传输通信信号。通信系统中的物理媒介的特性经常随时间变化。与波特率相比,通常这种时间依赖性位于相对较长的时标(time scale)上。在通信信道被其第一阶活动逼近时,更高阶的作用很小,信道特征不随时间变化且最初状态为已知的情况下,信道对发射的信号的影响可由脉冲响应或格林函数(Green’s function)来表征,它描述了信道对脉冲信号的响应。在利用常规算法的常规定时恢复系统中,信道特征的时变特性未能解决,这降低了信号处理系统执行准确的波特率采样从而有效地消除不期望的ISI影响的能力。
发明内容
根据本发明的一个总的方面,本发明实施例包括一种对通过通信信道发射的信息承载信号执行电子色散补偿的系统。所述系统包括信道识别模块,用于接收信息承载信号的第一数字化形式和信息承载信号的均衡化形式,并用于据此确定所述通信信道的脉冲响应。所述系统包括时变相位检测器,用于接收所述信息承载信号的均衡化形式、所述信息承载信号的第二数字化形式、以及所述脉冲响应,且还用于根据脉冲响应和信息承载信号的均衡化形式生成参考波形。所述时变相位检测器用于根据参考波形和误差信号生成相位信号,所述误差信号是根据参考波形以及信息承载信号的第二数字化形式确定的。
根据另一个总的方面,本发明提供一种信道识别(CID)系统,用于识别发射信息承载信号的通信信道的特征。所述CID系统包括:滤波计算模块,所述滤波计算模块计算通信信道的多个脉冲响应信号,其中多个脉冲响应信号的每一个都与不同的相位相关;最佳相位计算模块,所述最佳相位计算模块确定通信信道的多个脉冲响应信号中的最佳脉冲响应信号;参考波形生成器,用于根据最佳脉冲响应信号生成参考波形;以及时变相位检测器,用于根据参考波形和信息承载信号的数字化形式确定误差信号,并根据误差信号和参考波形生成相位信号以控制信息承载信号的定时恢复。
根据另一个总的方面,本发明提供一种执行通信信道的信道识别的方法,包括计算通信信道的多个脉冲响应,其中多个脉冲响应信号的每一个都与不同的相位相关;确定通信信道的多个脉冲响应信号中的最佳脉冲响应信号;根据最佳脉冲响应信号,确定参考波形与通过通信信道传输的信息承载信号的对应部分之间的误差信号;以及根据参考波形和误差信号确定用于控制定时恢复电路的相位信号。
根据本发明的一个方面,本发明提供了一种信道识别(CID)系统,用于识别发射信息承载信号的通信信道的特征,所述信道识别系统包括:
滤波计算模块,所述滤波计算模块计算所述通信信道的多个脉冲响应信号,其中所述多个脉冲响应信号的每一个都与不同的相位相关;
最佳相位计算模块,所述最佳相位计算模块确定所述通信信道的多个脉冲响应信号中的最佳脉冲响应信号;
参考波形生成器,用于根据所述最佳脉冲响应信号生成参考波形;以及
时变相位检测器,用于根据所述参考波形和所述信息承载信号的数字化形式确定误差信号,并根据所述误差信号和所述参考波形生成相位信号以控制所述信息承载信号的定时恢复。
优选地,所述信道识别系统接收以下信号作为输入:信息承载信号、代表信息承载信号的特征的至少一个判决信号、以及相位信息信号。
优选地,每个脉冲响应信号的特征在于多个系数。
优选地,所述滤波计算模块计算当前脉冲响应信号的各系数,所述系数是所述信息承载信号与所述判决信号之间的误差信号的函数。
优选地,根据以下关系式计算当前脉冲响应系数:
h n + 1 p ( k ) = h n p ( k ) + μe ( n ) a ^ ( n - k ) . 优选地,所述相位信号包括dc偏移参数。
优选地,所述dc偏移参数利用Mueller Muller定时恢复过程来控制定时恢复电路。
优选地,所述相位信息信号用于设置当前相位以用于估计所述多个脉冲响应信号中的一个。
优选地,所述系统还包括模数转换器。
优选地,所述模数转换器低于在信道上传输的数据的临界采样率的采样率工作。
优选地,所述最佳脉冲响应通过计算每个脉冲响应的度量尺并选择将所述度量尺最小化和最大化的脉冲响应来确定。
优选地,所述时变相位检测器根据所述误差信号和所述参考波形的斜率确定所述相位信号。
根据本发明的一个方面,本发明提供了一种执行通信信道的信道识别的方法,包括:
计算所述通信信道的多个脉冲响应,其中所述多个脉冲响应信号的每一个都与不同的相位相关;
确定所述通信信道的多个脉冲响应信号中的最佳脉冲响应信号;
根据所述最佳脉冲响应信号,判定参考波形;
确定所述参考波形与通过所述通信信道传输的信息承载信号的对应部分之间的误差信号;以及
根据所述参考波形和所述误差信号确定用于控制定时恢复电路的相位信号。
优选地,所述方法还包括接收以下信号作为输入:通过所述通信信道发射的信息承载信号、代表所述信息承载信号的特征的至少一个判决信号、以及相位信息信号。
优选地,所述方法还包括计算当前脉冲响应信号的各系数,所述系数是信息承载信号与被前一脉冲响应信号滤波的判决信号之间的误差信号的函数。
优选地,利用以下关系式计算当前脉冲响应信号的系数:
h n + 1 p ( k ) = h n p ( k ) + μe ( n ) a ^ ( n - k ) .
优选地,所述输出信号包括dc偏移参数,其中所述dc偏移参数利用Mueller Muller定时恢复过程来控制定时恢复电路。
根据本发明的一个方面,本发明提供了一种对通过通信信道发射的信息承载信号执行电子色散补偿的系统,所述系统包括:
信道识别模块,用于接收信息承载信号的第一数字化形式和信息承载信号的均衡化形式,并据此确定所述通信信道的脉冲响应;
时变相位检测器,用于接收所述信息承载信号的均衡化形式、所述信息承载信号的第二数字化形式、以及所述脉冲响应,并根据所述脉冲响应和所述信息承载信号的均衡化形式生成参考波形;
其中所述时变相位检测器根据所述参考波形和误差信号生成相位信号,所述误差信号是根据所述参考波形以及所述信息承载信号的第二数字化形式确定的。
优选地,所述第一数字化形式是以低于信息承载信号的波特率的速率进行采样的,而所述第二数字化形式是所述波特率进行采样的。
优选地,所述时变相位检测器根据所速滑误差信号和所述参考波形的斜率来确定所述相位信号。
在附图和具体实施方式部分列出了一个或多个实施例的细节。从具体实施方式、附图以及权利要求中,其它特征是显而易见的。
附图说明
下面将结合附图及实施例对本发明作进一步说明,附图中:
图1是无线电通信系统的框图;
图2a-2c是时序图,示出了通过通信信道传输的电磁信号的色散效应;
图3a是信号处理系统的框图,所述信号处理系统用于校正通信系统的接收机所接收信号中的信号失真;
图3b是信号处理系统的详细示意图;
图4是流过信号处理系统的信号流的示意图,所述信号处理系统用于校正通信信道引入的信号失真;
图5a是根据一个实施例的交错式ADC的工作示意图;
图5b是根据一个实施例的ADC架构的更详细的示意图;
图5c是根据一个实施例的交错式ADC的整体工作示意图;
图6a是交错式FFE的信号路径的示意图;
图6b是根据一个实施例的串行DFE单元的示意图;
图7a是根据一个实施例的信道识别滤波更新模块的工作示意图;
图7b是根据一个实施例的信道识别模块确定信道特征信息以辅助定时恢复操作的工作示意图;
图7c是根据一个实施例的最佳相位计算模块的工作示意图;
图8是波特率相位检测器的工作示意图;
图9是根据一个实施例的信号处理系统的工作流程图;
图10是根据一个实施例的信号处理系统所执行的工作流程图;
图11是一个实施例的启动状态机(start-up state machine)的工作流程图。
具体实施方式
图1是无线电通信系统的框图。通信系统100包括任意数量的站点,可通过任意数量的通信信道182在其中交互信息。图1示出了两个站点102(1)、102(2),其中的一个包括发射机108,并用作发射站点,另一个包括接收机116并用作接收站点。这仅仅是示例性的,可以理解,该通信系统可包括任意数量的站点102,其中的每一个都可以提供单独的发射能力、单独的接收能力或者发射和接收能力的组合。
无线电通信系统100内的站点102(1)、102(2)可以是例如数据中心。或者,每个站点102可以是数据中心内的特殊结构,例如数据档案系统或者大容量存储设备(例如,磁盘存储阵列)、服务器或者其它前端系统。在数据中心应用中,以高数据率存取和传送大量数据是非常重要的。
每个发射站点102(1)包括数据源104,数据源104可以是用于存档或生成即将发送到接收站点102(2)的数据的任何系统。在发射站点102(1)和接收站点102(2)之间传输的信息可包括任何类型的数据,例如包括音频和视频信息的多媒体信息、文本信息,可以以任何合适的格式存储。数据源104可存档数据,所述数据用于从发射站点102(1)发射到接收站点102(2)。或者,数据源104可提供实时或者近实时数据用于传输。例如,数据源104可以是多媒体设备,例如视频摄像机或者麦克风,它们分别生成视频和音频信号。或者,数据源104可以是存档的多媒体文件,例如MPEG文件。数据源104可包括任何组合的模拟和数字信息。数据源104可包括以任何格式存储的数据,包括原始数据或者压缩的数据。
发射站点102(1)可通过使用电磁信号的通信信道182将信息从数据源101发射到接收站点102(2)。通过通信信道182发射的电磁信号可利用光波长或者必要的其他波长来实现期望的符号率。因此,通信信道182可以是例如光导纤维电缆或适于传输光波长电磁信号的其它物理媒介。根据一个实施例,通信信道182可以是多模式光导纤维电缆,通过它可以在发射站点102(1)与接收站点102(2)之间实现10吉比特/秒(gbps)的比特率。在更具体的示范实施例中,比特率是10.3125gbps。
发射站点102也可包括发射机108。发射机108进一步包括光发射次模块(transmitter optical sub assembly,简称TOSA)106,TOSA106提供了至光学物理层(例如,光学通信信道)的接口。TOSA106可包括激光器(laser,未图示)。特别地,TOSA106可调制激光器使用数据源104提供的信息而生成的电磁载波信号,并将该调制信号提供给通信信道182。由于发射站点102(1)和接收站点102(2)可交换数字信息,TOSA106可执行光载波信号的数字调制。因此,TOSA106可提供大量电磁信号,以通过通信信道180传输,所述电磁信号对应数据源104提供的数据。
在发射站点102(1)和接收站点102(2)之间进行数字通信的情况下,数据源107可提供多个数字,这些数字表示即将在发射站点102(1)与接收站点102(2)之间发射的信息。这些数字可以用二进制或者以2为基数表示为比特流(0或1)。对于每个即将被发射的比特,发射机108可生成第一脉冲电磁信号来表示数字1,生成第二脉冲电磁信号来表示数字0。
发射机108也可配备有发射时钟110,发射时钟110控制发射机108通过通信信道182发射信息的符号率。根据一个实施例,发射时钟110可以以10Gbps工作。
接收站点102(2)包括连接到通信信道182的网络设备112,它提供了用于接收和处理由发射站点102(1)通过通信信道182发射的信号的系统。特别地,网络设备112可包括接收机116,接收机116包括各种功能模块,用于接收和处理由发射站点102(1)通过通信信道182发射的信号。
接收机116可包括有光接收次模块(receiver optical sub assembly,简称ROSA)。该ROSA包括光电二极管(未图示),该光电二极管将光信号转换为电信号。特别地,光电二级管可将光信号转换为电流。ROSA中的跨阻抗放大器(transimpedance amplifier)(未图示)可进一步将电流转换为电压,电压可被进一步处理。接收机116可包括有接收机时钟142,接收机时钟142被设计为与发射机时钟110以相同的频率工作。但是,通常接收机时钟142不会与发射机时钟110完全同步(也就是,将会有漂移或相位偏移),这必须由接收机进行校正。为了校正发射机时钟110与接收机时钟142之间的漂移,接收机116设有定时恢复模块134。
接收机116还可包括可变增益放大器(VGA)、模数转换器(ADC)120、均衡器模块132、信道识别模块124、定时恢复模块134、状态机126和微控制器138。接收机116的全部操作由微控制器138控制,微控制器138可协调接收机116上的各种功能模块之间的交互。状态机126控制接收机的启动和汇聚动作。EDC系统410的其它实施例,包括以上提到的各部件的操作,将在后续部分给出详细介绍。
图2a-2c示出了通过物理媒介上的通信信道传输的电磁信号的色散效应,所述物理媒介是例如多模式光导纤维电缆。图2a示出了包括多个脉冲206(1)--206(6)的理想化脉冲串。每个脉冲都排序为特征为频率和相位(Φ(f,
Figure S071E8228520070911D000091
))的发射机时钟信号202。如图2a所示,每个脉冲都对应于a+1或者a-1,这取决于脉冲是正还是负。因此,脉冲206(1)、206(3)和206(5)对应a+1,而脉冲206(2)、206(4)和206(6)对应a-1。+1/-1脉冲可在接收机处分别被转换为0或1。
在图2a所示的理想情形下,在频率和相位上完全追随发射机时钟信号202的接收机时钟(图2a-2c中未示出)可在接收机中实现。此外,在这种理想情形下,可将在发射机处生成的脉冲串210发射给接收机,而没有任何的信号失真或者衰退。接收机可利用接收机时钟信号208对从发射机接收的信号的采样进行计时。特别地,接收机可对所接收信号执行波特率采样,以恢复在发射机处编码的比特。
但是这些理想状况实际是不能达到的。图2b示出了在电磁信号在发射机和接收机之间传输的过程中可能发生的某种不理想情形。特别地,图2b示出了特征为频率和相位
Figure S071E8228520070911D000101
的接收机时钟信号208。接收机时钟信号208可相对于发射机时钟信号210有相位偏移和频率偏移。这种频率和相关相位偏移的发生可归根于这两个时钟之间的漂移。
限制数字通信系统工作的第二种状况与传输媒介本身的不理想相关,包括色散效应和相关的符号间干扰。图2b还示出了接收机通过光导纤维电缆之类的通信信道发射的多个脉冲的脉冲特征。特别地,所接收的脉冲204(1)-204(6)分别对应于所发射的脉冲206(1)-206(6)。由于通信信道的特征,每个所发射的脉冲206(1)-206(6)都遭受色散。特别地,通信信道的折射指数与频率相关,这导致每个脉冲的不同频率分量以不同的速度传播。在通信信道182为多模式光纤的情况下,所发射的脉冲可能发生色散。如图2b所示,所接收的脉冲204(1)-204(6)在时间方向上散开或者有拖尾。
图2c示出了脉冲204(1)-204(6)的线性叠加的复合信号。这种复合信号可表示接收机接收的实际通信信号。所发射的脉冲串210的特征传输信号由接收机接收。由于这种线性叠加,每个单独的脉冲信号(例如,206(1)-206(6))都会失真。这种现象通常被称为符号间干扰(ISI)。为了恢复所发射的信号和/或执行有效的波特率采样,必须显著减小通信信道引入的ISI。
图3a是一个信号处理系统的框图,所述信号处理系统用于校正通信系统的接收机所接收信号中的信号失真,例如波导色散和相关的ISI。在发射机108处生成脉冲信号206,发射机108以波特率将数据编码,该波特率为生成发射机时钟信号202的发射机时钟110的函数。该脉冲信号通过发射机108处的TOSA106提供给通信信道。通信信道可使用多模式光导纤维电缆实施。
发射机108可生成信息承载信号396,信息承载信号396包括与发射机时钟110同步的多个脉冲,发射机时钟110生成发射机时钟信号202。发射机时钟信号202可定义比特率或符号率,比特率或符号率定义了每秒提供给通信信道182的不同信号变化的数量。发射机时钟可以以任何波特率编码数据。例如,根据一个实施例,波特率为10Gbps。
发射机108处的TOSA可引起通过通信信道182传输信息承载信号396,通信信道182可以是多模式光导纤维电缆通信信道。由于通信信道182的信道特征,信息承载信号396可能经历各种变换和/或者失真。这些失真和变换可导致ROSA107处接收的信号304与发射机108处生成的信息承载信号396明显不同。这些失真包括ISI和色散。信道特征在于通信信道182的脉冲响应。这些失真可导致很难恢复信息承载信号396中最初编码的信息。
所接收的信号304可提供给信号处理系统140,以补偿通信信道182引入的信号失真。特别地,信号处理系统140可对所接收的信号执行信号调节,以校正通信信道182引入的信号失真。通常,信号处理系统140可对所接收的信号304进行模拟和数字域的处理。为了执行数字处理,信号处理系统140可对从所接收信号304得到的信号进行模数转换。
由于信息承载信号396可以以高波特率编码数据,信号处理系统140可包括有以低于该波特率的时钟率单独工作的一个或多个交错式结构。这有利于在数字域中执行处理。因此,如图3a所示,信号处理系统140可包括交错式ADC模块118和交错式均衡器模块132。如以下将更详细描述的,交错式ADC模块118可包括多个ADC,每个都以低于该波特率的时钟率工作。类似地,交错式均衡器模块132包括多个均衡器结构,每个都以低于该波特率的时钟率工作。交错式ADC模块118和交错式均衡器模块132可以相对于彼此以相同的时钟率或不同的时钟率运行。
交错式ADC模块118可利用波特率采样,使得包括交错式ADC模块118的多个ADC的组合工作能以该波特率对所接收信号396进行有效采样。接收机可包括生成接收机时钟信号208的接收机时钟142。理想地,接收机时钟142可以与发射机时钟110在频率上精确锁定,以允许在该波特率对所接收信号396精确采样。但是,实际上接收机模块142通常会相对于发射机模块110在频率上漂移,这导致发射机模块110与接收机模块142之间的相位偏移。为了补偿这种频率漂移,信号处理系统140可包括波特率相位检测器198。波特率相位检测器198可工作以恢复与所接收信号396相关的定时信息。该定时信息可用于强制使每个采样时刻(此时交错式ADC对所接收信号396进行采样)的状况对应于在发射机108处进行编码时的有效、稳定的符号状况。波特率相位检测器198执行的定时恢复操作有利于交错式ADC模块118使用波特率采样,并帮助确保在该波特率获得的样本与有效的符号对应。根据一个实施例,波特率相位检测器198可利用从Mueller-Muller算法衍生得到的算法。交错式ADC模块118可利用接收机时钟信号208来触发采样操作。
如上所述,波特率相位检测器198可执行各种Mueller-Muller算法,以执行定时恢复操作。为了执行这种算法,波特率相位检测器可假定通信信道182有特定的信道特征,该信道特征可表示为通信信道182的脉冲响应。但是,由于变动的物理条件,通信信道182的信道特征实际上随时间变化。通常,信道特征的时变速率明显慢于波特率。例如,在多模式光纤中,由于光纤的物理运动或者振动(相对于波特率,很少发生),信道特征可能会发生时变。
为了解决信道特征的时变特性,信号处理系统140可包括时变相位检测器(TVPD)196。TVPD196可周期性地确定时变通信信道182的信道特征。该信道特征可以是通信信道182的估计脉冲响应。如下所述,TVPD196或者CID模块102内的相关电路可以针对多个采样相位中的每一个计算通信信道182的估计脉冲响应。这多个采样相位可被用于提供与波特率相比被过度采样的脉冲响应的估计值。TVPD196或者CID模块102内的相关电路可使用度量尺(metric)周期性地计算多个相位中的最佳相位。然后,TVPD196或者CID模块102内的相关电路可计算定时信息数据372,提供给锁相环(PLL)(图3a中未示出),用于控制交错式ADC模块118的采样操作。
参照图3a,所接收信号304在被接收机116上的ROSA107接收后,被提供给包括有分离器134、模拟处理模块、交错式ADC模块18、交错式均衡器模块132以及多路复用器(MUX)150的数据路径712。分离器134将所接收信号分离为包括多个模拟信号的并行模拟信号348。然后并行模拟信号348被提供给模拟处理模块398。模拟处理模块398对并行模拟信号348执行各种信号调节,生成处理后的模拟信号384。模拟处理模块398执行的信号调节的特性将在下文详细描述。但是,通常信号调节包括增益调节或模拟滤波。然后,模拟处理模块398生成处理后的模拟信号384,该信号可被提供给交错式ADC模块118。交错式ADC模块118可以以该波特率对处理后的模拟信号384有效执行模数转换。如下文将更详细描述的,交错式ADC模块118可包括多个ADC,每个都以低于波特率的时钟率工作,使得多个ADC的组合操作以该波特率对处理后的模拟信号384采样。
交错式ADC模块118可输出数字信号386,该数字信号386接下来被提供给交错式均衡器模块132。如下文将更详细描述的,交错式ADC模块118提供给交错式均衡器模块132的数字信号386可包括多个数字信号,每个对应交错式ADC模块118上单独的ADC。交错式均衡器模块132可对数字信号386执行数字化均衡处理。如下文即将描述的,交错式均衡器模块132执行的均衡可校正通信信道182引入的色散和ISI。交错式均衡器模块132可包括前馈均衡器(FFE)、判决反馈均衡器(DFE)、顺序DFE以及三者的各种组合,将在后续给出介绍。
交错式均衡器模块132可生成判决信号388,提供给多路复用器(MUX)150。MUX150可生成多路转换后的输出,如图所示。
判决信号388也可被提供给TVPD196。处理后的模拟信号384被提供给辅助ADC394,辅助ADC394可对处理后的模拟信号384进行采样,生成数字信号374,供TVPD196对之与判决信号388一起进行处理。辅助ADC394可以以明显低于波特率的采样率工作。根据一个实施例,辅助ADC可以以10MHz工作。
如下文所述,CID模块102可以针对多个采样相位中的每一个计算通信信道182的估计脉冲响应,并使用度量尺周期性地计算多个相位中的最佳相位。因此TVPD196可使用所计算出的最佳相位信息,确定再生或者参考波形,这样可基于误差计算执行(例如,由图7b所示的PLL804)定时恢复,其中所述误差计算在该再生或者参考波形与交错式ADC模块118的实际输出386之间执行的。
图3b是信号处理系统140的详细示意图。如图1所示,信号处理系统140可包括微控制器138,用于配合包括信号处理系统140在内的各组件的操作和内部操作。例如,微控制器138可在不同的时间点触发信号处理系统140上的各功能模块。
为了处理高数据率,信号处理系统140可利用一个或多个交错式组件。交错式架构允许特定的组件以低于符号率的时钟率工作。例如,就信号处理系统在数字域内执行一部分信号处理来说,信号处理系统140可包括交错式模数转换器(ADC)模块118。根据一个实施例,信号处理系统140可利用波特率采样,其中以符号率对所接收信号采样。因此,例如,如果符号率为10Gbps,信号处理系统140可利用交错式ADC模块118来实现10Gbps的期望波特率采样,其中交错式ADC模块118可使用ADC并行阵列(图3中未示出),每个ADC都以1.25Gbps的采样率工作。ADC并行阵列中的每个ADC的带宽可设置为接近5GHz。
此外,信号处理系统140可包括交错式均衡器模块132,以矫正各种信号失真,包括色散和ISI。交错式均衡器模块132和交错式ADC118都利用相同或不同数量的并行子结构。例如,根据一个实施例,交错式ADC118包括八个并行ADC,每个都以约为1.25GHz的采样率工作。交错式均衡器模块132可包括16个均衡器片段(slice)组成的并行阵列,其中每个均衡器片段都以约为625MHz的时钟率工作。总之,交错式ADC模块118和交错式均衡器模块132可分别利用任意数量的并行子结构,并以任意合适的时钟率单独工作。此外,上述值仅仅用作示例性的目的,必要时可进行调整,例如,如果实际数据率偏离10Gbps的情况下(例如,是10.3125Gbps或某些其它的期望值),便可进行调整。
信号处理系统140还可包括定时恢复模块105,以执行符号同步或定时恢复。接收机时钟(图3中未示出)可连续调节其频率和相位,以优化所接收信号304的采样时刻,并补偿发射机时钟和接收机时钟电路(图3中未示出)中使用的振荡器之间的频率漂移。定时恢复模块105可将定时信息提供给交错式ADC模块,以确保交错式ADC模块118精确地执行其采样操作。实际上,例如,如果信号处理系统1410执行波特率采样,定时恢复模块105促使交错式ADC模块118以符号率执行采样。
更具体地,定时恢复模块105可输出定时信息给分离器134,以促使分离器134来自粗调PGA130的入站信号分离成彼此分开合适距离(例如,分开100ps)的多个信号。此外,定时恢复模块105可经由多个插补器输出至交错式ADC模块,这样交错式ADC可以以非常精调的步骤(例如,1.5ps,10ps波特间隔,64个相位插补器)对波特采样。以下参照图5a提供了关于定时恢复模块105的示范性实施例的附加细节。
定时恢复模块105可包括粗调定时恢复模块142和精调定时恢复模块144。这两个结构的目的将会在下文详细描述。但是,通常粗调定时恢复模块142可控制信道的最佳采样率,而精调定时恢复模块144可校正定时错配,所述定时错配由交错式ADC模块118中存在多个ADC而引起,和/或由于在将来自粗调PGA130的所接收放大信号分离成与该多个交错式ADC对应的多个信号的过程中出现和操作分离器134而引起。
信号处理系统140可包括有信道识别(信道ID)模块102,如图所示,信道识别模块102可输出至图3a的TVPD。信道ID模块102的结构和功能将在下文详细描述。但是,通常,信道ID模块102可确定通信信道特征在不同时刻的实时表示。信道特征可包括例如,通信信道的脉冲响应。如图3b所示,信道ID模块102可将信息提供给定时恢复模块105,以实现允许更有效和更准确的定时恢复操作。特别地,如图3b所示,信道ID模块102可将此处称为DC偏移的参数312提供给定时恢复模块105。
可以为信道ID模块102提供信道ID ADC104(与图3a中的ADC394类似或者相关),以对提供给信道ID102的输入信号采样。由于信道ID102的作用是用于确定以明显低于符号率的速率改变的信道特征,,因此信道ID ADC104以与包括交错式ADC11的ADC不同的采样率工作。根据一个实施例,信道ID ADC104可以以10MHz的采样率工作。
如下文将更详细描述的,信道ID102可建立信道特征在任意数量的不同阶段的表示形式。每个阶段的表示可存储在信道ID模块102中,并且可周期性地确定一个最佳相位。根据一个实施例,信道的最佳表示选择为在解决色散和ISI后将信号能量最大化的那个。
现在将描述信号处理系统140的数据路径。所接收的模拟信号304首先被粗调可编程增益放大器(PGA)模块130接收。PGA可以是可变增益放大器。粗调PGA模块130可以对所接收的信号304进行放大,以实现所接收信号304的预期一致幅度级。数字控制电路(图3中未示出)可接收一个或多个数值,用于控制粗略PGA电路130的全部增益。PGA电路130可利用无源和有源电路元件的任意组合来实现增益校正。
接下来,已经经PGA模块130处理的接收信号304可被提供给分离器134,分离器134针对从PGA模块130接收的信号生成合适数量的副本。分离器134可用于为交错式ADC模块118准备必需数量的输入。例如,根据一个实施例,交错式ADC模块118包括八个并行ADC。在这种情况下,分离器根据从PGA模块130接收的信号生成八个副本。由于分离器134电路中的组件失配,分离器134生成的这组信号没有一致的振幅。为了校正这种不一致,分离器134生成的每个信号都被传递给精调PGA模块114。精调PGA模块114可包括多个精调PGA(图3中未图示),每个分别为分离器134生成的每个信号提供单独的振幅放大。
然后,并行信号的集合被传递至交错式ADC模块118。特别地,包括精调PGA模块114的每个精调PGA将各自的信号传递给交错式ADC模块118中各个ADC。交错式ADC模块118可利用来自精调PGA114的该组接收的信号,执行波特率采样。交错式ADC模块118的结构和功能将在下文详细描述。通常,ADC模块118可包括多个ADC,每个ADC以明显低于无线电通信系统的总符号率的采样率工作。例如,根据一个实施例,信道的符号率可以是10Gbps,交错式ADC118包括8个并行的ADC,每个都以1.25Gbps的采样率工作。
如上文提及并将在下文更详细介绍的,CID模块102可使用判决信号310和CID ADC104的输出来确定与通信信道的最优相位信息相关的信息。接下来,例如,粗略定时恢复模块142中的TVPD196根据该最优相位信息提供再生或参考波形,粗调定时恢复模块142将参考波形与交错式ADC模块118的实际输出相比较,以确定它们之间的误差信息,然后通过例如(以传统方式)输出供锁相环使用的相位信号来控制分离器134处和交错式ADC118处的被放大接收信号的采样,从而用于辅助执行定时恢复。
图4是流过信号处理系统的信号流的示意图,所述信号处理系统用于校正通信信道引入的信号失真。所接收信号304被提供给粗调可编程增益放大器(PGA)130。粗调PGA130为所接收的信号304提供整体增益调节。然后,将粗调PGA130的输出提供给分离器电路134。分离器电路134可生成经增益调节的信号的多个副本,每个副本分别提供给精调PGA模块114。特别地,分离器模块134的各输出分别提供给并行精调PGA电路116(1)-116(N)。在数字控制电路例如精调PGA控制器134的控制下,每一个并行精PGA电路116(1)-116(N)都可对所接收信号304执行单独的增益调节。并行精调PGA电路116(1)-116(N)实现增益调节,所述增益调节对校正包括信号处理系统140的交错式结构中的不一致信号电平来说是必须的。
每个并行精调PGA电路116(1)-116(N)可以向包括交错式ADC118在内的各ADC120(1)-120(N)提供输出。每个ADC120(1)-120(N)可以将对应的精调PGA电路116(1)-116(N)提供的模拟信号转换为数字信号。交错式ADC118的结构和功能将在下文详细描述。但是,通常,每个ADC120(1)-120(N)可以以比波特率低的时钟率对来自精调PGA模块14的输入信号进行采样。这样,组合ADC120(1)-120(N)的有效采样率是波特率。如下文所述,这可通过对每个ADC120(1)-120(N)相对彼此引入相位偏移来实现。例如,根据一个实施例,波特率是10Gbps,而交错式ADC模块118包括8个ADC,每个都以1.25Gbps的采样率工作,这获得10Gbps的有效采样率。每个ADC120(1)-120(N)也可以以特定的比特解析度工作。根据一个实施例,每个ADC120(1)-120(N)提供6比特解析度。
交错式ADC模块118的输出可提供给交错式均衡器模块132,交错式均衡器模块132包括交错式FFE424、交错式并行判决反馈均衡器428(1)、428(2)以及顺序DFE模块142。交错式FFE模块424可执行信号处理操作,以校正前达ISI。交错式FFE模块424可包括多个FFE单元124(1)-124(M)。FFE单元(M)的数量对应于并行ADC120(1)-120(N)的数量或者与之不同。因此,每个交错式FFE单元124(1)-124(M)可以以与每个ADC120(1)-120(N)的时钟率不同的时钟率工作。缓冲电路(图4中未图示)可实现交错式ADC模块118(120(1)-120(N))的N个输出流与提供给交错式FFE模块424(124(1)-124(M))的M个输入之间的协调。根据一个实施例,交错式FFE模块424包括16个FFE单元124(1)-124(M),每个都以625MHz的时钟率运行。每个FFE单元124(1)-124(M)的结构和功能将会在以下详细描述。
交错式PDFE模块428(1)和428(2)可工作以校正前达ISI。每个PDFE模块428(1)和428(2)可包括多个求和模块,每个求和模块分别计算各交错式FFE单元124(1)-124(M)的输出信号与PDFE单元128(1)-128(M)以及132(1)-132(M)的输出的总和。
每个求和模块的输出可提供给顺序DFE模块144中的各限幅器(slicer)142(1)-142(M)、144(1)-144(M)。每个限幅器(slicer)142(1)-142(M)、144(1)-144(M)可接收来自各PDFE单元128(1)-128(M)以及132(1)-132(M)的输入信号,将该输入信号与阈值相比较,并输出判决信号
Figure S071E8228520070911D00018140930QIETU
(k),指示信号值是否低于或高于阈值。根据一个实施例,每个判决信号
Figure 2007101482285100002S071E8228520070911D00018140930QIETU
(k)可以是表示+1或-1值的一个比特的信号。每个判决信号
Figure 2007101482285100002S071E8228520070911D00018140930QIETU
(k)可被路由回各PDEF单元128(1)-128(M)、132(1)-132(M)。各PDFE单元128
(1)-128(M)、132(1)-132(M)可接收来自各限幅器142(1)-142(M)、144(1)-144(M)的判决信号
Figure 2007101482285100002S071E8228520070911D00018140930QIETU
(k),并向各求和模块输出值。根据一个实施例,每个PDFE单元128(1)-128(M)、132(1)-132(M)的输出值都可以是16比特值。
顺序DFE模块142中的判决逻辑模块480可以从PDFE428(1)和428(2)中选择一个当前有效的PDFE,作为提供的有效和正确的数据。更具体地,例如,当FFE424的输出落入不确定的范围内时,交错式PDFE428(1)和428(2)被强制赋予不同的值(例如,1和-1),判决逻辑模块可汇集每个PDFE428(1)和428(2)在多个接下来(例如,随后)的比特周期内的误差测量值,然后选择在这多个比特周期内具有较低误差的PDFE。
来自当前有效PDFE(例如,142(1)-142(M)或144(1)-144(M))的各限幅器的任意数量的多个判决信号都可被路由到CID模块102和/或定时恢复模块105。如参照图3b所述,CID模块102可以提供最佳相位信息用于TVPD功能,且定时恢复模块105也可提供波特率相位监测器功能。
CID模块可包括CID ADC104,CID ADC104可对被接收信号304采样(在被粗调PGA130处理之后)。由于信道特征以比波特率低的速率发生改变,CID
ADC104以远低于波特率的时钟率工作。根据一个实施例,例如,CID ADC104可以以10MHz工作。由于CID模块102以显著低于波特率的速率工作,根据一个实施例,只有一个子集的判决信号
Figure 2007101482285100002S071E8228520070911D00018140930QIETU
(k)被路由到CID模块102和定时恢复模块105。这可使用多路复用器或缓冲器497来完成,多路复用器或缓冲器497选择一个或多个判决信号
Figure 2007101482285100002S071E8228520070911D00018140930QIETU
(k)并路由到CID模块102和/或定时恢复模块105。
CID模块102也可包括CID滤波更新模块106、CID滤波器701、更新电路729、高速缓存474以及CID最佳相位计算模块108。CID滤波器更新模块106可从当前有效PDFE接收判决信号
Figure 2007101482285100002S071E8228520070911D00018140930QIETU
(k)的子集,基于该信息以及采样接收信号304,CD滤波器701可更新信道的当前信道特征,该信道特征参数化为相位,以下将参照图7a和7b详细描述。通常,如上所述,CID模块102可计算多个相位的信道特征。根据一个实施例,CID模块计算16个不同相位的信道特征。定时恢复模块105可将CID相位更新信号112发送到CID ADC104,以控制多个信道特征相位计算操作的采样相位。根据一个实施例,CID相位更新信号112可定期更新,以促使CID模块开始生成新相位的信道特征。
可使用高速缓存474将各相位的信道特征高速缓存在CID模块102中。CID最佳相位计算模块108可定期计算已存储在高速缓存中的多个不同信道特征中的最佳相位,并将这个信道特征提供给更新电路729(以下将参照图7c更详细地描述),因此更新电路729将与该信道特征相关的最佳相位信息提供给TVPD模块196。TVPD模块196可利用CID最佳相位计算模块108提供的信道特征来执行TVPD操作。TVPD模块196也可接收多个判决信号310,并据此(以及最佳相位信息/信道特征)生成参考波形(例如,利用图7b的参考波形生成器703),参考波形与交错式ADC118的输出相比较,从而获得它们之间的误差信息,用于确定控制PLL(例如,图7b中的PLL804)的相位信号。
精调定时恢复模块138可接收交错式ADC120(1)-120(N)的输出。由于存在处理偏差,多个ADC120(1)-120(N)以及与驱动ADC和/或分离器134相关的电路可能会遇到时钟差异。基于交错式ADC120(1)-120(N)提供的输入,精调定时恢复可提供多个输出信号,以校正ADC120(1)-120(N)的时变。
最后在图4中,示出了信噪比(SNR)监测器498,它表示用于检测EDC系统140的性能级别或特征的任何合适的技术。例如,可要求EDC系统140维持在某级别的误码率或者其它性能特征,以保持在恒稳态操作模式,如果超出了某个误差阈值,则EDC系统140会返回启动状态,以重新校准EDC系统的各种设置,如在此更详细描述的(例如,参照启动状态机126和参照图11)。
虽然图4示出了与特殊结构相关的特定功能操作,但这仅仅是示例性的,本技术领域的人员将会理解,特殊操作和功能的组织和执行可以由图4中结构的任意组合来实施。例如,虽然图4示出了与定时恢复模块105相关的TVPD,但是TVPD操作或者其中的一部分实际上可以在CID模块102中实施。
图5a是根据一个实施例的交错式ADC的工作示意图。如参照图3a、3b和4所述,可在数据路径172中设置交错式ADC,用于校正波导色散和ISI。该数据路径可包括有粗调PGA130、分离器134、精调PGA114、交错式ADC118以及DEMUX电路512和其它之类的组件中。
交错式ADC118可用于实现与所接收信号304的波特率或符号率相当的采样率。例如,根据一个实施例,所接收信号304的波特率可以是10Gbps。如参照图4所述,交错式ADC118可包括多个ADC120(1)-120(n)。每个ADC120(1)-120(n)都可由共同的采样时钟信号驱动,该采样时钟信号可由定时恢复模块105进行调节,以校正接收机和发射机时钟之间的时钟漂移,使得ADC120(1)-120(n)有自己的采样时钟。
特别地,定时恢复模块105可生成相位信号p(n),如参照图7a-7c所述,相位信号p(n)被提供给PLL804。PLL804可生成输出信号,以控制多个ADC120(1)-120(n)的采样相位。根据一个实施例,PLL804控制单个时钟相位,根据一个实施例,该单个时钟相位可以以2.5GHz工作。通过多个相位插补器514(1)-514(n)可复制该单个时钟。每个相位插补器可生成该单个时钟信号的插补形式,并可分别控制特定的ADC120(1)-120(n)。此外,如图所示,每个相位插补器514(1)-514(n)可控制分离器134中的对应电路(例如,采样操持电路,如图5所示)。在每个相位插补器514(1)-514(n)之间,对应的驱动电路530(1)-530(n)可用于驱动或者操作分离器134。例如,该驱动电路可包括由分离器134和/或ADC118使用的缓冲、放大或定时电路(例如,时钟)。此外,由于分离器134包括有数字电路,该驱动电路将包括有模数转换器。此外,插补器与分离器134/ADC118之间的芯片上,可能有相对较长的信号路径。因此,这些所提及的因素或者其它因素可导致分离器134的操作不理想,因此导致交错式ADC118的操作不理想。定时恢复模块105中的精调定时恢复模块144可用于单独调节每个相位插补器514(1)-514(n),以解决与这些不理想相关的时变问题,这样,由于特殊的目的,交错式ADC118可以作为单个ADC以波特率工作。例如,可选择第一相位插补器/ADC对作为参考,剩余的相位插补器/ADC对都相对于这个参考对进行调节。因此,第一相位插补器/ADC对514(1)/120(1)可基于p(n)工作,除参考对外的其它每个相位插补器/ADC对基于p(n)与用于保持每对的相对定时所需的相位之间的对应差值或德尔塔(也就是,[pΔ(n)](2)-[pΔ(n)](N))工作。
图5b是根据一个实施例的ADC架构的更详细的示意图。在图5b中,分离器134包括多个采样保持电路522(1)-522(N),这些电路单独驱动PGA116(1)-116(N),如图所示。电路532提供了增益电路的例子,其中使用可变阻抗来改变PGA116(N)的整体增益。同时,电路534提供了使用快闪ADC的ADC电路的例子。电路532和534仅仅是示例性的,也可使用其他合适的电路。如图5b所示,采样保持电路522(1)-522(N)被设计用于接收1.25GHz的时钟信号(例如,采样保持电路可接收2个非叠加时钟信号),从而以100ps的间隔对输入信号采样。如上所述,精调定时恢复模块144调节提供给图5a中的相位插补器的定时信息,这样可保持100ps的间隔,不管各驱动电路530(1)-530(N)中是否有相对不理想状况(例如,由于温度、工艺、或者其设计和制造过程中的其他失配)。
图5c是根据一个实施例的交错式ADC的整体工作示意图。如上所述,交错式ADC118可包括多个ADC120(1)-120(n)。每个ADC120(1)-120(n)可被特定周期上的接收机时钟208触发。接收机时钟208的有效率是所发射信号的波特率。但是,特定ADC120(1)-120(n)的时钟率可显著低于波特率。
图6a是交错式FFE的信号路径的示意图。根据一个实施例,交错式FFE利用并行结构来接收16个输入信号X(n)-X(n+15),并生成16个输出信号Y(n)-Y(n+15)。这仅仅是示例性的,交错式ADC可包括任意数量的输入信号和任意数量的输出信号。例如,具有8分接头(tap)的串行FFE可实现为输入信号与FIR的卷积。
y ( n ) = Σ i = 0 7 c ( i ) x ( n - i )
根据一个实施例,交错式FFE118生成16个输出y(n)-y(n+15),该输出是16个输入x(n)-x(n+15)的函数,存在以下关系:
y(n)=c(0)x(n)+c(1)x(n-2)+c(2)x(n-2)+c3x(n-3)+...+c(7)x(n-7)
y(n+1)=c(0)x(n+1)+c(1)x(n)+c2x(n-1)+c3x(n-2)+...+c(6)x(n-6)
y(n+15)=c(0)x(n+15)+c(1)x(n+14)+c2x(n+13)+c3x(n+12)+...+c(7)x(n+8)
参照图6a,交错式FFE424可接收多个单独输入线615(1)-615(16)上的多个输入x(n)-x(n+15)。FFE424可在多个输出线617(1)-617(16)上生成多个输出y(n)-y(n+15)。每个输入线615(1)-615(16)可包括多个乘法和累加(MAC)模块623(1)-623(n)。每个MAC模块623(1)-623(n)可包括各自的乘法模块533和求和模块534。每个MAC模块623(1)-623(n)通过其乘法模块533连接到各自的输入线615(1)-615(16),乘法模块533为MAC模块提供了输入端口。每个MAC模块623都可通过各自的求和模块534连接到不同的输出线617(1)-617(16),求和模块534用作MAC模块623的输出端口。
特定输入线615(1)-615(16)的输入(x(n)-x(n+15))可被提供给通过其乘法模块533连接到输入线的多个MAC模块,其中该输入被分别乘以系数CX,然后被提供给该MAC模块623各自的求和模块534。各求和模块534的输出与连接到不同输入线的其它MAC模块623的输出合并。
图6b是根据一个实施例的串行DFE单元的示意图。图6所示的设置表示交错式PDEF428(1)、428(2)的并行阵列中的一个信道。输入信号x(n)被提供给求和模块542,在此输入信号x(n)与PDFE单元128的输出相加合并。接下来求和模块542的输出被提供给限幅器142。限幅器142根据给它的输入是小于还是大于零,生成二进制信号(例如,+1,-1)。限幅器142的输出被提供给多个延时单元,例如548(1)-548(4),延时单元生成各自的延时信号y(n)-y(n-4)。延时输出信号y(n)-y(n-4)被提供给PDFE单元128,PDFE单元128生成输出信号F(y(n)、y(n-1)、y(n-2)、y(n-3)、y(n-4))。输出信号F(y(n)、y(n-1)、y(n-2)、y(n-3)、y(n-4))可以是延迟信号y(n)-y(n-4)的线性组合。根据一个实施例,每个二进制信号y(n)-y(n-4)都可以乘以16比特的系数,以生成16比特的数值。然后这些16比特的值通过PDFE单元128进行线性合并。
图7a是根据一个实施例的CID滤波更新模块106的部分工作示意图。定时恢复和信道识别操作的更详细的例子在以下参照图7b和7c给出。在图7a中,CID滤波更新模块106可包含在TVPD196或CID102内,可更新通信信道182的估计信道特征。如上所述,信道特征可以是通信信道182的脉冲响应。CID滤波更新模块106可计算通信信道182的多个不同采样相位的多个信道特征。因此,例如,在信道特征是脉冲响应的情况下,CID滤波器更新模块106可计算多个估计信道脉冲响应,该多个估计信道脉冲响应通过相位参数(p)和迭代参数(n)参数化表示为
Figure S071E8228520070911D000231
。如下文所述,CID最佳相位计算模块108可根据多个信道特征计算最佳相位信道特征,该最佳相位信道特征被用于向定时恢复模块105提供定时恢复辅助信号,以辅助定时恢复(图7中未示出)。CID滤波更新模块106可通过计算误差信号e(n)来更新给定相位的估计信道脉冲响应的下一次迭代。通过取被采样接收信号304与被CID滤波器701处理后的判决信号
Figure S071E8228520070911D000242
之间的差值,可计算出误差信号e(n)。例如,CID滤波更新模块106可将每个相位
Figure S071E8228520070911D000243
的系数“h”提供给CID滤波器701,用于由此生成与CID ADC104的延时输出相比较的波形,如图所示,并接下来确定e(n)。
再参照图7a,所接收的信号304被提供给数据路径172(以上参照图3a、3b和4所述)。经数据路径172处理之后,可生成判决信号
Figure S071E8228520070911D000244
。如以上参照图4所述,数据路径172可生成(render)多个判决信号,其中只有判决信号的子集被选择用来通过多路复用器或者路由器路由至CID模块102。这是极有可能的,因为CID模块可以以低于波特率的时钟率工作。然后判决信号310被提供给CID模块102处的CID滤波器更新模块106。
如图7a所示,所接收信号304也被提供给CID ADC104,CID ADC104对所接收信号执行模数转换。CID ADC104可以以足够跟踪信道特征的时变特性的时钟率工作。根据一个实施例,例如,CID ADC104可以在10MHz工作。定时恢复模块105可将CID相位更新信号112提供给CID ADC104,以控制CIDADC104的采样相位。定时恢复模块105可定期更新CID相位更新信号112。根据一个实施例,CID模块102可计算16个不同相位
Figure S071E8228520070911D000245
的估计信道脉冲响应。
在经CID ADC104采样后,所接收信号的采样形式被提供给延时模块502。延时模块对于补偿通过数据路径172所接收的信号304的延时是必需的。然后所接收信号304的采样形式的延时形式被提供给求和模块702,求和模块702计算采样和延时接收信号304与CID滤波器701的输出之间的差值,以生成误差信号e(n)。误差信号e(n)被提供给CID滤波更新模块106,以处理估计信道脉冲响应的下一次迭代。
根据一个实施例,CID滤波更新模块106可利用判决信号
Figure S071E8228520070911D000246
误差信号e(n)、估计信道脉冲响应的前一次迭代和参数μ来计算估计信道脉冲响应的下一次迭代
Figure S071E8228520070911D000251
。根据一个实施例,CID滤波器更新模块106可利用以下关系式来计算估计信道脉冲响应的下一次迭代:
h n + 1 p ( k ) = h n p ( k ) + μe ( n ) a ^ ( n - k )
图7b是根据一个实施例的CID模块确定信道特征信息以辅助定时恢复操作的工作示意图。通常,最佳估计脉冲响应hopt(n)和判决信号可被参考波形生成器703用来再生接收信号y(n)的估计值,用作定时恢复辅助信号
Figure S071E8228520070911D000253
定时恢复辅助信号312可提供用于辅助定时恢复操作。特别地,定时恢复模块105中的粗调定时恢复模块142可接收定时恢复辅助信号
Figure S071E8228520070911D000255
312,并在Mueller-Muller算法中利用定时恢复辅助信号312来执行定时恢复操作,这样可生成相位信号p(n),以驱动PLL804控制交错式ADC118的采样相位。
虽然图7b描述了执行某些功能和/或操作的特定功能模块,但是本技术领域的人员将会理解,这仅仅是示例性的。利用信道特征(例如,通信信道的估计脉冲响应)来辅助和/或执行通信系统的定时恢复操作也可由单个功能单元或多个功能单元来执行。此外,归于TVPD196的操作实际上也可由CID模块102而不是定时恢复模块105来执行。如另一个例子,CID滤波器701可由与参考波形生成器703相同或类似的模块来执行。
根据示例性的实施例,CID模块102可包括CID ADC104、延时模块502、求和模块702、CID滤波器更新模块106、高速缓存474和更新电路729。所接收信号304被提供给数据路径172,数据路径172包括信号处理系统中的模拟前端739、交错式ADC118、FFE424、DFE428和序列DFE142。模拟前端739可对所接收信号304执行模拟处理,包括对所接收信号的振幅调整。模拟前端739的输出可被提供给CID102中的CID ADC104。CID ADC104可对模拟前端739的输出执行模数转换。CID ADC104可以以显著低于波特率的数据率工作。
模拟前端739的输出也可被提供给交错式ADC118,交错式ADC118之后是交错式FFE424、交错式DFE428、以及顺序DFE142。顺序DFE142可输出判决信号310,提供给CID102中的CID滤波更新模块106以及参考波形生成器703。CID滤波更新模块106的操作已经参照图7a进行了描述。也就是,在CID ADC104完成模数转换之后,CID ADC104的输出被提供给延时模块502。延时模块502的输出提供给求和模块702,在此使用CID滤波更新模块106的输出计算差值信号(e(n)),然后将差值信号(e(n))返回给CID滤波更新模块106,从而提供给CID滤波器701。
CID102还可包括有高速缓存474。CID滤波更新模块106计算出的更新的估计信道脉冲响应被提供并存储在高速缓存474中。如以下将更详细描述的,高速缓存的估计信道响应可参数化为相位参数(p),由最佳相位计算模块108对其进行定期分析,最佳相位计算模块108利用预定的度量尺计算出最佳估计信道脉冲响应(也就是,最佳相位)。
最佳估计信道脉冲响应
Figure S071E8228520070911D000261
可提供给更新电路729(以下参照图7c详细描述),并进而提供给TVPD196中的参考波形生成器,如图所示。因此TVPD196可利用最佳估计信道脉冲响应hopt(n)来执行TVPD操作,以生成定时恢复辅助信号
Figure S071E8228520070911D000262
312,定时恢复辅助信号
Figure S071E8228520070911D000263
312可用于辅助定时恢复操作。特别地,TVPD196也可接收判决信号310,并采用判决信号310,利用当前估计的最佳脉冲响应hopt(n)来生成所接收信号y(n)的重新构造或再生形式。所接收信号y(n)的再生形式
Figure S071E8228520070911D00026141418QIETU
)可用作定时恢复辅助信号,提供给定时恢复模块105,用于定时恢复操作。根据一个实施例,根据下式,定时恢复辅助信号
Figure S071E8228520070911D000264
312是当前最佳估计脉冲响应hopt(n)和判决信号310的卷积:(以下等式的赋值是
Figure S071E8228520070911D000265
而不是h(n))
y ^ ( n ) = Σ j h opt ( j ) a ^ ( n - j )
一旦接收到定时恢复辅助信号310,定时恢复模块105可利用Mueller-Muller算法执行定时恢复操作。特别地,定时恢复操作105可计算再生波形
Figure 2007101482285100002S071E8228520070911D00026141418QIETU
)的斜率(slope),并将该斜率乘以数据ADC y(n)所接收的实际数据与再生波形之间的误差。为了执行该操作,定时恢复模块可包括多个延时单元,以排列实际数据信号和再生信号。参照图7b,定时恢复模块105可包括粗调定时恢复模块142。粗调定时恢复模块142生成相位信号p(n),以控制包括交错式ADC118(以下将详细描述)在内的各个ADC的全部采样相位。
粗调定时恢复模块可包括延时模块502、求和模块711、第一延时单元715、第二延时单元717和乘法模块719。包括交错式ADC118的多个ADC的至少一个输出被提供给粗调定时恢复模块140中的延时模块502,使其与重新构建信号结合。延时模块502的输出提供给求和模块711,在此该输出与定时恢复辅助信号
Figure S071E8228520070911D000272
312合并,生成差值信号e’(n)。差值信号e’(n)可用下式计算:
e , ( n ) = y ( n - k ) - y ^ ( n )
差值信号e’(n)被提供给延时单元715,延时单元715生成误差信号的采样延时形式e(n-1),该采样延时形式被提供给乘法模块719。定时恢复辅助信号
Figure S071E8228520070911D000274
312也可提供给第二延时单元717,第二延时单元717生成定时恢复辅助信号
Figure S071E8228520070911D000275
的副本以及被两次采样延时的定时恢复辅助信号
Figure S071E8228520070911D000276
312的延时形式。第一和第二延时单元715和717的输出提供给乘法模块719,乘法模块719将两个信号相乘,生成相位信号p(n)作为输出。因此,相位信号p(n)是误差信号e’(n)与(再生)波形的斜率的乘积:
Figure S071E8228520070911D000277
换句话说,从以上解释可以知道,
Figure S071E8228520070911D000278
表示所计算的DFE(或顺序DFE)判决的脉冲响应的卷积,因此假定脉冲响应的期望值y(n)是有效的。因此误差信号e’(n)乘以再生波形
Figure S071E8228520070911D000279
的斜率(表示为 [ y ^ ( n - 1 ) - y ^ ( n + 1 ) ] ),且根据Mueller-Muller算法,得到相位信号p(n)。相位信号p(n)提供给PLL804,用于控制包括交错式ADC模块118的交错式ADC的采样相位。
图7c是根据一个实施例的最佳相位计算模块的工作示意图。最佳相位计算模块108可被包括在CID模块102中,可确定参数化为相位的多个信道特征的最佳估计脉冲信道特征
Figure S071E8228520070911D0002711
312。如上所述,CID滤波更新模块106可在高速缓存474中存储多个估计脉冲响应h0[0:I]-hi[0:I],其中的每一个都参数化为从0-i的不同相位。根据一个实施例,存储在高速缓存中的每个估计脉冲响应h0[0:I]-hi[0:I]都与多个分接头(tap)相关,例如i可以是6。
CID最佳相位计算模块108可从多个脉冲响应h0[0:I]-hi[0:I]中定期确定出最佳脉冲响应
Figure S071E8228520070911D0002712
,其中每个估计脉冲响应h0[0:I]-hi[0:I]都与各相位相关并存储在高速缓存474中。CID最佳相位计算模块108可试图将特定的度量尺最小化或最大化,以确定
Figure S071E8228520070911D0002713
。也就是,CID最佳相位计算模块108定期将度量尺应用于存储在高速缓存474中的多个脉冲响应信号h0[0:I]-hi[0:I]。例如,CID可包括定时器798。根据定时器798的运行,将信号发送到CID最佳相位计算模块108,以根据h0[0:I]-hi[0:I]确定
Figure S071E8228520070911D000281
。一旦确定了便重置定时器,该过程重新初始化。根据一个实施例,CID最佳相位计算模块108利用度量尺将相对于主分接头(main tap)的估计信道脉冲响应信号的ISI能量最小化。例如,根据一个实施例,被最大化的度量尺是:(其中第一项h(3)是针对主分接头的,其它项是ISI项):
[hp(3)]2-[hp(2)]2-[hp(1)]2-[hp(0)]2-[hp(4)]2-[hp(5)]2
一旦利用上述的最小ISI能量度量尺确定了
Figure S071E8228520070911D000283
312,可提供给TVPD196,用于与定时恢复模块105结合进行定时恢复辅助操作(也就是,生成相位信号p(n))。但是,根据一个实施例,在将提供给TVPD196之前,由更新电路729对hopt(n)进行处理。这是需要的,因为跟踪误差不会太快更新TVPD196的相位。更新电路729促使缓慢更新提供给TVPD196的hopt(n),其中所提供的更新参数在此表示为hopt(n)。
更新电路729可包括高速缓存752、斜坡电路754、多路复用器756以及乘法模块758。
Figure S071E8228520070911D000286
可被提供给高速缓存752,在CID最佳相位计算模块108提供多个
Figure S071E8228520070911D000287
时,高速缓存752存储这多个
Figure S071E8228520070911D000288
。阈值电路756可以在每个时钟时刻判定当前最佳相位
Figure S071E8228520070911D000289
与存储在高速缓存752中的hopt(n)之间的差值。特别地,只有在
Figure S071E8228520070911D0002810
的变化超过某个可编程阈值时,更新电路才会起作用,以更新高速缓存。该误差可以除以一个较大的值,被除后得到的值可缓慢更新提供给TVPD196的
图8描述了波特率相位检测器的操作。在CID102确定估计信道脉冲响应之前(也就是,当信号处理系统140处于启动模式时),图8所示的信号路径可以处于工作中。如图8所示,定时恢复模块105可包括粗调定时恢复模块142和精调定时恢复模块138。粗调定时恢复模块142可包括波特率相位检测器198。波特率相位检测器又包括延时模块802、第一乘法模块804、第二乘法模块806、延时单元810和求和模块808。
所接收信号304可提供给数据路径172,数据路径172包括模拟前端739、交错式ADC118、交错式FFE424、交错式DFE428和顺序DFE142。所接收的信号304可以提供给模拟前端739,模拟前端739可对所接收信号执行模拟信号处理。被模拟前端739处理后的输出提供给交错式ADC118,ADC118可对处理后的模拟信号执行模数转换。交错式ADC118的输出提供给交错式FFE424。交错式FFE424的输出提供给交错式DFE428。交错式DFE428的输出提供给顺序DFE142。顺序DFE142生成判决信号
Figure S071E8228520070911D000291
310。判决信号
Figure S071E8228520070911D000292
310还可提供给信道ID模块102,信道ID模块102可生成定时恢复辅助信号312,此处被称为dc_offset信号(也就是,启动模式下的辅助信号312,至少包括dc_offset值)。
交错式ADC118的至少一个数字输出被提供给波特率相位检测器198中的延时模块802。顺序DFE140生成的判决信号
Figure S071E8228520070911D000293
310被提供给第一乘法模块804和波特率相位检测器198中的延时单元810。延时模块802的输出还被提供给第一乘法单元804,在第一乘法单元804中,该输出乘以判决信号
Figure S071E8228520070911D000294
310。延时单元810可生成判决信号
Figure S071E8228520070911D000295
310的两种采样延时形式,并提供给第二乘法模块806,在第二乘法模块806中延时的判决信号310与延时模块802的输出相乘。接下来第二乘法模块的输出被提供给求和模块806,在求和模块806中该输出与信道ID模块102提供的定时恢复辅助信号312(dc_offset)合并。
求和模块808可利用以下关系式将第一乘法模块804的输出与第二乘法模块806的输出合并,生成相位信号p(n),其中A&B是标量常数,这种关系式在此可被称为dc相位检测器关系:
p ( n ) = [ A a ^ ( n ) - B a ^ ( n - 2 ) ] * delay [ x ( n ) ] + dc _ offset
图9是根据一个实施例的信号处理系统的工作流程图。该过程从步骤902开始。在步骤909接收电磁信号。该电磁信号可由接收器通过通信信道182接收。在步骤904中,更新交错式ADC的采样相位。如在此所述,交错式ADC可以由波特率相位检测器、TVPD或者两者的组合所执行的定时恢复操作来控制。如前所述,相位检测器(TVPD或波特率)可生成相位信号p(n),提供给PLL,以控制交错式ADC的采样时钟。虽然图9表明该步骤是顺序发生的,但 是ADC采样相位904的更新可以与图9中所述的其他步骤并行进行。
在步骤906中,对所接收信号执行模拟信号处理。根据一个实施例,模拟信号处理可包括可变增益放大或其它处理。在步骤907中,对处理后的模拟信号执行模数转换。根据一个实施例,可使用交错式ADC以交错的方式执行模数转换。在步骤908中,对ADC的输出执行数字均衡化。根据一个实施例,可使用交错式均衡器模块以交错的方式执行均衡处理。根据一个实施例,交错式均衡器可包括交错式FFE、交错式DFE以及顺序DFE。在步骤910中,将交错式结构(ADC和均衡器)提供的交错式信号合并,生成复合信号。该过程在步骤912结束。
图10是根据一个实施例的信号处理系统所执行的工作流程图。可在信号处理系统的恒稳态操作中(也就是,在启动操作完成后)执行图10所示的过程。因此,假设h_opt(n)已经确定,系统已经稳定(也就是,滤波程序已汇聚)。在步骤1002中该流程开始。在步骤1007中,初始化计时器。在步骤1004中,进行测试,以判定计时器是否在运行。如果没有(步骤1004的“否”支路),在步骤1010中,执行滤波器更新。滤波器更新可以是估计通信信道的脉冲响应的程序。
在步骤1012中,将所接收信号304提供给信号处理系统140的数据路径和CID模块。在步骤1014中,数据路径可处理EM信号,生成判决信号310。在步骤1016中,使用判决信号310生成再生信号
Figure S071E8228520070911D000301
以确定通信信道的最佳脉冲响应h_opt(n)。根据一个实施例,可通过TVPD生成再生信号。在步骤1018中,可使用再生信号执行定时恢复操作。根据一个实施例,定时恢复操作可使用Mueller-Muller算法的变形算法。然后流程继续至步骤1004。
如果定时器已经运行(步骤1004中的“是”支路),在步骤1006中执行最佳相位计算操作。最佳相位计算操作可使用预定的度量尺确定出通信信道的最佳估计脉冲响应。在步骤1011中,将最佳估计脉冲响应提供给TVPD。接下来流程继续至步骤1012。
图11是一个实施例的启动状态机的工作流程图,例如图1中的启动状态机。总的来说,图11描述了图1中的EDC系统140状态的启动、执行或其它管理。同样,图11用于描述图1中启动状态机126,但是并非穷尽或全面的描述。例如,可以由启动状态机126执行的启动状态机的常规功能或技术没有在此详细描述。例如,可采用多个寄存器或计时器(图11中没有出给明确显示或描述),用于存储和控制EDC系统140的各种状态。此外,启动状态机126可完成参照图1-10描述的某些或全部功能,或相当的功能,虽然图11中并未完全描述所有这些功能。
在图11中,通常启动状态机126寻找各模块的最佳设置,包括ADC120、均衡器132、粗调和细调PGA132/134、CID102以及定时恢复模块105。这样,启动状态机126可实施许多已知的设置,从这些设置中选择最合适的值,以获得EDC系统140的期望性能。一旦达到期望的性能级,接下来启动状态机126负责监视该性能级别,并在必须保持和恢复这种性能级别时,启动状态机126负责重新校准和重新启动。
因此,在图11中,在初始状态,可使用来自波特率相位检测器198(步骤1102)的dc_offset值的默认初始值(例如,1)汇聚PLL804。接下来,粗调PGA130稳定(步骤1104),例如稳定于可得到的增益范围内的预设值。信号丢失(LOS)模块(未图示)可被启动(步骤1106),用于检测信号的丢失或缺少(例如,通过监视ADC信号相对于参考阈值的状况)。
接下来,选择dc_off(步骤1108),并针对三个可用相位检测器执行dc_off(步骤1110)。例如,参照图8,可选择dc_off的某些初始值,波特率相位检测器198可实施为dc相位检测器,例如,作为一个或多个前达相位检测器、后达相位检测器、和/或对称相位检测器。也就是,可对信道特征做出设定,并据此确定信道脉冲响应。接下来选择信道脉冲响应,当定时恢复汇集时,该信道脉冲响应相对接近实际的信道脉冲响应。
在一个例子中,波特率相位检测器198可设定dc_off值位于某些范围内(例如,-5---5),可以以预定的增量经过这些值。对于每个增量值,某些或所有的dc相位检测器可被执行,直至发生定时恢复汇聚和/或到达某些性能阈值,和/或直至所有的值都被用尽(从中选择最佳值的那些值)。例如,在如上所述的相位检测器关系中,某些关于信道特征的设定(例如,有前达、后达或对称ISI)允许一项或多项为已知或已设定,并可据此计算出相位信号。
在图11的例子中,有些类似,可通过从可用数值库中选择tap值,将均衡器132初始化(步骤1112)。适当使用所选择的值,粗调TR142、FFE124(1)-124(n)、以及DFE128(1)-128(M)可被开启,并根据预设的定时器值保持稳态,粗调PGA也可(重新)保持稳态(1114)。这些操作(步骤1112、1114)可以重复直至确定了可接受的tap值,根据该tap值,细调TR和细调PGA环路被开启,并允许保持稳态(步骤1116)。
在图11中,外部环路可继续dc_off的下一个值(步骤1118),或者,如果已经确定了合适的dc_off,接下来信道ID和定时恢复开始(步骤1120),例如如参照图10所述。在该操作中如果SNR监测器498确定当前SNR值是不可接受的(步骤1122),则开启顺序DFE142,用于附加的性能增益。在其它实施例中,顺序DFE142可持续开启。如果性能增益不足以将SNR保持在可接受的水平,则重新初始化dc相位检测器、均衡器、以及其它组件(步骤1108-1118)。当然,也可另外或选择性地监测除SNR之外的其他度量尺,以确定是否重新初始化。只要获得可接受的SNR水平,时钟和数据恢复(CDR)就会锁定,CID和定时恢复可继续(步骤1120)。
在此描述的各种技术的实施例可以用数字电路实施,或者用计算机硬件、固件、软件及其组合来实施。实施例可作为计算机程序产品来实施,也就是,可嵌入在信息载体中(例如,机器可读存储设备或者传播的信号内),用于由数据处理设备执行或者控制数据处理设备的操作,所述数据处理设备是例如可编程处理器、计算机、或者多个计算机。计算机程序,如上所述的计算机程序,可以以任何形式的编程语言编写,包括编译或解释语言,且可以以任何形式使用,包括例如独立程序,或者模块、组件、子程序或适于在计算环境中使用的其它单元。计算机程序可用于在一个计算机或者多个计算机上运行,所述多个计算机可以位于同一地点或者分布在多个地点并通过通信网络交互。
方法步骤可以由一个或多个可编程处理器执行,该可编程处理器运行计算机程序,以通过对输入数据进行操作并生成输出数据来执行功能.方法步骤也可以由专用逻辑电路来执行,设备也可实施为专用逻辑电路,例如FPGA(现场可编程门阵列)或者ASIC(专用集成电路)。
适于执行计算机程序的处理器包括,例如通用和专用微处理器,以及任何种类的数字计算机的任何一个或多个处理器。通常,处理器会从只读存储器或随机存取存储器或两者中接收指令和数据。计算机的元件包括用于执行指令的至少一个处理器以及用于存储指令和数据的一个或多个存储设备。通常,计算机也可包括一个或多个用于存储数据的大容量存储设备,或者可操作地连接到一个或多个用于存储数据的大容量存储设备,以从中接收数据或向其发射数据,所述大容量存储设备是例如,磁盘、磁光盘、或者光盘。适于包含计算机程序指令和数据的信息载体包括所有形式的非易失存储器,包括例如EPROM、EEPROM和闪存之类的半导体存储设备,内部硬盘或可移动磁盘之类的磁盘,磁光盘,以及CD-ROM和DVD-ROM光盘。处理器和存储器可以由专用逻辑电路来实施,或者结合到专用逻辑电路中。
虽然在此已经描述了所述实施例的某些特征,本技术领域的人员可以做出很多修改、替换、更改和等同。因此,可以理解,权利要求用于覆盖落入本发明的实施例的实质范围内的所有这些修改和更改。

Claims (10)

1.一种信道识别系统,用于识别发射信息承载信号的通信信道特征,其特征在于,所述信道识别系统包括:
滤波计算模块,所述滤波计算模块计算所述通信信道的多个脉冲响应信号,其中所述多个脉冲响应信号的每一个都与不同的相位相关;
最佳相位计算模块,所述最佳相位计算模块确定所述通信信道的多个脉冲响应信号中的最佳脉冲响应信号;
参考波形生成器,用于根据所述最佳脉冲响应信号生成参考波形;以及
时变相位检测器,用于根据所述参考波形和所述信息承载信号的数字化形式确定误差信号,并根据所述误差信号和所述参考波形生成相位信号以控制所述信息承载信号的定时恢复。
2.根据权利要求1所述的系统,其特征在于,所述信道识别系统接收以下信号作为输入:信息承载信号、代表信息承载信号的特征的至少一个判决信号、以及相位信息信号。
3.根据权利要求2所述的系统,其特征在于,每个脉冲响应信号的特征在于多个系数。
4.根据权利要求3所述的系统,其特征在于,所述滤波计算模块计算当前脉冲响应信号的各系数,所述系数是所述信息承载信号与所述判决信号之间的误差信号的函数。
5.根据权利要求4所述的系统,其特征在于,根据以下关系式计算当前脉冲响应系数:
Figure FSB00000445190200011
其中,
Figure FSB00000445190200012
是估计信道脉冲响应的下一次迭代,
Figure FSB00000445190200013
是估计信道脉冲响应的前一次迭代,μ是参数,e(n)是误差信号,
Figure FSB00000445190200014
是判决信号;其中,p是相位参数,n是迭代参数。
6.根据权利要求2所述的系统,其特征在于,所述相位信号包括dc偏移参数。
7.一种执行通信信道的信道识别的方法,其特征在于,包括:
计算所述通信信道的多个脉冲响应信号,其中所述多个脉冲响应信号的每一个都与不同的相位相关;
确定所述通信信道的多个脉冲响应信号中的最佳脉冲响应信号;
根据所述最佳脉冲响应信号,判定参考波形;
确定所述参考波形与通过所述通信信道传输的信息承载信号的对应部分之间的误差信号;以及
根据所述参考波形和所述误差信号确定用于控制定时恢复电路的相位信号。
8.根据权利要求7所述的方法,其特征在于,所述方法还包括接收以下信号作为输入:通过所述通信信道发射的信息承载信号、代表所述信息承载信号的特征的至少一个判决信号、以及相位信息信号。
9.一种对通过通信信道发射的信息承载信号执行电子色散补偿的系统,其特征在于,所述系统包括:
信道识别模块,用于接收信息承载信号的第一数字化形式和信息承载信号的均衡化形式,并据此确定所述通信信道的脉冲响应;
时变相位检测器,用于接收所述信息承载信号的均衡化形式、所述信息承载信号的第二数字化形式、以及所述脉冲响应,并根据所述脉冲响应和所述信息承载信号的均衡化形式生成参考波形;
其中所述时变相位检测器根据所述参考波形和误差信号生成相位信号,所述误差信号是根据所述参考波形以及所述信息承载信号的第二数字化形式确定的。
10.根据权利要求9所述的系统,其特征在于,所述第一数字化形式是以低于信息承载信号的波特率的速率进行采样的,而所述第二数字化形式是所述波特率进行采样的。
CN2007101482285A 2006-08-25 2007-08-24 信道识别系统和方法及电子色散补偿的系统 Expired - Fee Related CN101179341B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US84012306P 2006-08-25 2006-08-25
US60/840,123 2006-08-25
US11/837,301 US7830987B2 (en) 2006-08-25 2007-08-10 Electronic dispersion compensation utilizing interleaved architecture and channel identification for assisting timing recovery
US11/837,301 2007-08-10

Publications (2)

Publication Number Publication Date
CN101179341A CN101179341A (zh) 2008-05-14
CN101179341B true CN101179341B (zh) 2011-05-18

Family

ID=39405417

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2007101482317A Expired - Fee Related CN101179305B (zh) 2006-08-25 2007-08-24 对电磁信号执行色散补偿的系统及方法
CN2007101482285A Expired - Fee Related CN101179341B (zh) 2006-08-25 2007-08-24 信道识别系统和方法及电子色散补偿的系统

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN2007101482317A Expired - Fee Related CN101179305B (zh) 2006-08-25 2007-08-24 对电磁信号执行色散补偿的系统及方法

Country Status (1)

Country Link
CN (2) CN101179305B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8693897B2 (en) * 2011-01-22 2014-04-08 Viasat, Inc. Digital demodulator architecture
US20160365868A1 (en) * 2015-06-12 2016-12-15 Tektronix, Inc. Circulating Resampling Digitizer
CN111786669B (zh) * 2019-04-04 2023-09-12 智原微电子(苏州)有限公司 用来进行决策反馈均衡器自适应控制的装置
CN110955179B (zh) * 2019-11-28 2022-09-06 电子科技大学 一种基于pci总线的双通道共享时钟触发调延装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6707868B1 (en) * 1999-04-12 2004-03-16 Intel Corporation Apparatus for recovering timing of a digital signal for a transceiver
CN1555608A (zh) * 2001-08-10 2004-12-15 自由度半导体公司 用于甚宽带通信系统中信号获取和跟踪的模式控制器

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1006697A3 (en) * 1998-12-02 2004-01-02 Lucent Technologies Inc. Parellel signal processing for equalisation on fibre channels

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6707868B1 (en) * 1999-04-12 2004-03-16 Intel Corporation Apparatus for recovering timing of a digital signal for a transceiver
CN1555608A (zh) * 2001-08-10 2004-12-15 自由度半导体公司 用于甚宽带通信系统中信号获取和跟踪的模式控制器

Also Published As

Publication number Publication date
CN101179305A (zh) 2008-05-14
CN101179341A (zh) 2008-05-14
CN101179305B (zh) 2011-09-07

Similar Documents

Publication Publication Date Title
KR100912046B1 (ko) 인터리브 아키텍쳐 및 채널 식별을 이용하여 타이밍 복원을보조할 수 있는 전자적 분산 보상 방법
KR100964940B1 (ko) 인터리브 아키텍쳐 및 채널 식별을 이용하여 타이밍 복원을보조할 수 있는 전자적 분산 보상 방법
US7525462B2 (en) Gain control for interleaved analog-to-digital conversion for electronic dispersion compensation
US8503519B2 (en) Detecting residual ISI components using two data patterns
US9143367B2 (en) Clock and data recovery architecture with adaptive digital phase skew
KR101500024B1 (ko) 기록 채널들에서의 적응 이퀄라이징을 위한 시스템 및 방법들
US9166774B2 (en) Decoupling bang-bang CDR and DFE
US20140064351A1 (en) Adaptive Control of Low-Frequency Equalizers
US8767811B2 (en) Back channel adaptation using channel pulse response
US9344272B1 (en) Parallel replica CDR to correct offset and gain in a baud rate sampling phase detector
US8218612B2 (en) Timing error detector and method thereof
KR101418046B1 (ko) 듀티 사이클 보정 장치 및 방법, 그리고 그를 이용하는 수신기
CN1579078A (zh) 基于zf的自适应异步接收机
CN101179341B (zh) 信道识别系统和方法及电子色散补偿的系统
US20170118046A1 (en) System and method for adjusting clock phases in a time-interleaved receiver
US20040086275A1 (en) System and method for reducing interference in an optical data stream
WO2017118987A1 (en) A low resolution adc-dsp optimization based on non-uniform quantization and mlse for data centers interconnects
US8885699B2 (en) Compensation factor reduction in an unrolled decision feedback equalizer
US8588290B2 (en) Adaptation of crossing latch threshold
CN109510668B (zh) 相干光通信中基于准前馈控制的自适应均衡器及方法
US8149977B2 (en) Recovering data samples
US7178093B2 (en) PRML system with a branch estimator
KR102132437B1 (ko) 수신장치 및 그 동작 방법
US7068736B2 (en) Methods and devices for shortening the convergence time of blind, adaptive equalizers
CN100382190C (zh) 具有分支估测器的部分响应最大可能性系统

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
REG Reference to a national code

Ref country code: HK

Ref legal event code: DE

Ref document number: 1118975

Country of ref document: HK

C14 Grant of patent or utility model
GR01 Patent grant
REG Reference to a national code

Ref country code: HK

Ref legal event code: GR

Ref document number: 1118975

Country of ref document: HK

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110518

Termination date: 20140824

EXPY Termination of patent right or utility model