AU2012227272B2 - Power switch acceleration scheme for fast wakeup - Google Patents

Power switch acceleration scheme for fast wakeup Download PDF

Info

Publication number
AU2012227272B2
AU2012227272B2 AU2012227272A AU2012227272A AU2012227272B2 AU 2012227272 B2 AU2012227272 B2 AU 2012227272B2 AU 2012227272 A AU2012227272 A AU 2012227272A AU 2012227272 A AU2012227272 A AU 2012227272A AU 2012227272 B2 AU2012227272 B2 AU 2012227272B2
Authority
AU
Australia
Prior art keywords
voltage
power
delay
coupled
node
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
AU2012227272A
Other versions
AU2012227272A1 (en
Inventor
Shingo Suzuki
Toshinari Takayanagi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Apple Inc
Original Assignee
Apple Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Apple Inc filed Critical Apple Inc
Publication of AU2012227272A1 publication Critical patent/AU2012227272A1/en
Application granted granted Critical
Publication of AU2012227272B2 publication Critical patent/AU2012227272B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/0175Coupling arrangements; Interface arrangements
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K17/00Electronic switching or gating, i.e. not by contact-making and –breaking
    • H03K17/28Modifications for introducing a time delay before switching
    • H03K17/284Modifications for introducing a time delay before switching in field effect transistor switches
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K17/00Electronic switching or gating, i.e. not by contact-making and –breaking
    • H03K17/22Modifications for ensuring a predetermined initial state when the supply voltage has been applied
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/0008Arrangements for reducing power consumption
    • H03K19/0016Arrangements for reducing power consumption by using a control or a clock signal, e.g. in order to apply power supply
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/003Modifications for increasing the reliability for protection
    • H03K19/00346Modifications for eliminating interference or parasitic voltages or currents
    • H03K19/00361Modifications for eliminating interference or parasitic voltages or currents in field effect transistor circuits
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K17/00Electronic switching or gating, i.e. not by contact-making and –breaking
    • H03K17/04Modifications for accelerating switching
    • H03K17/041Modifications for accelerating switching without feedback from the output circuit to the control circuit
    • H03K17/0412Modifications for accelerating switching without feedback from the output circuit to the control circuit by measures taken in the control circuit
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K17/00Electronic switching or gating, i.e. not by contact-making and –breaking
    • H03K17/04Modifications for accelerating switching
    • H03K17/042Modifications for accelerating switching by feedback from the output circuit to the control circuit
    • H03K17/04206Modifications for accelerating switching by feedback from the output circuit to the control circuit in field-effect transistor switches
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K17/00Electronic switching or gating, i.e. not by contact-making and –breaking
    • H03K17/16Modifications for eliminating interference voltages or currents
    • H03K17/161Modifications for eliminating interference voltages or currents in field-effect transistor switches
    • H03K17/162Modifications for eliminating interference voltages or currents in field-effect transistor switches without feedback from the output circuit to the control circuit
    • H03K17/163Soft switching
    • H03K17/164Soft switching using parallel switching arrangements

Abstract

A method an apparatus for a power switch acceleration scheme during wakeup is disclosed. In one embodiment, an integrated circuit includes at least one power gated 5 circuit block. The power gated circuit block includes a virtual voltage node from which a voltage is provided to the circuitry of the block when active. Power switches are coupled between the virtual voltage node and a corresponding global voltage node. When the power gated circuit block is powered on, power switches are activated sequentially. The rate at which power switches are activated is increased as the voltage on the virtual 10 voltage node increases,. Sequentially activating the power switches may prevent an excess of current inrush into the power gated circuit block. The increase in the rate at which power switches are activated when the voltage on the virtual voltage node is at least at a certain level may allow for a faster wakeup. 20A 20B 20C Power Control 24 Power Gated Block 14 Fig. 4A

Description

P100/01i1 Regulation 3.2 AUSTRALIA Patents Act 1990 COMPLETE SPECIFICATION STANDARD PATENT Invention Title: Power switch acceleration scheme for fast wakeup The following statement is a full description of this invention, including the best method of performing it known to us: BACKGROUND Field of the Invention [00011 This invention relates to integrated circuits, and more particularly, to integrated 5 circuits having power gated functional blocks. Description of the Related Art [0002] As the number of transistors included on an integrated circuit "chip" continues to increase, power management in the integrated circuits continues to increase in 10 importance. Power management can be critical to integrated circuits that are included in mobile devices such as personal digital assistants (PDAs), cell phones, smart phones, laptop computers, net top computers, etc. These mobile devices often rely on battery power, and reducing power consumption in the integrated circuits can increase the life of the battery. Additionally, reducing power consumption can reduce the heat generated by 15 the integrated circuit, which can reduce cooling requirements in the device that includes the integrated circuit (regardless of whether it is relying on battery power). [0003] Clock gating is often used to reduce dynamic power consumption in an integrated circuit, inhibiting a clock signal from being provided to idle circuitry. While clock gating 20 is effective at reducing the dynamic power consumption, the idle circuitry may nevertheless remain powered on. Leakage currents in the idle transistors lead to static power consumption. The faster transistors (those that react to input signal changes, e.g. on the gate terminals) also tend to have the higher leakage currents which often results in high total leakage currents in the integrated circuit, especially in high performance 25 devices. [0004] To counteract the effects of leakage current, some integrated circuits have implemented power gating. With power gating, the power to ground path of the idle circuitry is interrupted, reducing the leakage current to near zero. There can still be a small amount of leakage current through the switches used to interrupt the power, but it is substantially less than the leakage of the idle circuitry as a whole. [0005] Power gating presents challenges to the integrated circuit design. As blocks are 5 powered up and powered down, the change in current flow to the blocks can create noise on the power supply connections. The noise can affect the operation of the integrated circuit, including causing erroneous operation. Additionally, the rate of change in the current flow varies with variations in the semiconductor fabrication process, the magnitude of the supply voltage provided to the integrated circuit, and the operating 10 temperature of the integrated circuit. When these factors slow the rate of change of the current, the delay incurred in enabling a power gated block may increase correspondingly. 2 [005A] Reference to any prior art in the specification is not, and should not be taken as, an acknowledgement or any form of suggestion that this prior art forms part of the common general knowledge in Australia or any other jurisdiction or that this prior art could reasonably be expected to be ascertained, 5 understood and regarded as relevant by a person skilled in the art. SUMMARY [005B] According to a first aspect of the invention there is provided an integrated circuit comprising: a power gated circuit block; a plurality of power 10 switches coupled between a global voltage node and a virtual voltage node of the power-gated circuit block, wherein the plurality of power switches are configured to be activated sequentially responsive to receiving an enable signal, and wherein the plurality of power switches are configured to be activated initially at a first rate and further configured to be activated at a minimum of a second rate greater 15 than the first rate responsive to detecting-an increase of the voltage on the virtual voltage node. 1005C] According to a second aspect of the invention there is provided a method comprising: sequentially activating, at a first rate, a first subset of a 20 plurality of power switches coupled between a global voltage node and a virtual voltage node of a power gated circuit block in an integrated circuit; sequentially activating, at a second rate greater than the first rate, a second subset of the plurality of power switches responsive to detecting an increase of a magnitude of a voltage on the virtual voltage node. 25 1005D] According to a third aspect of the invention there is provided an integrated circuit comprising: a first delay chain having a first plurality of delay elements; a plurality of power switches coupled between a supply voltage node and a virtual voltage node, wherein the plurality of power switches are configured 30 to provide a supply voltage to the virtual voltage node responsive to receiving an enable signal, wherein each of the plurality of power switches is coupled to an output of a corresponding one of the first plurality of delay elements, wherein the plurality of power switches are arranged to activate sequentially responsive to the 3 enable signal propagating through the first delay chain; and a power control unit configured to assert the enable signal, wherein the first delay chain is coupled to receive the enable signal from the power control unit; wherein, subsequent to the power control unit asserting the enable signal, the first plurality of delay elements 5 is configured to reduce a respective amount of delay provided by the first delay chain responsive to detecting an increase of a voltage on the virtual voltage node. [005E] According to a fourth aspect of the invention there is provided a method comprising: providing an enable signal to a first delay chain comprising a 10 first plurality of serially-coupled delay elements; propagating the enable signal through the first delay chain at a first rate; sequentially activating each of a first plurality of power transistors responsive to propagating the enable signal through the delay chain at the first rate, wherein each of the first plurality of power transistors and of a second plurality of power transistors is coupled between a 15 global voltage node and a local voltage node of a power gated functional unit of an integrated circuit; propagating the enable signal through the first delay chain at a second rate greater than the first rate responsive to determining that a voltage on the local voltage node is greater than a threshold voltage; and sequentially activating each of the second plurality of transistors responsive to propagating the 20 enable signal at the second rate. 1005F] According to a fifth aspect of the invention there is provided an integrated circuit comprising: a power gated functional unit having a local voltage supply node and logic circuitry coupled to the local voltage supply node; a 25 plurality of transistors coupled between the local voltage supply node and a global voltage supply node, wherein the plurality of transistors are configured to, when active, electrically couple the local voltage supply node to the global voltage supply node; a first plurality of serially-coupled delay elements each having a respective output coupled to a gate terminal of a corresponding one of the 30 plurality of transistors, wherein the first plurality of serially-coupled delay elements is configured to receive and propagate an enable signal, and wherein each of the plurality of transistors is configured to activate responsive to receiving 3a the enable signal on its respective gate terminal; wherein the first plurality of serially coupled delay elements is configured propagate the enable signal at a first rate responsive to a voltage on the local voltage supply node being less than a threshold value, and further configured to propagate the enable signal at a second 5 rate greater than the first rate responsive to detecting the voltage on the local voltage supply node being is greater than or equal to the threshold value. [00061 A method an apparatus for a power switch acceleration scheme during wakeup is disclosed. In one arrangement, an integrated circuit includes 10 at least one power gated circuit block. The power gated circuit block includes a virtual voltage node from which a voltage is provided to the circuitry therein when the block is active. Power switches (e.g., transistors) are coupled between the virtual voltage node and a corresponding global voltage node. When the switches are open, the power is not provided to the power gated circuit block. 15 When the power gated circuit block is woken up (i.e. powered on), the power switches may be activated in a sequential manner. As the voltage on the virtual voltage node increases, the rate at which power switches are activated may also be increased. Sequentially activating the power switches may prevent an excess of current inrush into the power gated circuit block, as well as reducing power 20 supply noise. The increase in the rate at which power switches are activated when the voltage on the virtual voltage node is at least at a certain level may allow for a faster wakeup while still enabling the wakeup sequence to remain within current and noise specifications. [00071 In various arrangements, each of the of power switches may be 25 coupled to one of one or more delay chains. Each delay chain may include a number of serially coupled delay elements. And output of each of the delay elements is coupled to a corresponding one of the power switches (e.g., to the gate terminal of a transistor). An enable signal may be applied to a first one of the delay elements and may propagate through the delay chain, with each of the 30 delay elements therein providing a certain amount of delay. As each delay element outputs the asserted enable signal, its corresponding power switch is activated. Thus, as the enable signal propagates through the delay chain, the power switches are sequentially activated. As the voltage present on the virtual 3b voltage node increases, the amount of delay in the delay elements may be reduced, allowing faster propagation of the enable signal. This in turn may accelerate the rate at which the power switches are activated. [0008] Accelerating the rate of power switch activation may be 5 accomplished in various ways. In one arrangement, reducing the delay of the delay elements (thereby facilitating faster propagation of the enable signal) may be accomplished by the assertion of an indication responsive to circuitry detecting that the voltage magnitude on the virtual voltage node is at or above a certain threshold. Responsive to receiving the indication, the delay elements 10 may reduce the amount of delay provided therein accordingly, thereby accelerating the propagation of the enable signal. [0009] In another arrangement, each of the delay elements may be coupled to directly receive the voltage from the virtual voltage node. The delay elements may be configured such that the delay provided by each is reduced 15 correspondingly with the increasing voltage on the virtual voltage node. The decrease in the delay may be continuous as the voltage present on the virtual voltage node increases. In another arrangement, each of the delay elements may decrease their respective delay responsive to the voltage on the virtual voltage node meeting or exceeding a threshold. The interval between the first power 20 switch enable and the second power switch enable may be predetermined such that the additional current from the second group of power switches does not cause the total current to exceed the max current and max di/dt during only the first group of power switches are enabled at the fast PVT condition. [00010] In still another arrangement, a control unit may activate additional 25 delay chains (and thus additional delay elements) in parallel with other, previously activated delay elements. As more delay elements are activated in parallel, more power switches are activated at a given time, thereby increasing the overall rate at which power switches are activated. 4 BRIEF DESCRIPTION OF THE DRAWINGS [00111 The following detailed description makes reference to the accompanying drawings, which are now briefly described. 5 [00121 Fig. 1 is a block diagram of one embodiment of an integrated circuit. [00131 Fig. 2 is a block diagram of one embodiment of a power gated block shown in Fig. 1. 10 [0014] Fig. 3 is a diagram of one embodiment of a power gated block in which the rate of activation of power switches is accelerated during a wakeup procedure. [0015] Fig. 4A is a diagram of another embodiment of a power gated block in which the rate of activation of power switches is accelerated during a wakeup procedure. 15 [0016] Figs. 4B and 4C are diagrams illustrating various embodiments of a delay element. [0017] Fig. 5 is a diagram of a third embodiment of a power gated block in which the 20 rate of activation of power switches is accelerated during a wakeup procedure. [0018] Fig. 6 is a flow diagram illustrating a method embodiment of accelerating the rate at which power switches of a functional block are activated during a wakeup procedure. 25 [0019] Fig. 7 is a block diagram of one embodiment of a functional block having separate delay chains coupled to activate power switches. [0020] Fig. 8 is a block diagram of another embodiment of a functional block having separate delay chains coupled to activate power switches. 5 [00211 Fig. 9 is a block diagram of a third embodiment of a functional block having separate delay chains coupled to activate power switches. 5 [0022] Fig. 10 is a flow diagram illustrating one embodiment of a method for powering up a functional block having separate delay chains for propagating enable signals to power switches. [0023] Fig. I 1 is a block diagram of one embodiment of an IC configured to sequentially 10 power up multiple functional block during a wakeup procedure. (0024] Figs. 12A and 12B graphically illustrate a method and affect, respectively, of powering up multiple functional blocks of an integrated circuit. 15 [0025] Fig 13 is a method flow diagram of one embodiment of a method for powering up multiple functional blocks. [0026] Fig. 14 is a block diagram of one embodiment of a system. 20 [0027] While the invention is susceptible to various modifications and alternative forms, specific embodiments thereof are shown by way of example in the drawings and will herein be described in detail. It should be understood, however, that the drawings and detailed description thereto are not intended to limit the invention to the particular form disclosed, but on the contrary, the intention is to cover all modifications, equivalents and 25 alternatives falling within the spirit and scope of the present invention as defined by the appended claims. The headings used herein are for organizational purposes only and are not meant to be used to limit the scope of the description. As used throughout this application, the word "may" is used in a permissive sense (i.e., meaning having the 6 potential to), rather than the mandatory sense (i.e., meaning must). Similarly, the words "include", "including", and "includes" mean including, but not limited to. [00281 Various units, circuits, or other components may be described as "configured to" 5 perform a task or tasks. In such contexts, "configured to" is a broad recitation of structure generally meaning "having circuitry that" performs the task or tasks during operation. As such, the unit/circuit/component can be configured to perform the task even when the unit/circuit/component is not currently on. In general, the circuitry that forms the structure corresponding to "configured to" may include hardware circuits. 10 Similarly, various units/circuits/components may be described as performing a task or tasks, for convenience in the description. Such descriptions should be interpreted as including the phrase "configured to." Reciting a unit/circuit/component that is configured to perform one or more tasks is expressly intended not to invoke 35 U.S.C. § 112, paragraph six interpretation for that unit/circuit/component. 15 7 [0028A] As used herein, except where the context requires otherwise, the term "comprise" and variations of the term, such as "comprising", "comprises" and "comprised", are not intended to exclude further additives, components, integers or steps. 5 DETAILED DESCRIPTION OF EMBODIMENTS [00291 The present disclosure is directed to various method apparatus embodiments for powering up power gated functional blocks of an integrated circuit. The integrated circuit may include at least one global voltage node that may be defined as a voltage node coupled to multiple power gated functional 10 blocks. Each functional block may include a corresponding local (or virtual) voltage node that is unique to that functional block. As defined herein, a virtual voltage node is a voltage node that is coupled to a global (e.g., supply) voltage node when one or more power switches (e.g., transistors) coupled therebetween is activated. When a power gated functional block is actively receiving power, 15 the power switches coupled between the virtual and global voltage nodes may be activated, and the virtual voltage node may be at or near the same voltage as the global voltage node. When a power gated functional block is inactive while the supply voltage node is otherwise receiving power, the power switches coupled between the virtual and supply voltage nodes may be inactive, and the virtual 20 voltage node may be at or near a reference (e.g., ground) voltage. Integrated Circuit with Power Gated Functional Blocks: [00301 Turning now to Fig. 1, a block diagram of one embodiment of an integrated circuit 10 is shown. The integrated circuit 10 is coupled to receive power supply inputs (e.g. VDD and VSS, or power and ground, respectively). 25 The VDD voltage may have a specified magnitude measured with respect to ground/VSS during use. More particularly, the VDD voltage may have a number of magnitudes that may be used for different operating points of the integrated circuit 10 during use. The integrated circuit 10 may include an interconnect, e.g. a global power supply grid, for each supply voltage, to 30 distribute the voltage over an area occupied by the integrated circuit 10 (e.g. an area at the surface of a semiconductor substrate such as silicon). The global power supply grids are illustrated in Fig. 1 as the line 12 coupled to the blocks 14A-14C, 16, and 18 in Fig. 1. However, the grids may physically be arranged in a somewhat regular fashion. 8 [00311 The integrated circuit 10 may include one or more power gated circuit blocks such as blocks 14A-14C. Each block 14A-14C may include circuitry such as transistors that are arranged to implement the desired operations of the integrated circuit 10, and thus may be circuit blocks (although sometimes referred to herein as simply "blocks" for 5 brevity). For example, the blocks 14A-14C may be processors or portions thereof (e.g. execution units within the processors); interface circuitry; peripheral circuitry such as graphics processing circuitry; user interface circuitry; multimedia circuitry such as audio and/or video processing circuitry; etc. 10 [00321 Generally, a circuit block may include a set of related circuits that implement one or more identifiable operations. The related circuits may be referred to as logic circuits or logic circuitry, since the circuits may implement logic operations on inputs to generate outputs. Because the circuits in a given circuit block are related, they may be powered up or powered down as a unit. Each circuit block may generally be treated as a 15 unit during the design of the integrated circuit (e.g. being physically placed within the integrated circuit as a unit). The circuit block may further include memory circuitry (e.g. various static random access memories, or SRAMs) and other storage devices that are part of the logic circuitry. 20 [00331 A power gated circuit block (or simply a power gated block) may be a circuit block that may have at least one of its power supply voltages (VDD or VSS) interrupted in response to deassertion of a block enable input signal. The power gated blocks may include power switches that are coupled to the global power supply grid and to a local power supply grid. If the enable is asserted, the power switches may electrically connect 25 the global and local power supply grids. If the enable is deasserted, the power switches may electrically isolate the global and local power supply grids. When electrically connecting the grids, the power switch may be referred to as being on, and when electrically isolating the grids, the power switch may be referred to as being off. The voltage on the global power supply grid may appear on the local supply grid when 9 electrically connected. However, the switches may have some impedance, and thus the voltage on the local power supply grid may differ from the voltage on the global power supply grid. The local supply voltage may be referred to as "virtual" (e.g. virtual VDD or virtual VSS). 5 [0034] When a power gated block 14A-14C is enabled, the power switches turn on and current flows to charge the local power supply grid in the power gated block 14A-14C. In order to reduce the rate of change of current (di/dt) on the global power supply grids, which may generate enough noise to cause erroneous behavior in other circuitry in some 10 cases, the power gated block 14A-14C may control the rate at which switches are activated. Various schemes, which will be discussed in further detail below, may be used to control the activation rate of the power switches for a given block. [0035] In the illustrated embodiment, the power gated blocks 14A-14C may receive a 15 clock signal (BEClk in Fig. 1) in addition to the block enable. The clock signal may be used for certain power switch activation schemes to provide control over the rate at which switches may be activated. This in turn may enable the rate of change of the current may be controlled to acceptable levels, in some embodiments. More particularly, in certain embodiments the power gated block 14A-14C may include one or more timers 20 that are synchronized to the BE_Clk signal. The timers may enable certain groups of switches to be activated after a predetermined time has elapsed. [0036] In the illustrated embodiment, the power manager 18 may include a clock generator circuit 19 that generates the BE_Clk clock. The frequency of the clock may be 25 programmable (e.g. via software executing on a processor within the IC 10 or coupled to the IC 10) via the BE_Clk_Freq register 17 coupled to the clock generator circuit 19. In some embodiments, the programmed frequency may be independent of process/voltage/temperature (PVT) conditions in the integrated circuit. For example, the delay for power switches enabled by a timer in the power gated block may be determined 10 for the fastest PVT conditions, and a frequency corresponding to 1/2 of the delay may be selected as the programmable frequency. Additional details for some embodiments are provided below. In other embodiments, the frequency programmed into the register 17 may be based on an indication of the process parameters that were in place when the 5 integrated circuit 10 was manufactured (e.g. the parameters may indicate a "fast" process, a "typical" process, or a "slow" process). The frequency may be also be based on the current supply voltage magnitude. A lower voltage may lead to slower transistor operation, reducing the di/dt effect as compared to higher voltages and thus permitting a higher frequency, if desired. In some embodiments, the frequency may also be based on 10 operating temperature. A higher operating temperature may lead to slower transistor operation, reducing the di/dt effect as compared to lower temperatures and thus permitting a higher frequency, if desired. The clock generator circuit 19 may be any type of clock generator (e.g. a phase locked loop, a clock divider receiving an input clock and dividing it in frequency, a clock multiplier, etc.). 15 [00371 It is noted that not all of the power switch activation schemes to be described below utilize a clock signal. Accordingly, in some embodiments, one or more of the power gated block are not coupled to receive the BE_Clk signal. Furthermore, embodiments that do not use the BE_Clk signal for any of the power gated blocks are 20 also possible and contemplated. Examples of such embodiments will be discussed below. [0038] A power manager 18 is coupled to the blocks 14A-14C and 16, and may be configured to monitor the activity in the blocks 14A-14C and 16 to generate the block 25 enables for the power gated blocks 14A-14C. The activity in one block may be an indicator that another block is about to become active and should be powered up. For example, the blocks 14A-14C and 16 may be part of a pipeline. If one pipeline stage is active, it may be likely that the next stage will be active soon. Similarly, in a processor, a fetch request may indicate that instructions will be fetched and decoded soon, and thus 11 the execution units may be powered up. Power gated blocks may be components of a system on a chip, and a communication from one component to another may indicate that a block may need to be powered up. Activity in a block may also indicate that the block or another block is about to be idle and may be powered down. While the ungated block 5 may not be enabled or disabled for power gating, its activity may be useful in determining if the power gated blocks may be disabled. In some embodiments, clock gating may be implemented in addition to power gating. In such embodiments, the power manager 18 may also implement the clock gating, or the clock gating may be implemented separately. While the power manager is shown as a block in Fig. 1, the 10 power manager 18 may actually be distributed as desired. [00391 Generally, the power manager 18 may be configured to deassert the block enable to power down a block, and to assert the block enable to power up a block. The block enable (and other signals described herein) may be asserted at one logical state and 15 deasserted at the other logical state. For example, the signal may be asserted (indicating enable) at a low logical state (binary zero) and deasserted at a high logical state (binary one). The signal may alternatively be deasserted at the low logical state and asserted at the high logical state. Different signals may have different asserted/deasserted definitions. In some contexts, a signal may be referred to as asserted low, or alternatively 20 asserted high, for additional clarity. [00401 In various embodiments, a period of time may elapse after a power gated block 14A-14C has its block enable deasserted before the supply voltage has drained, and there may be a period of time after assertion of the enable before the power gated block is 25 considered stable and ready for use. The power manager 18 may be configured to account for these times when determining if the block enable may be deasserted, and in determining when to reassert the block enable for the next power up of the block. 12 [00411 The electrical isolation of the local and global power supply grids that may be provided by the power switches may generally refer to a lack of active current flow between the grids. The power switches themselves may have leakage current, so there may be some leakage current flow. Similarly, the electrical connection of the local and 5 global power supply grids may refer to an active current flow between the grids to provide the voltage from the global grid to the local grid. Viewed in another way, electrically connected grids may have a very low impedance path between them, whereas electrically isolated grids may have a very high impedance path. Viewed in still another way, electrically connected grids may be actively passing a voltage from one grid to the 10 other, wherein electrically isolated grids may be preventing the passing of the voltage. [00421 The local and global power supply grids may generally distribute a power supply voltage over various areas of the integrated circuit 10. The global power supply grids distribute the voltage over the entire area of the integrated circuit 10, while local power 15 supply grids distribute power supply voltages within a power gated block. The ungated blocks may also include local power supply grids, but since they do not include power switches, the local power supply grids may essentially be part of the global power supply grid. In general, the power supply grids may have any configuration. For example, in one embodiment, a given block may have power supply connections to the underlying 20 circuitry at certain physical locations (e.g. regularly spaced channels over the area). The power supply grids may include wiring running above these regularly spaced channels. There may also be wires running in the orthogonal direction to the wiring, to reduce impedance and to supply current to any localized current "hot spots". Other grids may include any sort of distribution interconnect and/or there may be irregularities in the 25 grids, or the interconnect may essentially be a plane of metal. In one embodiment, the global power supply grids may be provided in one or more of the highest layers of metal (wiring layers), i.e. those layers that are farthest from the surface of the semiconductor substrate. The local power supply grids may be included in lower layers of metal. Connections between the power supply grids may be made to the power switches at a 13 surface of the semiconductor substrate. The metal may be any conductive material used for interconnect in the semiconductor fabrication process used to fabricate the integrated circuit 10. For example, the metal may be copper, aluminum, tungsten, combinations thereof (e.g. aluminum or copper wiring layers and tungsten vias), alloys thereof, etc. 5 [0043] The power supply voltages (VDD and VSS) may generally be externally supplied to the integrated circuit, and may be generally intended to be relatively static during use. While the magnitude of the supply voltages may be intentionally changed during use (e.g. for power management), the magnitude changes are not intended to be interpreted by 10 receiving circuits in the fashion that dynamically varying signals are interpreted. Similarly, local variations in the power supply voltages may occur (such as VDD droop or VSS bounce) during operation, but these variations may generally be undesirable transients. The power supply voltages may serve as sources and sinks of current as the circuitry evaluates. 15 [0044] As mentioned above, the power gated blocks 14A-14C may have their power gated, e.g. when inactive, to reduce power consumption in the integrated circuit. According, the power gated blocks 14A-14C are each coupled to receive an enable signal (block enable in Fig. 1). The block enable signal for each block may be a separate, 20 unique signal for that block, so that the power gated blocks 14A-14C may be individually enabled or not enabled. In some cases, one or more power gated blocks may share an enable. A shared block enable may be physically the same signal, or logically the same signal (i.e. the signals are physically separate by logically operated the same way). The integrated circuit 10 may also include one or more ungated circuit blocks such as ungated 25 block 16. Ungated blocks may be coupled to the power supply grids 12 without any power switches, and thus may be powered up whenever the integrated circuit 10 is powered up. Ungated blocks may be blocks that are active most or all of the time, so that including the power switches and attempting to power gate them is not expected to produce much power savings, if any, for example. 14 [0045] It is noted that, while one ungated block and three power gated blocks are shown in Fig. 1, there may generally be any number of one or more power gated blocks and ungated blocks, in various embodiments. Similarly, there may be more than one power 5 manager 18 in the integrated circuit 10 (e.g. enabling/disabling various non-overlapping subsets of the power gated blocks). [0046] It is noted that one or more circuit blocks may include state storage (e.g. memory, flops, registers). It may be desirable to retain the state in the state storage (or 10 some of the state storage). In such cases, the global power grids may supply power to the state storage without power switches in the power to ground path. A separate local power grid may be provided, for example, without power switches. [0047] Turning now to Fig. 2, a block diagram of one embodiment of the power gated 15 block 14 is shown. Power gated block 14 may be similar to any of the power gated blocks shown in Fig. 1. In the embodiment of Fig. 2, the power gated block 14 includes multiple power switches located at a variety of physical locations within the power gated block 14, as illustrated. That is, the power switches may be physically distributed over the area occupied by the power gated block 14. In this embodiment, the power switches 20 are placed at regularly spaced intervals, although other distributions that are not regular may be used in other embodiments. Each location may include multiple power switches (e.g. power switch segment 20A may include multiple power switches). The power switches at one location may be referred to as a segment of power switches 20A-20E. The power gated block 14 further includes a power control circuit 24. The power control 25 circuit 24 is illustrated as a block in Fig. 2, but may be physically distributed near the locations of the power switch segments in some embodiments. The block enable and BE_Clk for the power gated block 14A may be coupled to the power control circuit 24. The power control circuit 24 is coupled to each of the power switch segments 20A-20E, supplying each segment with a respective local block enable (BEI to BE5 in Fig. 2). 15 [0048] In this embodiment, the power switches are coupled between the global VDD grid 12A and the local VDD grid of the power gated block 14. The local VDD grid is illustrated as the horizontal lines in Fig. 2 between the power switch segments 20A-20E. 5 Between each of the power switch segments 20A-20E, logic circuits 22A-22D are provided. The logic circuits 22A-22D may be powered by the local VDD grid, and also by the local VSS grid which is not shown in Fig. 2. The global VSS grid 12B is shown coupled to each of the logic circuits 22A-22E, but there may generally be a local VSS grid to which the global VSS grid 12B is coupled. While Fig. 2 shows the power switch 10 segments 20A and 20E at the edges of the power gated block 14 with no circuitry between the edges of the power gated block 14 and the power switch segments 20A and 20E, these power switch segments may not necessarily be placed at the very edges. In other words, logic circuits may be placed to the left of the power switch segment 20A in Fig. 2 and/or to the right of power switch segment 20E in Fig. 2. 15 [0049] The power control circuit 24 may generate the local block enables BEI-BES for the segments responsive to the block enable and BE_Clk from the power manager 18. Particularly, the power control circuit 24 may stagger the local block enable assertions. For example, the power control circuit 24 may assert one local block enable per clock 20 cycle of the BE_Clk. In other embodiments, more than one local block enable may be asserted per clock cycle, as long as the di/dt effects of enabling more than one segment per clock cycle are below design limits. Furthermore, in some embodiments, there may be more than one local block enable per segment, and the local block enables for a given segment may be staggered. The number of segments and local block enables in a power 25 gated block may be varied and may be more or fewer than that shown in Fig. 2. [0050] The power switches may generally comprise any circuitry that may electrically connect a local power supply grid to a global power supply grid in response to an asserted enable signal and may electrically isolate the local power supply grid from the 16 global power supply grid in response to a deasserted enable signal. For example, each power switch may be a P-type Metal-Oxide-Semiconductor (PMOS) transistor for embodiments that implement power switches on the VDD power supply grid. The gate of the PMOS transistor may be coupled to receive the (possibly buffered) local block 5 enable signal (BEl-BE5 in Fig. 2), a source coupled to the global VDD grid 12A, and a drain coupled to one or more local VDD grid lines. Accordingly, the block enable signal may be asserted low in this example, turning the PMOS transistor 24 on and actively conducting current from the global VDD grid 12A to the local VDD grid lines. Embodiments which implement the power switches on the VSS grid may be similar, 10 except that the transistor may be an N-type MOS (NMOS) transistor and the block enable may be asserted high/deasserted low in such embodiments. Power Switch Acceleration Scheme: (0051] Turning next to Fig. 3, an embodiment of a power gated block 14 that utilizes an 15 acceleration scheme during a power on procedure is illustrated. For the sake of simplicity, only the power switch segments (e.g., power switch segments 20A-20C) are shown in this and the remaining figures, while the logic circuits are not shown within power gated block 14. Furthermore, some external connections (e.g., block enable inputs) may be eliminated from some of the subsequent drawings for the sake of 20 simplicity. [00521 The power switch acceleration scheme utilized by this example of power gated block 14 is one in which the rate of activation of power switches is increased during the power on procedure. Initially, power switches may be activated at a first rate. As the 25 voltage increases on the virtual VDD, the rate at which power switches are activated may be increased. [00531 During a power up procedure, the amount of current often times does not increase linearly or in proportion to the voltage on the virtual VDD node. More 17 particularly, the rate of current change, di/dt, is not typically sustained at a constant value during the power up procedure. As the voltage on the virtual VDD node increases, the di/dt may rise to a peak and then begin falling. The peak may be reached at a voltage that is less than the final voltage of the virtual VDD node upon completion of powering up 5 power gated block 14. Accordingly, once the voltage corresponding to the peak di/dt has passed, it may be possible to increase the rate at which power switches are activated while remaining within di/dt limits. Accordingly, power on/wakeup time for a functional block may be reduced relative to an embodiment wherein the power switches are activated at a constant rate throughout. 10 100541 In the embodiment shown in Fig. 3, power switches are implemented as transistors 21 (PMOS transistors in this case). The respective gate terminals of each of the transistors 21 is coupled to an output of a corresponding of delay elements 23. The delay provided by each of the delay elements 23 in this embodiment is controllable by 15 power control unit 24, which is local to power gated block 14. Within each power switch segment 20A-20C, the delay elements 23 are serially coupled to one another to form delay chains. When an enable signal is input into a delay chain, it propagates through respective delay elements 23 and thus causes the power switches in that power switch segment to be sequentially activated. 20 [00551 Power control unit 24 in the embodiment shown includes a virtual VDD detection unit 241, which is configured to detect a voltage level on the virtual VDD node. When the voltage on the virtual VDD node reaches or exceeds a predetermined threshold value, power control unit 24 may adjust the respective delay for each of delay elements 23. 25 Each of the delay elements 23 in the embodiment shown is coupled to receive a delay control signal ('DlyCtl') from power control unit 24. In some embodiments, the delay control signal may be a digital signal. In such embodiments, assertion of this digital signal may cause the delay of each of delay elements 23 to be reduced from a first value to a second value. When the delay is reduced, the speed of propagation of the enable 18 signal through the delay chain increases. Correspondingly, the rate of activation of power switches 21 also increases. [00561 In another embodiment, the delay control signal may be an adjustable voltage. 5 The delay provided by each of delay elements 23 may be based on the voltage of the delay control signal. Accordingly, responsive to determining that the virtual VDD voltage is equal to or greater than a threshold voltage, power control unit 24 may adjust the voltage of the delay control signal in order to cause a reduction of the delay provided by each of delay elements 23. The reduction may be from a first fixed value (prior to the 10 virtual VDD voltage reaching the threshold) to a second fixed value. However, embodiments are also possible and contemplated wherein the voltage of the delay control signal, and thus the delay of delay elements 23, is reduced from the first value in a continuous manner after the virtual VDD voltage has reached the threshold value. In such a case, the rate of activation of power switches 21 may continuously increase until 15 all of them are active. 100571 In this particular example, the power switches are divided into three separate power switch segments 20A-20C. Accordingly, activation of the power switches 21 in each of the power switch segments 20A-20C may proceed in parallel with respect to the 20 other power switch segments (but sequentially within a given segment). In another embodiment, power control unit 24 may activate the power switches 21 of power switch segments 20A-20C sequentially. For example, power control unit 24 may provide the enable signal first to power switch segment 20A, then to power switch segment 20B, and so on. Other embodiments as possible and contemplated in which each of the power 25 switches 21 are consolidated into a single power switch segment 20, with a single delay chain of serially coupled delay elements 23. [0058] Fig. 4A is a block diagram of another embodiment of a power gated functional block 14. In this particular embodiment, each of delay elements 23 is self-adjusting with 19 respect to the amount of delay provided. Accordingly, power control unit 24 in this embodiment is not configured to assert a delay control signal, nor are delay units 23 coupled to receive the same. 5 [00591 Exemplary embodiments of delay element 23 are shown in Figs. 4B, 4C, and 4D. In the embodiment shown in Fig. 4B, each delay element 23 is coupled to directly to the virtual VDD node. More particularly, each delay element 23 of Fig. 4B includes two NMOS transistors having gate terminals directly coupled to receive the voltage on the virtual VDD node. The first of these NMOS transistors is part of a passgate circuit in the 10 pull-up path corresponding to the input of delay element 23. The second NMOS transistor is part of a passgate in the pull-down path associated with the output node of delay element 23. The other transistors in the respective passgates have gate terminals hardwired such that they are always active. The NMOS transistors having gate terminals to virtual VDD in this embodiment may operate in their respective linear regions for at 15 least part of the power on procedure. As the voltage on the virtual VDD node increases, the current through these NMOS transistors may correspondingly increase. As a result, the switching delay through the pull-up path associated with the input and the pull-down path associated with the output is correspondingly reduced. As the delay through each delay element 23 is reduced, the speed at which an enable signal propagates through the 20 delay chain increases, thereby increasing the rate at which switches 21 are activated. [0060] In the embodiment of Fig. 4A, delay elements 23 (which may be those shown in Fig. 4B) are configured to continuously change their respective delay as the voltage on the virtual VDD node changes. However, embodiments of a delay element 23 are also 25 possible and contemplated wherein each delay element is configured to provide at least one fixed delay (e.g., a fixed amount while the voltage on virtual VDD is less than a threshold voltage), such as in Fig. 4C. Embodiments of a delay element 23 having a delay that is controlled via an externally received control signal, such as those in Fig. 4D, are also possible and contemplated. In general, a wide variety of embodiments of delay 20 elements 23 are possible and contemplated. Furthermore, it is noted that embodiments of power gated blocks that utilize multiple types of delay elements 23 are possible and contemplated. 5 [0061] As with the embodiment of power gated block shown in Fig. 3, power switches 21 and delay elements 23 in the embodiment of Fig. 4A are arranged in different power switch segments 20A-20C. As such, power control unit 24 may assert enable signals to each of these segments individually and sequentially, in parallel, or in a manner where multiple segments are activated concurrently but not necessarily in parallel, depending on 10 the specific implementation. Furthermore, the power switches 21 and delay elements 23 may be consolidated into a single segment with a single delay chain. [0062] Another embodiment of a power gated block 14 in which the rate of power switch activation is accelerated is shown in Fig. 5. The embodiment shown in Fig. 5 may 15 include a power control unit 24, although it is not shown here for the sake of illustration. Similarly, the details of each power switch segment 20A-201 are not shown here. However, these power switch segments may be configured similarly to the power switch segments of the previously discussed embodiments. Furthermore, each of power switch segments 20A-201 may be uniformly constructed. It is further noted that a hardwired 20 embodiment of a power gated block 14 shown in Fig. 5 that does not utilize control information from a power control unit 24 is also possible and contemplated. [0063] In this particular embodiment, activation of power switches begins at (1) with the assertion of an enable signal to power switch segment 20D. Initially, the enable signal 25 propagates sequentially through power switch segment 20D, through segment 20E, and then through segment 20F. Assuming that the delay of the delay elements 23 in each of the power segments has a relatively constant delay, the overall rate at which power switches are activated is similarly constant as the enable signal propagates through power switch segments 20D-20F. 21 [00641 At (2), the enable signal is provided to both of power switch segments 20C and 20G. At this point, the enable signal is effectively propagating through two separate delay chains in parallel with one another. This in turn doubles the rate of power switch 5 activation relative to the previous point in which the enable signal propagated through only single ones of the power switch segments. [0065] At (3) and (4), which may occur simultaneously or in close temporal proximity to one another, the rate of power switch activation may double yet again. At (3), the enable 10 signal is propagated into parallel power switch segments 20A and 20B. Similarly, at (4), the enable signal is propagated into power switch segments 20H and 201. Thus, at this point, the enable signal is propagating through four different power switch segments in parallel. Accordingly, the rate of activation of power switches is four time that of its initial rate. 15 [0066] The exact manner in which the enable signal propagates through the power segments may vary from one embodiment to another. For example, a path for the enable signal may be connected as depicted in the embodiment of Fig. 5. In another embodiment, power control unit 24 may selectively choose which of the power switch 20 segments are to receive an enable signal at a given time. In one contemplated embodiment, the technique of detecting the voltage on the virtual VDD node may be combined with the technique shown in Fig. 5. Accordingly, power control unit 24 may enable single instances of a power switch segment until the voltage on the virtual VDD node reaches a threshold value. Multiple segments may be concurrently enabled 25 thereafter to increase the rate at which power switches are activated. [0067] Fig. 6 is a flow diagram illustrating one embodiment of a method for increasing the rate at which power switches are activated during a power on procedure. Method 600 begins with a power gated functional block receiving an enable signal (block 605). The 22 enable signal may be received from a chip-level power management unit in some embodiments. The power gated functional block may include its own internal power control unit, which may be used to provide local control of the activation of power switches therein. 5 [00681 Subsequent to receiving the enable signal, the activation power switches within the functional block may begin (block 610). The activation of the power switches may occur sequentially within given power switch segments, as described above in reference to Figs. 3-5. The activation of the power switches may initially occur at a first rate. 10 [0069] During the activation of power switches in the embodiment shown, the voltage on the virtual VDD node is compared to a threshold voltage (block 615). If the voltage on the virtual voltage node is less than the threshold voltage (block 615, no), then activation of the power switches continues at a first rate (block 610). If the voltage on 15 the virtual voltage node is greater than or equal to the threshold voltage (block 615, yes), then the rate at which power switches are activated is increased to a second rate that is greater than the first (block 620). The activation of power switches may continue at the second rate as long as there are power switches that remain inactive. If all power switches are not active (block 625, no), then power switch activation continues (block 20 630). If all power switches have been activated (block 625, yes), then the method is concluded. [00701 It is noted that the comparison of the voltage from the virtual VDD node to a threshold voltage may not be included in all embodiments. For example, the power 25 switch acceleration scheme implemented by the embodiments shown in either of Figs. 4 and 5 may be implemented without comparing the virtual VDD node voltage to a threshold voltage. Furthermore, while the embodiment of method 600 shown here refers to first and second rates of power switch activation, it is noted that embodiments using different rates are possible and contemplated. Moreover, method embodiments in which 23 the rate of power switch activation is increased in a continuous manner are possible and contemplated. Multi-Path Power Switch Scheme for Functional Block Wakeup: 5 [0071] Figs. 7-9 illustrate various embodiments of a power gated block in which activation of power switches during a power on procedure/wakeup is subdivided by path. More particularly, in each of the embodiments to be illustrated in Figs. 7-9, respective enable signals are provided to different power switch segments at different times to control di/dt during the powering on of the functional block. 10 [00721 In some cases, the activation of power switches in one segment may overlap the activation of power switches in other segments. In other cases, there is no overlap between the activation of power switches in one segment and those of another segment. Overlap may depend on PVT variations in the integrated circuit upon which the power 15 switch segments are implemented. In the fastest cases (i.e., fastest switching times due to PVT variations), overlap is less likely to occur. In slower cases (i.e. slower switching times due to PVT variations), overlap is more likely to occur. In either case, di/dt may be held to within specified limits. In the fastest cases, since there may be no overlap, only one power switch segment is powered up at a time. Thus, the di/dt is limited to that 20 resulting from the activation of power switches in only a single segment. In the slower cases, while some overlap may occur, the power switches in each segment may be activated at a slower rate. Thus, since the power switches are activated at a slower rate, di/dt may be held within specified limits. 25 [0073] Turning now to Fig. 7, a diagram illustrating one embodiment of a power gated block utilizing a multi-path power switching scheme. In the embodiment shown, power gated functional block 14 includes two power switch segments, 20A and 20B. Each of power switch segments are generally constructed in the same manner as previously described embodiments, each including a delay chain and a number of power switches. 24 Each delay chain includes a number of serially-coupled delay elements 23. An output of each of the serially-coupled delay elements 23 is coupled to a corresponding power switch 21, each of which is implemented in this embodiment as a PMOS transistor. As a corresponding enable signal propagates through the delay elements 23 of the delay chain, 5 power switches 21 are activated sequentially. 100741 In the embodiment illustrated in Fig. 7, power switch segment 20A is coupled to receive a first enable signal, Enable 0. The first enable signal, when received by power switch segment 20A, propagates through delay elements 23 of the corresponding delay 10 chain. As the first enable signal propagates through the delay chain of power switch segment 20A, the corresponding power switches 21 are sequentially activated. 100751 Power gated block 20 also includes a timer 25 coupled to receive the first enable signal. More particularly, the first enable signal may be received on a start input of timer 15 25. Responsive to receiving the first enable signal, timer 25 may begin operating. The operation of timer 25 in the embodiment shown is synchronized to a clock signal received at the illustrated clock input. In one embodiment, timer 25 may be implemented as a counter and may thus count a number of cycles of the clock signal to determine the amount of time that has elapsed since the assertion of the first enable signal. The clock 20 frequency, and thus the period, may be independent of PVT variations, and thus the predetermined time may remain the same for fast and slow cases. When timer 25 determines that a predetermined time as elapsed, a second enable signal, Enable I is asserted. Responsive to its assertion, the second enable signal is propagated through the serially-coupled delay elements 23 in power switch segment 20B. Responsive to 25 propagation of the second enable signal, power switches 21 of the second power switch segment are sequentially activated. [0076] Depending on PVT variations, the assertion of the second enable signal may or may not occur until after each power switch 21 of power switch segment 21 has been 25 activated. This dependency is based on the magnitude of predetermined time prior to which timer 25 asserts the second enable signal, and whether or not the case is a fast case or a slow case. The predetermined time from the assertion of the first enable signal to the assertion of the second enable signal may be programmed into timer 25, or may be 5 hardwired. In either case, the predetermined time may be set such that, in the fastest case, the second enable signal is not asserted until after all power switches 21 of power switch segment 20A have been activated. For at least some of the slower cases, the predetermined time of timer 25 may be set such that the second enable signal may be asserted before all power switches 21 of power switch segment 20A have been activated. 10 In either case, the predetermined time of timer 25 may be set in accordance with di/dt specifications of the integrated circuit in which power gated block 14 is implemented. [00771 Fig. 8 is a diagram illustrating another embodiment of a power gated block 14 utilizing a multi-path power switching scheme. More particularly, the embodiment 15 shown in Fig. 8 extends the embodiment of Fig. 7 to more than two power switch segments. In this example, three power switch segments 20A-20C are shown, although additional instances may be included. Furthermore, the embodiment of power gated block 14 shown in Fig. 8 includes timers 25A and 25B. In general, the embodiment of power gated block 14 shown in Fig. 8 includes N power switch segments (wherein N is 20 an integer value) and N-1 timers. Each of the timers may run for a predetermined time based on multiple of cycles of the received clock signal. In some embodiments that the predetermined time may differ from one timer to another (e.g., for embodiments wherein the number of delay elements and power switches is not uniform from one power switch segment to the next). However, in the embodiment shown in Fig. 8, it is assumed that the 25 power switch segments are uniform and that the predetermined time is the same for each of the timers. [0078] The operation of the embodiment shown in Fig. 8 may also be an extension of that shown in Fig. 7. When the first enable signal is received, sequential activation the 26 power switches 21 of power switch 20A begins along with the operation of timer 25A. When the predetermined time of timer 25A has elapsed, a second enable signal is asserted. Assertion of the second enable signal initiates sequential activation of the power switches 21 in power switch segment 20B and also initiates operation of timer 5 25B. When the predetermined time of timer 25B has elapsed, a third enable signal ('Enable 2') is asserted, thereby initiating sequential activation of power switches 21 in power switch segment 20C. If additional power switch segments and timers are included, the assertion of the third enable signal may also cause the initiation of the next timer. The sequence may continue until an enable signal has been provided to each of the power 10 switch segments and all power switches included therein have been activated. [00791 As with the embodiment shown in Fig. 7, there may be some overlap in the activation of power switches 21 in one segment and the activation of power switches 21 of the next segment. The overlap may be determined by the predetermined time to which 15 each timer is set, as well as PVT variations, which may determine the fast and slow cases. The predetermined time of the timers may be set such that, in the fastest case, no overlap is permitted, while some overlap may be permitted in the slower cases. [00801 Fig. 9 illustrates another embodiment that may operate similarly to that of Fig. 8. 20 However, instead of utilizing multiple timers, the timing function is consolidated into a single timer 25 configured to output the multiple enable signals. In the embodiment shown, the operation of timer 25 is initiated responsive to assertion of the first enable signal. Sequential activation of power switches 21 in power switch segment 20A is also initiated responsive to assertion of the first enable signal. After a predetermined time has 25 elapsed, timer 25 may assert the second enable signal. Sequential activation of the power switches of power switch segment 20B is initiated responsive assertion of the second enable signal. Furthermore, timer 25 may then begin tracking time from the assertion of the second enable signal. When the predetermined time has elapsed from assertion of the second enable signal, timer 25 may assert the third enable signal and initiate sequential 27 activation of power switches 21 of power switch segment 20C. This process may continue until each of the N enable signals has been asserted. As with the two previous embodiments utilizing multi-path power switch schemes, some overlap may occur at the slower cases. However, at the fastest cases, the predetermined time value may be set 5 such that no overlap occurs between the activation of one power switch segment and another. [00811 Turning now to Fig. 10, a flow diagram illustrating one embodiment of a multi path power switch scheme is shown. Method 700 of Fig. 10 is directed to an 10 embodiment in which only two power switch segments are included. However, the method may be extended to embodiments having more than two power switch segments, as exemplified by the functional block embodiments shown in Figs. 8 and 9. [0082] Method 700 begins with the provision of an asserted enable signal to a power 15 gated functional block (block 705). Responsive to assertion of the first enable signal to the functional block sequential activation of a first group of power switches is initiated (block 710). In addition to the sequential activation of the first group of power switches, operation of a timer is also initiated responsive to assertion of the first enable signal. 20 [00831 If the timer period has note elapsed (block 715, no), activation of the first group of switches may continue until all switches of the group are activated. This may or may not occur prior to the elapsing of the timer period, depending on the period itself and PVT variations. Once the timer period has elapsed (block 715, yes), a second enable signal may be asserted and provided to a second group of switches (block 725). 25 Responsive to assertion of the second enable signal, the switches of the second group may be sequentially activated. Multi-Block Power Up Scheme: 28 100841 The multi-path power switch scheme discussed above with reference to Figs. 7 10 is directed to powering up multiple power switch segments in a single power gated functional block. Figs. 11-13 are directed to a similar scheme that is extended to sequentially powering up multiple power gated functional blocks. The powering up of 5 the functional blocks may be coordinated by a power manager that may enforce keep-out times (i.e. times where overlap is not permitted) in order to maintain operation within specified di/dt limits. [00851 Turning now to Fig. 10, a block diagram illustrating an exemplary embodiment 10 of an integrated circuit having multiple power gated blocks is shown. In the embodiment shown, integrated circuit 30 includes four power gated functional blocks: processor core 31A, processor core 31B, bus interface unit 33, and graphics unit 35. Each of the power gated functional blocks may include one or more power switch segments. The power switch segments of a given one of the power gated functional blocks may be arranged in 15 accordance with any of the embodiments discussed above. Power switch segments arranged in accordance with embodiments not explicitly disclosed herein are also possible and contemplated. Furthermore, the arrangement of power switch segments need not be the same for all functional blocks. While such embodiments are possible, embodiments wherein the arrangement of power switch segments differs for at least one 20 of the functional blocks relative to the others are possible and contemplated. [0086] Integrated circuit 30 also includes a power management unit 18 that is configured to coordinate the powering up and powering down of the various power gated functional blocks. In the embodiment shown, power manager 18 includes a timer 25 and an 25 arbitration unit 27. Timer 25 may be used to time the keep out period. A keep out period may be defined as predetermined time in which, upon initiation of a power switch activation for one functional block, power switch activation for additional functional blocks is not permitted. Power manager 18 may enforce the keep out period by inhibiting enable signals for additional functional blocks to be powered up. For example, if 29 processor cores 31A and 31B, along with bus interface unit 33 are all to be powered up, power manager 18 may initially assert the enable signal ('Enable 0') for processor core 31A. As the power up procedure begins for processor core 31A, power manager 18 my inhibit the remaining enable signals for processor core 31B and bus interface unit 33 5 ('Enable 1' and 'Enable 3', respectively) for a first predetermined time. After the predetermined time has elapsed, the enable signal for processor core 3 1B may be asserted irrespective of whether processor core 31A has completed its power up procedure. However, the enable signal for bus interface unit 33 may remain inhibited for a second predetermined time (which may be, but is not necessarily, different from the first) 10 subsequent to assertion of the enable signal for processor core 31B. After the second predetermined time has elapsed, power manager 18 may assert the enable signal for bus interface unit 33, regardless of whether processor core 3 1 B has completed its power up procedure. 15 [00871 It is noted that the predetermined time of the keep out period may be different for the various functional blocks. For example processor core 31 A may have a longer keep out period than bus interface unit 33. In general, the length of the keep out period may be determine at least in part on the amount of circuitry in the block and the amount of current drawn by the block when operating. Power gated functional blocks having more 20 circuitry and/or a higher amount of current draw may have longer keep out periods than those with a smaller amount of circuitry and/or a smaller current draw. [00881 Power manager 18 may be configured to process power on request for each of the power gated functional block of integrated circuit 30. In this example, four request lines, 25 ReqO, Req I, Req2, and Req3 are shown being provided to power manager 18 from an external source. Each of these requests corresponds to a particular functional block and corresponding enable signal (e.g., ReqO corresponds to Enable 0 and processor core 31 A, and so on). In addition to being able to receive power on requests from an external source, requests may also be generated internally to integrated circuit 30. For example, 30 bus interface unit 33, when active, may generate a request to power on one or both of processor cores 3 1 A and 31 B responsive to receiving bus traffic from an external source. If multiple requests are received simultaneously (e.g., on the same clock cycle) or close together, arbitration unit 27 may perform an arbitration routine to determine the order in 5 which the requests are to be processed. Any suitable arbitration scheme, such as round robin, may be used to perform the arbitration. Upon completion of an arbitration routine, power manager 18 may assert the corresponding enable signals in the determined order while enforcing the keep out period for each of the functional blocks as they are powered up. 10 [0089] Figs. 12A and 12B graphically illustrate the use of keep out periods and the effects of powering up functional blocks in slow and fast conditions. In the example of Fig. 12A, three power on requests have been simultaneously received and arbitrated. The results of the arbitration have determined that processor core 31A is to be powered up 15 first, followed by processor core 31B, and finally graphics unit 35. Power is first applied to processor core 31A by asserting the corresponding enable signal and activating power switches therein. During a first portion of the power up procedure, the overlap keep out period is enforced for a period corresponding to the timer value. At this time, no other power gated functional blocks may begin powering up. Power manager 18 may enforce 20 the keep out period by inhibiting (i.e. preventing assertion thereof) the enable signals corresponding to processor core 31B and graphics unit 35. After the keep out period has elapsed, overlap between the power up of two functional units may be permitted. Power manager 18 may assert the enable signal for processor core 31 B when the keep out period for processor core 3 1 A has elapsed. During the keep out period for processor core 3 1 B, 25 the enable signal corresponding to graphics unit 35 may remain inhibited. Upon expiration of the keep out period for processor core 311B, the enable signal for graphics unit 35 may be asserted. Although no other power gated functional block are shown as being powered up subsequent to graphics unit 35, a keep out period may nevertheless be enforced if additional power on requests have been received by power manager 18. 31 [00901 Although overlap may be permitted, it does not occur in all cases. As previously noted, PVT variations can result in fast and slow cases. Fig. 12B graphically illustrates a difference between fast and slow cases for two functional blocks. In the fast case, the 5 total current peaks are greater than those in the slow cases. Furthermore, the slope representing di/dt is greater for the fast case than the slow case. Since the current peaks are reached faster during the fast case, power gated functional blocks are powered on faster. Accordingly, in the fast case shown here, there is no overlap between the powering on of two functional blocks, and thus the power on procedures may performed 10 within specified limits for total current and di/dt. In the slow cases, the current peaks are smaller and the slope of di/dt is less than the faster cases. Thus, while significant overlap may occur during the slow cases, the smaller current peaks and smaller slope of di/dt may remain well within specified limits. It is noted that the graphical illustration of Fig. 12B, while applying to the powering on of power gated functional blocks, may also apply to is the activation of power switches in power switch segments within a functional block. [0091] Fig. 13 is a flow diagram of one embodiment of a method for powering on multiple functional blocks. In the embodiment shown, method 800 begins with receiving of multiple power on requests (block 805) and the arbitration of the requests to determine 20 an order in which corresponding functional blocks are to be powered on (block 810). It is noted that in some cases, only a single request is received and thus no arbitration is necessary. 100921 Upon completion of the arbitration, a power manager may provide an enable 25 signal to the first functional block to be powered on, with a keep out period enforced (block 815). The keep out period may be enforced by inhibiting the enable signals for the other power gated functional blocks for which requests were received. The keep out period may continue to be enforce as long as its corresponding time period has not elapsed (block 820, no). When the keep out period has elapsed (block 820, yes), an 32 asserted enable signal may be provide to the next functional block to be powered on (block 825). The next functional block may begin its power on procedure responsive to receiving the enable signal. If there are additional power on requests to be satisfied (block 830, yes), additional functional blocks may be prevented from receiving an enable 5 signal during a keep out period corresponding to the functional block presently being powered on (block 835). It is noted that overlap between the power on procedures of the present and previously enabled blocks is possible in some cases, as discussed above. Once the keep out period has expired, the functional block to be powered on may receive an asserted enable signal from the power manager. If no additional functional blocks are 10 to be powered on (block 830, no), then method 800 is complete. Exemplary System: [00931 Turning next to Fig. 14, a block diagram of one embodiment of a system 150 is 15 shown. In the illustrated embodiment, the system 150 includes at least one instance of an integrated circuit 10 (e.g., from Fig. 1) coupled to one or more peripherals 154 and an external memory 158. In some embodiments, an integrated circuit similar to integrated circuit 30 of Fig. 11 may be included instead of, or in addition to integrated circuit 10. A power supply 156 is also provided which supplies the supply voltages to the integrated 20 circuit 10 as well as one or more supply voltages to the memory 158 and/or the peripherals 154. In some embodiments, more than one instance of the integrated circuit 10 may be included (and more than one external memory 158 may be included as well). [0094] The peripherals 154 may include any desired circuitry, depending on the type of 25 system 150. For example, in one embodiment, the system 150 may be a mobile device (e.g. personal digital assistant (PDA), smart phone, etc.) and the peripherals 154 may include devices for various types of wireless communication, such as wifi, Bluetooth, cellular, global positioning system, etc. The peripherals 154 may also include additional storage, including RAM storage, solid-state storage, or disk storage. The peripherals 154 33 may include user interface devices such as a display screen, including touch display screens or multitouch display screens, keyboard or other input devices, microphones, speakers, etc. In other embodiments, the system 150 may be any type of computing system (e.g. desktop personal computer, laptop, workstation, net top etc.). 5 [0095] The external memory 158 may include any type of memory. For example, the external memory 158 may be SRAM, dynamic RAM (DRAM) such as synchronous DRAM (SDRAM), double data rate (DDR, DDR2, DDR3, LPDDR1, LPDDR2, etc.) SDRAM, RAMBUS DRAM, etc. The external memory 158 may include one or more 10 memory modules to which the memory devices are mounted, such as single inline memory modules (SIMMs), dual inline memory modules (DIMMs), etc. Memory 158 may in some embodiments be the equivalent of memory 5 shown in Fig. 1, and thus may be coupled to integrated circuit 10 via a number of interface circuits 100. 15 [00961 Numerous variations and modifications will become apparent to those skilled in the art once the above disclosure is fully appreciated. It is intended that the following claims be interpreted to embrace all such variations and modifications. 34

Claims (25)

1. An integrated circuit comprising: a power gated circuit block; 5 a plurality of power switches coupled between a global voltage node and a virtual voltage node of the power-gated circuit block, wherein the plurality of power switches are configured to be activated sequentially responsive to receiving an enable signal, and wherein the plurality of power switches are configured to be activated initially at a first rate and further configured to be activated at a 10 minimum of a second rate greater than the first rate responsive to detectingan increase of the voltage on the virtual voltage node.
2. The integrated circuit as recited in claim 1, the integrated circuit as recited in claim 1, wherein the second rate is greater than the first rate. 15
3. The integrated circuit as recited in claim 1, further comprising a delay chain having a plurality of serially-coupled delay elements, wherein an output of each of the serially-coupled delay elements is coupled to a corresponding one of the power switches, wherein the delay chain is configured to receive and 20 propagate the enable signal through the delay chain, wherein one or more of the plurality of delay elements is configured to reduce an amount of delay provided to the enable signal responsive to the voltage on the virtual voltage node being greater than or equal to a threshold voltage. 25
4. The integrated circuit as recited in claim 3, further comprising a voltage detection circuit coupled to the virtual voltage node, wherein the voltage detection circuit is configured to provide to the one or more of the plurality of delay elements an indication that the voltage on the virtual voltage node is greater than or equal to the threshold voltage. 30
5. The integrated circuit as recited in claim 1, wherein each of the plurality of power switches is coupled to an output of a delay element, and wherein 35 the power-gated circuit block is configured such that a number of delay elements concurrently driven increases concurrent with an increase in the voltage on the virtual voltage node. 5
6. A method comprising: sequentially activating, at a first rate, a first subset of a plurality of power switches coupled between a global voltage node and a virtual voltage node of a power gated circuit block in an integrated circuit; 10 sequentially activating, at a second rate greater than the first rate, a second subset of the plurality of power switches responsive to detecting an increase of a magnitude of a voltage on the virtual voltage node.
7. The method as recited in claim 6, wherein each of the plurality of power 15 switches is coupled to an output of a corresponding one of a plurality of serially coupled delay elements, and wherein the method further comprises: providing an enable signal to a first one of the plurality of serially-coupled delay elements; propagating the enable signal through the plurality of serially-coupled 20 delay elements; responsive to each delay element outputting the enable signal, activating a corresponding one of the plurality of power switches; and reducing an amount of delay provided by each of at least a subset of the plurality of serially-coupled delay elements responsive to determining that the 25 voltage on the virtual voltage node is greater than a threshold voltage.
8. The method as recited in claim 7, wherein each of the plurality of delay elements is coupled to the virtual voltage node, and wherein each of the plurality of delay elements is configured to reduce its respective amount of delay 30 responsive to an increase of the voltage on the virtual voltage node.
9. The method as recited in claim 7, further comprising: 36 a voltage detection unit providing an indication to each of the plurality of delay elements of responsive to detecting that the voltage on the virtual voltage node is greater than or equal to the threshold voltage; and reducing the amount of delay provided by each of the plurality of delay 5 elements responsive to the voltage detection unit providing the indication.
10. The method as recited in claim 6, further comprising activating two or more of the plurality of power switches in parallel concurrent with an increase in the voltage on the virtual voltage node. 10
11. An integrated circuit comprising: a first delay chain having a first plurality of delay elements; a plurality of power switches coupled between a supply voltage node and a virtual voltage node, wherein the plurality of power switches are configured to 15 provide a supply voltage to the virtual voltage node responsive to receiving an enable signal, wherein each of the plurality of power switches is coupled to an output of a corresponding one of the first plurality of delay elements, wherein the plurality of power switches are arranged to activate sequentially responsive to the enable signal propagating through the first delay chain; and 20 a power control unit configured to assert the enable signal, wherein the first delay chain is coupled to receive the enable signal from the power control unit; wherein, subsequent to the power control unit asserting the enable signal, the first plurality of delay elements is configured to reduce a respective amount of 25 delay provided by the first delay chain responsive to detecting an increase of a voltage on the virtual voltage node.
12. The integrated circuit as recited in claim 11, wherein each of the first plurality of delay elements is coupled to the virtual voltage node, and wherein an 30 amount of delay provided by each of the delay elements is dependent upon the voltage on the virtual voltage node. 37
13. The integrated circuit as recited in claim 11, further comprising a voltage detection circuit coupled to the virtual voltage node and each of the first plurality of delay elements, wherein the voltage detection circuit is configured to compare 5 a voltage present on the virtual voltage node to a predetermined level and, responsive to determining that the virtual voltage is at least at the predetermined level, provide an indication to the plurality of delay elements, wherein the plurality of delay elements are configured to reduce an amount of delay provided by the first delay chain responsive to receiving the indication. 10
14. The integrated circuit as recited in claim 11, further comprising a plurality of delay chains including the first delay chain and a second delay chain having a second plurality of delay elements, wherein the second delay chain is arranged in parallel with the first delay chain, wherein a respective output of each of the 15 second plurality of delay elements is coupled to a corresponding one of the plurality of power switches, and wherein the power control unit is configured activate the second delay chain responsive to determining that the voltage on the virtual voltage node has reached a predetermined level. 20
15. The integrated circuit as recited in claim 11, wherein each of the plurality of power switches is a transistor having a source terminal coupled to the supply voltage node, a drain terminal coupled to the virtual voltage node, and a gate terminal coupled to an output of a respective one of the plurality of delay elements. 25
16. A method comprising: providing an enable signal to a first delay chain comprising a first plurality of serially-coupled delay elements; propagating the enable signal through the first delay chain at a first rate; 30 sequentially activating each of a first plurality of power transistors responsive to propagating the enable signal through the delay chain at the first rate, wherein each of the first plurality of power transistors and of a second plurality of power 38 transistors is coupled between a global voltage node and a local voltage node of a power gated functional unit of an integrated circuit; propagating the enable signal through the first delay chain at a second rate greater than the first rate responsive to determining that a voltage on the local 5 voltage node is greater than a threshold voltage; and sequentially activating each of the second plurality of transistors responsive to propagating the enable signal at the second rate.
17. The method as recited in claim 16, further comprising reducing an amount 10 of delay provided by each of the first and second plurality of delay elements responsive to determining that the voltage on the local voltage node is greater than the threshold voltage.
18. The method as recited in claim 17, wherein each of the first and second 15 plurality of delay elements are coupled to the virtual voltage node, and wherein each of the first and second plurality of delay elements is configured to reduce a respective amount of delay responsive to an increase of voltage on the virtual voltage node. 20
19. The method as recited in claim 17, further comprising a control unit providing an indication to each of the first and second plurality of delay elements responsive to determining that the voltage on the local voltage node is greater than the threshold voltage, wherein each of the first and second plurality of delay elements are configured to reduce a respective amount of delay responsive to 25 receiving the indication.
20. The method as recited in claim 16, further comprising providing the enable signal to a second delay chain in parallel with the first delay chain responsive to determining that a voltage on the local voltage node is greater than a 30 threshold voltage, wherein the second delay chain includes a second plurality of serially-coupled delay elements each coupled to a corresponding of each of a second plurality of power transistors. 39
21. An integrated circuit comprising: a power gated functional unit having a local voltage supply node and logic circuitry coupled to the local voltage supply node; 5 a plurality of transistors coupled between the local voltage supply node and a global voltage supply node, wherein the plurality of transistors are configured to, when active, electrically couple the local voltage supply node to the global voltage supply node; a first plurality of serially-coupled delay elements each having a respective 10 output coupled to a gate terminal of a corresponding one of the plurality of transistors, wherein the first plurality of serially-coupled delay elements is configured to receive and propagate an enable signal, and wherein each of the plurality of transistors is configured to activate responsive to receiving the enable signal on its respective gate terminal; 15 wherein the first plurality of serially coupled delay elements is configured propagate the enable signal at a first rate responsive to a voltage on the local voltage supply node being less than a threshold value, and further configured to propagate the enable signal at a second rate greater than the first rate responsive to detecting the voltage on the local voltage supply node is greater than or equal to 20 the threshold value.
22. The integrated circuit as recited in claim 21, further comprising a power control unit configured to determine if the voltage on the local voltage supply node is greater than or equal to the threshold value. 25
23. The integrated circuit as recited in claim 22, wherein the power control unit is configured to assert an indication responsive to determining that the voltage on the local voltage supply node is greater than or equal to the threshold value, and wherein at least a subset of the of the first plurality of serially-coupled 30 delay elements are configured to reduce a respective amount of delay responsive to the power control unit asserting the indication. 40
24. The integrated circuit as recited in claim 22, wherein the power control unit is configured to activate a second plurality of serially-coupled delay elements responsive to determining that the voltage on the local voltage supply node is 5 greater than or equal to the threshold value, wherein the second plurality of serially-coupled delay elements is in parallel with the first plurality of serially coupled delay elements, wherein each of the second plurality of serially-coupled delay elements is coupled to a gate terminal of a corresponding one of the plurality of transistors. 10
25. The integrated circuit as recited in claim 21, wherein each of the first plurality of serially-coupled delay elements is coupled to the local voltage supply node, and wherein each of the first plurality of serially-coupled delay elements is configured to reduce an amount of delay provided responsive to an increase in the 15 voltage on the local voltage supply node. 41
AU2012227272A 2011-10-31 2012-09-21 Power switch acceleration scheme for fast wakeup Active AU2012227272B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/285,269 2011-10-31
US13/285,269 US8542054B2 (en) 2011-10-31 2011-10-31 Power switch acceleration scheme for fast wakeup

Publications (2)

Publication Number Publication Date
AU2012227272A1 AU2012227272A1 (en) 2013-05-16
AU2012227272B2 true AU2012227272B2 (en) 2013-12-19

Family

ID=47351383

Family Applications (1)

Application Number Title Priority Date Filing Date
AU2012227272A Active AU2012227272B2 (en) 2011-10-31 2012-09-21 Power switch acceleration scheme for fast wakeup

Country Status (9)

Country Link
US (3) US8542054B2 (en)
EP (1) EP2587672B1 (en)
JP (1) JP5828169B2 (en)
KR (1) KR101404897B1 (en)
CN (1) CN103095261B (en)
AU (1) AU2012227272B2 (en)
BR (1) BR102012024927A2 (en)
TW (1) TWI478494B (en)
WO (1) WO2013066517A1 (en)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8786309B2 (en) * 2011-10-31 2014-07-22 Apple Inc. Multi-path power switch scheme for functional block wakeup
CN108509021B (en) * 2011-11-21 2021-11-09 英特尔公司 Reconfigurable graphics processor for performance improvement
KR102081564B1 (en) * 2013-12-04 2020-02-26 삼성전자 주식회사 Power gating circuit and electronic system comprising the same
US9746501B1 (en) 2014-09-29 2017-08-29 Microsemi Solutions (U.S.), Inc. Apparatus for voltage detection in an integrated circuit
CN104850210B (en) * 2015-04-30 2017-12-01 华为技术有限公司 A kind of power gating circuit
US10152112B2 (en) 2015-06-10 2018-12-11 Sonics, Inc. Power manager with a power switch arbitrator
US9852859B2 (en) 2015-12-28 2017-12-26 Qualcomm Incorporated Adjustable power rail multiplexing
US9711210B1 (en) * 2016-04-08 2017-07-18 Jeng-Jye Shau Low power high performance electrical circuits
US9705491B1 (en) 2016-05-18 2017-07-11 Qualcomm Incorporated Apparatus and method for supplying power to portion of integrated circuit via weak-strong and strong-only switch cells
CN107538484B (en) * 2016-06-28 2020-07-07 沈阳新松机器人自动化股份有限公司 Upper computer debugging device applied to robot motor
KR102478114B1 (en) * 2016-08-05 2022-12-16 에스케이하이닉스 주식회사 Current break circuit, semiconductor device having the same and operating method thereof
US10659053B2 (en) * 2017-02-22 2020-05-19 Honeywell International Inc. Live power on sequence for programmable devices on boards
US11159154B2 (en) * 2017-03-22 2021-10-26 Intel Corporation Power gate ramp-up control apparatus and method
US10261563B1 (en) * 2017-12-12 2019-04-16 Apple Inc. Hybrid power switch
US10732697B2 (en) * 2018-05-14 2020-08-04 Qualcomm Incorporated Voltage rail coupling sequencing based on upstream voltage rail coupling status
US11204384B1 (en) 2018-09-21 2021-12-21 Apple Inc. Methods and systems for switchable logic to recover integrated circuits with short circuits
US10620676B1 (en) 2018-10-11 2020-04-14 Analog Devices Global Unlimited Company Wake-up control circuit for power-gated integrated circuits
CN109582072B (en) * 2018-12-26 2020-04-24 联想(北京)有限公司 Control method and device and electronic equipment
US10459510B1 (en) 2019-01-17 2019-10-29 Qualcomm Incorporated Power chain with delay adaptive switches
US10915157B2 (en) 2019-02-14 2021-02-09 Qualcomm Incorporated Dynamic power switch control scheme
JP2020174323A (en) * 2019-04-12 2020-10-22 ルネサスエレクトロニクス株式会社 Semiconductor device
US11514551B2 (en) 2020-09-25 2022-11-29 Intel Corporation Configuration profiles for graphics processing unit
CN114443137A (en) * 2020-10-30 2022-05-06 中科寒武纪科技股份有限公司 Integrated computing device, chip, board card, equipment and computing method
US11676897B2 (en) * 2021-05-26 2023-06-13 Qualcomm Incorporated Power gating switch tree structure for reduced wake-up time and power leakage

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060055391A1 (en) * 2004-08-26 2006-03-16 International Business Machines Corporation Power-gating cell for virtual power rail control
US20110198941A1 (en) * 2010-02-15 2011-08-18 Shingo Suzuki Power Switch Ramp Rate Control Using Daisy-Chained Flops

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5345376A (en) 1993-02-19 1994-09-06 Tescom Corporation Switching power supply with electronic isolation
JP2003168735A (en) * 2001-11-30 2003-06-13 Hitachi Ltd Semiconductor integrated circuit device
DE10355509A1 (en) * 2003-11-27 2005-07-07 Infineon Technologies Ag Circuit and method for delayed switching on of an electrical load
US7590962B2 (en) 2003-12-17 2009-09-15 Sequence Design, Inc. Design method and architecture for power gate switch placement
US7570100B2 (en) * 2004-08-16 2009-08-04 Texas Instruments Incorporated Potential and rate adjust header switch circuitry reducing transient current
US7126370B2 (en) 2004-10-28 2006-10-24 International Business Machines Corporation Power gating techniques able to have data retention and variability immunity properties
EP1834406A1 (en) * 2004-11-30 2007-09-19 Freescale Semiconductor Inc. Apparatus and method for reducing power consumption using selective power gating
US7659746B2 (en) * 2005-02-14 2010-02-09 Qualcomm, Incorporated Distributed supply current switch circuits for enabling individual power domains
US7598630B2 (en) 2005-07-29 2009-10-06 Intel Corporation IC with on-die power-gating circuit
US7088131B1 (en) 2005-07-29 2006-08-08 International Business Machines Corporation System and method for power gating
US7536568B2 (en) 2005-12-01 2009-05-19 Covidien Ag Ultra low power wake-up circuit
US7385435B2 (en) 2006-06-29 2008-06-10 Intel Corporation Programmable power gating circuit
US8015419B2 (en) 2006-08-31 2011-09-06 Ati Technologies Ulc Method and apparatus for soft start power gating with automatic voltage level detection
KR100806127B1 (en) 2006-09-06 2008-02-22 삼성전자주식회사 Power gating circuit and method for reducing peak current
JP2008065732A (en) * 2006-09-11 2008-03-21 Nec Electronics Corp Design method and design system for semiconductor integrated circuit
US7737720B2 (en) * 2007-05-03 2010-06-15 Arm Limited Virtual power rail modulation within an integrated circuit
US7977822B2 (en) 2007-11-05 2011-07-12 Arm Limited Dynamically changing control of sequenced power gating
US7898278B2 (en) * 2007-11-05 2011-03-01 Arm Limited Power control circuitry, circuitry for analysing a switched power rail, and method of controlling connection of a power source to a switched power rail
US7873923B2 (en) 2008-02-28 2011-01-18 International Business Machines Corporation Power gating logic cones
US8179109B2 (en) 2008-03-06 2012-05-15 Infineon Technologies Austria Ag Methods and apparatus for a power supply with sequentially-activated segmented power switch
US8089259B2 (en) * 2008-10-30 2012-01-03 Freescale Semiconductor, Inc. Integrated circuit and a method for recovering from a low-power period
JP5398257B2 (en) * 2008-12-25 2014-01-29 ルネサスエレクトロニクス株式会社 Semiconductor device and method for controlling switch transistor thereof
US8327163B2 (en) 2009-02-27 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and methods for programmable power-up sequence
JP5388663B2 (en) * 2009-04-08 2014-01-15 株式会社東芝 Semiconductor integrated circuit device
JP2011114773A (en) * 2009-11-30 2011-06-09 Elpida Memory Inc Semiconductor device, method of controlling the same, and system employing the same
US8421499B2 (en) * 2010-02-15 2013-04-16 Apple Inc. Power switch ramp rate control using programmable connection to switches
JP2011199113A (en) * 2010-03-23 2011-10-06 Fujitsu Ltd Analyzer and semiconductor device
JP2011199094A (en) * 2010-03-23 2011-10-06 Renesas Electronics Corp Semiconductor integrated circuit and method of controlling power switch of the semiconductor integrated circuit
US8504967B2 (en) * 2010-09-10 2013-08-06 Apple Inc. Configurable power switch cells and methodology
US8400862B2 (en) 2010-10-08 2013-03-19 Analog Devices, Inc. Wake-up control circuit for power-gated IC
JP5541143B2 (en) * 2010-12-21 2014-07-09 富士通株式会社 Semiconductor device
JP2012227269A (en) * 2011-04-18 2012-11-15 Renesas Electronics Corp Semiconductor device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060055391A1 (en) * 2004-08-26 2006-03-16 International Business Machines Corporation Power-gating cell for virtual power rail control
US20110198941A1 (en) * 2010-02-15 2011-08-18 Shingo Suzuki Power Switch Ramp Rate Control Using Daisy-Chained Flops

Also Published As

Publication number Publication date
EP2587672A3 (en) 2014-01-08
US20130335133A1 (en) 2013-12-19
KR101404897B1 (en) 2014-06-09
TWI478494B (en) 2015-03-21
JP2013097790A (en) 2013-05-20
US20140300407A1 (en) 2014-10-09
US9337825B2 (en) 2016-05-10
BR102012024927A2 (en) 2013-11-12
US8542054B2 (en) 2013-09-24
CN103095261B (en) 2015-10-21
JP5828169B2 (en) 2015-12-02
EP2587672A2 (en) 2013-05-01
AU2012227272A1 (en) 2013-05-16
EP2587672B1 (en) 2018-04-11
WO2013066517A1 (en) 2013-05-10
KR20130047576A (en) 2013-05-08
US20130106494A1 (en) 2013-05-02
TW201334409A (en) 2013-08-16
US8779836B2 (en) 2014-07-15
CN103095261A (en) 2013-05-08

Similar Documents

Publication Publication Date Title
AU2012227272B2 (en) Power switch acceleration scheme for fast wakeup
US8786309B2 (en) Multi-path power switch scheme for functional block wakeup
US8421499B2 (en) Power switch ramp rate control using programmable connection to switches
US8362805B2 (en) Power switch ramp rate control using daisy-chained flops
US8026741B2 (en) CMOS circuitry with mixed transistor parameters
US9564898B2 (en) Power switch ramp rate control using selectable daisy-chained connection of enable to power switches or daisy-chained flops providing enables
US8504967B2 (en) Configurable power switch cells and methodology
US8769332B2 (en) Regional clock gating and dithering
EP3189394B1 (en) Supply voltage node coupling using a switch
US10331196B2 (en) Reduced setup time clock gating circuit
US8120208B2 (en) Impedance-based power supply switch optimization
EP3707579B1 (en) Grouping central processing unit memories based on dynamic clock and voltage scaling timing to improve dynamic/leakage power using array power multiplexers
EP2429079B1 (en) Configurable power switch cells and methodology

Legal Events

Date Code Title Description
FGA Letters patent sealed or granted (standard patent)