WO2011094143A2 - Apparatus for controlling temperature uniformity of a showerhead - Google Patents

Apparatus for controlling temperature uniformity of a showerhead Download PDF

Info

Publication number
WO2011094143A2
WO2011094143A2 PCT/US2011/022202 US2011022202W WO2011094143A2 WO 2011094143 A2 WO2011094143 A2 WO 2011094143A2 US 2011022202 W US2011022202 W US 2011022202W WO 2011094143 A2 WO2011094143 A2 WO 2011094143A2
Authority
WO
WIPO (PCT)
Prior art keywords
showerhead
flow
heat transfer
flow paths
transfer fluid
Prior art date
Application number
PCT/US2011/022202
Other languages
French (fr)
Other versions
WO2011094143A3 (en
Inventor
Kallol Bera
James D. Carducci
Hamid Noorbakhsh
Larry D. Elizaga
Jr. Douglas A. Buchberger
Andrew Nguyen
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2011094143A2 publication Critical patent/WO2011094143A2/en
Publication of WO2011094143A3 publication Critical patent/WO2011094143A3/en

Links

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F28HEAT EXCHANGE IN GENERAL
    • F28FDETAILS OF HEAT-EXCHANGE AND HEAT-TRANSFER APPARATUS, OF GENERAL APPLICATION
    • F28F7/00Elements not covered by group F28F1/00, F28F3/00 or F28F5/00
    • F28F7/02Blocks traversed by passages for heat-exchange media
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Definitions

  • Embodiments of the present invention generally relate to apparatus for substrate processing.
  • cooling channels may be provided in a gas distribution apparatus, or showerhead, to facilitate cooling a processing volume-facing faceplate of the showerhead during processing to maintain a desired temperature profile on the faceplate.
  • the cooling channels are typically configured to facilitate providing a desired temperature profile of the showerhead faceplate during substrate processing.
  • the inventors have provided an improved apparatus for controlling the temperature profile of a faceplate of a showerhead.
  • an apparatus for controlling thermal uniformity of a substrate-facing surface of a showerhead is provided herein.
  • the thermal uniformity of the substrate facing surface of the showerhead may be controlled to be more uniform.
  • the thermal uniformity of the substrate facing surface of the showerhead may be controlled to be non-uniform in a desired pattern.
  • an apparatus for controlling thermal uniformity of a substrate- facing surface of a showerhead may include a showerhead having a substrate facing surface and one or more plenums for providing one or more process gases through a plurality of gas distribution holes formed through the substrate facing surface of the showerhead; and a plurality of flow paths having a substantially equivalent fluid conductance disposed within the showerhead to flow a heat transfer fluid.
  • an apparatus for controlling thermal uniformity of a substrate-facing surface of a showerhead may include a showerhead having a substrate facing surface and one or more plenums for providing one or more process gases through a plurality of gas distribution holes formed through the substrate facing surface of the showerhead; and a flow path disposed within the showerhead and having an inlet and an outlet to flow a heat transfer fluid through the flow path, wherein the flow path comprises a first portion and a second portion, each portion having a substantially equivalent axial length, wherein the first portion is spaced about 2 mm to about 10 mm from the second portion, and wherein the first portion provides a flow of heat transfer fluid in a direction opposite a flow of heat transfer fluid of the second portion.
  • an apparatus for controlling thermal uniformity of a substrate-facing surface of a showerhead may include a showerhead having a substrate facing surface and one or more plenums for providing one or more process gases through a plurality of gas distribution holes formed through the substrate facing surface of the showerhead; and a first flow path and a second flow path disposed within the showerhead, each having an inlet and an outlet to flow a heat transfer fluid through the respective flow path, wherein each flow path has a substantially equivalent axial length, and wherein the first flow path and the second flow path a inversely symmetrical about an axis that passes through a central axis of the showerhead.
  • Figure 1 depicts a process chamber having a showerhead in accordance with some embodiments of the present invention.
  • Figure 1A depicts a cross-sectional side view of a showerhead in accordance with some embodiments of the present invention.
  • Figures 2-6 depict partial cross sectional top views of a showerhead in accordance with some embodiments of the present invention.
  • Figure 7 depicts a heat transfer fluid flow path of a showerhead in accordance with some embodiments of the present invention.
  • Figure 8 depicts a partial cross sectional top view of a showerhead in accordance with some embodiments of the present invention.
  • Embodiments of the present invention provide apparatus for controlling the temperature of a showerhead during processing.
  • the apparatus may control the thermal uniformity of the showerhead during processing.
  • the thermal uniformity of the showerhead may be controlled to be more uniform.
  • the thermal uniformity of the showerhead may be controlled to be non-uniform in a desired pattern.
  • the inventive apparatus may advantageously provide one or more flow paths which provide a counter flow of heat transfer fluid, thereby facilitating control of a temperature profile across a faceplate of a showerhead.
  • the inventive apparatus may advantageously provide a showerhead having a plurality of flow paths which provide an increased flow rate of heat transfer fluid, thereby facilitating control of temperature across a faceplate of the showerhead.
  • Figure 1 depicts a process chamber 100 suitable for use in connection with an apparatus for controlling temperature uniformity of a showerhead in accordance with some embodiments of the present invention.
  • Exemplary process chambers may include the DPS ® , ENABLER ® , SIGMATM, ADVANTEDGETM, or other process chambers, available from Applied Materials, Inc. of Santa Clara, California. It is contemplated that other suitable chambers include any chambers that use showerheads to perform substrate fabrication processes.
  • the process chamber 100 generally comprises a chamber body 102 defining an inner processing volume 104 and an exhaust volume 106.
  • the inner processing volume 104 may be defined, for example, between a substrate support 108 disposed within the process chamber 100 for supporting a substrate 1 10 thereupon during processing and one or more gas inlets, such as a showerhead 1 14 and/or nozzles provided at desired locations.
  • the exhaust volume may be defined, for example, between the substrate support 108 and a bottom of the process chamber 102.
  • the substrate support 108 generally comprises a body 143 having a substrate support surface 141 for supporting a substrate 1 10 thereon.
  • the substrate support 108 may include a mechanism that retains or supports the substrate 1 10 on the surface of the substrate support 108, such as an electrostatic chuck, a vacuum chuck, a substrate retaining clamp, or the like (not shown).
  • the substrate support 108 may include a radio frequency (RF) bias electrode 168.
  • the RF bias electrode may be coupled to one or more RF bias power sources through one or more respective matching networks (one RF bias power source 148A and one matching network 146A shown in Figure 1 ).
  • the one or more bias power sources may be capable of producing up to 12000 W at a frequency of about 2 MHz, or about 13.56 MHz, or about 60 MHz.
  • two bias power sources may be provided for coupling RF power through respective matching networks to the RF bias electrode at a frequency of about 2 MHz and about 13.56 MHz.
  • three bias power sources may be provided for coupling RF power through respective matching networks to the RF bias electrode at a frequency of about 2 MHz, about 13.56 MHz, and about 60 MHz.
  • the at least one bias power source may provide either continuous or pulsed power.
  • the bias power source may be a DC or pulsed DC source.
  • the substrate support 108 may include one or more mechanisms for controlling the temperature of the substrate support surface 141 and the substrate 1 10 disposed thereon.
  • one or more channels may be provided to define one or more flow paths beneath the substrate support surface to flow a heat transfer fluid similar to as described below with respect to the showerhead 1 14. Additional details of apparatus for controlling the temperature of the substrate support may be found in United States Patent Application 61 /298,671 , filed January 27, 2010 by K. Bera, ei a/., and entitled, "APPARATUS FOR CONTROLLING TEMPERATURE UN IFORMITY OF A SUBSTRATE,” which is hereby incorporated by reference in its entirety.
  • the one or more gas inlets may be coupled to a gas supply 1 16 for providing one or more process gases into the processing volume 104 of the process chamber 100.
  • a gas supply 1 16 for providing one or more process gases into the processing volume 104 of the process chamber 100.
  • additional gas inlets may be provided such as nozzles or inlets disposed in the ceiling or on the sidewalls of the process chamber 100 or at other locations suitable for providing gases as desired to the process chamber 100, such as the base of the process chamber, the periphery of the substrate support, or the like.
  • one or more RF plasma power sources may be coupled to the process chamber 102 through one or more matching networks 146B for providing power for processing.
  • the apparatus 100 may utilize capacitively coupled RF power provided to an upper electrode proximate an upper portion of the process chamber 102.
  • the upper electrode may be a conductor in an upper portion of the process chamber 102 or formed, at least in part, by one or more of the ceiling 142, the showerhead 1 14, or the like, fabricated from a suitable conductive material.
  • the one or more RF plasma power sources 148B may be coupled to a conductive portion of the ceiling 142 of the process chamber 102 or to a conductive portion of the showerhead 1 14.
  • the ceiling 142 may be substantially flat, although other types of ceilings, such as dome-shaped ceilings or the like, may also be utilized.
  • the one or more plasma sources may be capable of producing up to 5000 W at a frequency of about 2 MHz and/or about 13.56 MHz, or higher frequency, such as 27 MHz and/or 60 MHz and/or 162 MHz.
  • two RF power sources may be coupled to the upper electrode through respective matching networks for providing RF power at frequencies of about 2 MHz and about 13.56 MHz.
  • the one or more RF power sources may be coupled to inductive coil elements (not shown) disposed proximate the ceiling of the process chamber 102 to form a plasma with inductively coupled RF power.
  • the inner process volume 104 may be fluidly coupled to the exhaust system 120.
  • the exhaust system 120 may facilitate uniform flow of the exhaust gases from the inner process volume 104 of the process chamber 102.
  • the exhaust system 120 generally includes a pumping plenum 124 and a plurality of conduits (not shown) that couple the pumping plenum 124 to the inner process volume 104 of the process chamber 102.
  • Each conduit has an inlet 122 coupled to the inner process volume 104 (or, in some embodiments, the exhaust volume 106) and an outlet (not shown) fluidly coupled to the pumping plenum 124.
  • each conduit may have an inlet 122 disposed in a lower region of a sidewall or a floor of the process chamber 102.
  • the inlets are substantially equidistantly spaced from each other.
  • a vacuum pump 128 may be coupled to the pumping plenum 124 via a pumping port 126 for pumping out the exhaust gases from the process chamber 102.
  • the vacuum pump 128 may be fluidly coupled to an exhaust outlet 132 for routing the exhaust as required to appropriate exhaust handling equipment.
  • a valve 130 (such as a gate valve, or the like) may be disposed in the pumping plenum 124 to facilitate control of the flow rate of the exhaust gases in combination with the operation of the vacuum pump 128. Although a z-motion gate valve is shown, any suitable, process compatible valve for controlling the flow of the exhaust may be utilized.
  • the substrate 1 10 may enter the process chamber 100 via an opening 1 12 in the chamber body 102.
  • the opening 1 12 may be selectively sealed via a slit valve 1 18, or other mechanism for selectively providing access to the interior of the chamber through the opening 1 12.
  • the substrate support 108 may be coupled to a lift mechanism 134 that may control the position of the substrate support 108 between a lower position (as shown) suitable for transferring substrates into and out of the chamber via the opening 1 12 and a selectable upper position suitable for processing.
  • the process position may be selected to maximize process uniformity for a particular process step.
  • the substrate support 108 When in at least one of the elevated processing positions, the substrate support 108 may be disposed above the opening 1 12 to provide a symmetrical processing region.
  • the chamber may be pumped down to a pressure suitable for forming a plasma and one or more process gases may be introduced into the chamber via the showerhead 1 14 (and/or other gas inlets).
  • RF power may be provided to strike and maintain a plasma from the process gases to process the substrate.
  • the temperature of the showerhead 1 14 may be controlled to provide a more uniform temperature profile across a substrate-facing surface of the showerhead 1 14.
  • Figure 1A depicts a cross-sectional side view of a showerhead in accordance with some embodiments of the present invention.
  • the showerhead 1 14 generally includes one or more plenums 150 coupled via a plurality of conduits 152 to a plurality of gas distribution holes 154 for providing process gases to the process chamber in a desired pattern.
  • the plenums 150 may be arranged in zones and may be coupled to the gas supply 1 16 to provide one or more process gases to the plenums 150.
  • the plenums 150 may be disposed between a first plate 156 and a second plate 158.
  • the plenums may be formed in either plate or partially in both plates.
  • the plenums 150 are formed by recesses in the second plate 158 with the first plate 156 providing a cap which covers the recesses to define the plenums 150.
  • the width between the plenums 150, or the contact width contact width (e.g., 170 in Figure 1 A) between the first plate 156 and the second plate 158 may be between about 0.4 inches to about 4.0 inches.
  • the contact width between the first and second plates 156, 158 may vary among the different contact locations (such as the center, middle, and edge as depicted in Figure 1 A) as desired to provide additional control over the rate and/or pattern of thermal transfer between the first and second plates 156, 158.
  • the substrate facing side of the showerhead 1 14 may be provided by a substrate facing surface of a third plate (or faceplate) 160 bonded via a bond layer 162 to the second plate 158.
  • the faceplate 160 includes a plurality of holes 154 having a size and geometry to provide the process gases from the plenum into the chamber in a desired volume and pattern.
  • a recess 164 may be provided in the substrate-facing side of the second plate 158 (or alternatively in the faceplate 160, or partially in both the body and the faceplate) to couple a plurality of the plurality of holes 154 to a single one or more of the conduits 152.
  • the third plate 160 may be fabricated from silicon carbide.
  • the showerhead 1 14 may include one or more mechanisms for controlling the temperature of the showerhead 1 14.
  • one or more heaters may be disposed proximate the showerhead 1 14 to further facilitate control over the temperature of the faceplate 160 of the showerhead 1 14.
  • the second plate 158 may include one or more heater elements 166.
  • the heater elements 166 may have a desired size and pattern to provide heat to the showerhead when desired to maintain a desired temperature and/or thermal profile across the substrate-facing surface of the showerhead 1 14, such as across the faceplate 160. As shown in Figure 1A, two concentric, annular heater elements 166 are shown, although other numbers and configurations may be used.
  • the heaters may be any type of heater suitable to provide control over the temperature profile of the substrate-facing surface of the showerhead 1 14.
  • the heater may be one or more resistive heaters.
  • the heaters may be disposed below the plenums 150 (e.g., between the plenums 150 and the substrate facing surface of the showerhead 1 14, or the faceplate 160).
  • the number and arrangement of the one or more heaters may be varied to provide additional control over the temperature profile of the substrate-facing surface of the showerhead 1 14.
  • the heaters may be arranged in a plurality of zones to facilitate control over the temperature across the substrate-facing surface of the showerhead 1 14, thus providing increased temperature control.
  • one or more channels 140 may be provided, for example in the first plate 156, to define one or more flow paths (described more fully below with respect to Figures 2-8) to flow a heat transfer fluid therethrough.
  • the heat transfer fluid may comprise any fluid suitable to provide adequate transfer of heat to or from the showerhead 1 14.
  • the heat transfer fluid may be a gas, such as helium (He), oxygen (0 2 ), or the like, or a liquid, such as water, antifreeze, or an alcohol, for example, glycerol, ethylene glycerol, propylene, methanol, or refrigerant fluid such as FREON ® (e.g., a chlorofluorocarbon or hydrochlorofluorocarbon refrigerant), ammonia or the like.
  • a gas such as helium (He), oxygen (0 2 ), or the like
  • a liquid such as water, antifreeze
  • an alcohol for example, glycerol, ethylene glycerol, propylene, methanol
  • refrigerant fluid such as FREON ® (e.g., a chlorofluorocarbon or hydrochlorofluorocarbon refrigerant), ammonia or the like.
  • FREON ® e.g., a chlorofluorocarbon or hydrochloroflu
  • a heat transfer fluid source 136 may be coupled to the channels 140 to provide the heat transfer fluid to the one or more channels 140.
  • the heat transfer fluid source 136 may comprise a temperature control device, for example a chiller or heater, to control the temperature of the heat transfer fluid.
  • One or more valves 139 (or other flow control devices) may be provided between the heat transfer fluid source 136 and the one or more channels 140 to independently control a rate of flow of the heat transfer fluid to each of the one or more channels 140.
  • a controller 137 may control the operation of the one or more valves 139 and/or of the heat transfer fluid source 136.
  • the one or more channels 140 may be formed within the showerhead 1 14, or the first plate 156, via any means suitable to form the one or more channels 140 having dimensions adequate to flow a heat transfer fluid therethrough.
  • at least a portion of the one or more channels 140 may be partially machined into one or both of a separable top portion 155 and bottom portion 157 of the first plate 156.
  • the one or more channels 140 may be fully machined into one of the top portion or bottom portion of the first plate 156.
  • the other portion may provide a cap of the channels 140 or an insert may be disposed in a portion of each channel 140 to provide a cap.
  • the one or more channels 140 comprise a plurality of channels having substantially equivalent fluid conductance and residence time.
  • other features may be included in the one or more channels 140 to improve heat transfer between the heat transfer fluid and the substrate facing surface 1 14.
  • one or more fins 168 may be included within each of the one or more channels 140 extending partially or wholly across the one or more channels 140. The fin 168 may provide an increased surface area available for heat transfer, thereby enhancing the heat transfer between the heat transfer fluid flowing through the one or more channels 140 and the showerhead 1 14.
  • the one or more channels 140 may be configured in any manner suitable to provide adequate control over temperature profile across the substrate-facing surface of the showerhead 1 14 during use.
  • one channel 140 may be formed within the showerhead 1 14 defining a single flow path 202 having a counter flow configuration.
  • An inlet 206 may be coupled to a first end 205 of the flow path 202 and an outlet 204 coupled to a second end 207 of the flow path 202, thus facilitating a flow of heat transfer fluid from the inlet 206 to the outlet 204.
  • the inlet 206 may be coupled to a heat transfer fluid source to provide the heat transfer fluid, as described above with respect to Figure 1 .
  • the channel 140 (e.g., flow path 202) may be routed around objects in the showerhead, such as gas lines to the plenums 140, or the like.
  • the flow path 202 may comprise a first portion 210 fluidly coupled to a second portion 212 via a loop or coupling 208.
  • the first portion 210 and second portion 212 each have a substantially equivalent axial length.
  • the axial length is defined as the axial distance between the inlet 206 and the loop 208 for the first portion 210, and the distance between the loop 208 and the outlet 204 for the second portion 212.
  • the first portion 210 and second portion 212 may be disposed proximate one another to facilitate a heat transfer between the first portion 210 and second portion 212.
  • the distance between the first portion 210 and second portion 212 may be about 2 mm to about 30 mm, or between about 2 mm to about 10 mm.
  • the first portion 210 and second portion 212 are configured to provide a counter flow (flow in opposite direction) of heat transfer fluid having different temperatures, allowing for a heat transfer from a hotter portion of the heat transfer fluid to a cooler portion of the heat transfer fluid, thus improving temperature uniformity between the first portion 210 and second portion 212 at equivalent positions along the respective portions.
  • the inlet 206 and the outlet 204 may be disposed proximate each other and the first and second portions 210, 212 of the flow path 202 may together generally wind radially inward toward a center point 214 of the substrate support 108 then loop back and generally wind radially outward until the end of the first and second portions 210, 212 is reached at the loop or coupling 208.
  • the inward and outward winding of the first and second portions 210, 212 may be interleaved. With the inlet and the outlet near center, the flow path can first wind outward towards the periphery, then wind inward towards the center.
  • Such a configuration advantageously provides a flow path having dual counter flow - a first counter flow configuration as between immediately adjacent regions of the first and second portions 210, 212 of the flow path 202, and a second counter flow configuration due to the interleaved winding of the adjacent first and second portions 210, 212.
  • the dual counter flow configuration advantageously provides a low temperature difference between maximum and minimum temperatures of the showerhead 1 14.
  • a showerhead having a dual counter flow configuration as described above and a conventional showerhead having a single counter flow configuration were heated uniformly and a coolant was provided in the respective flow paths of the substrate supports to remove heat from the showerhead.
  • Steady state measurements of temperature across the showerheads yielded a temperature profile in the dual counter flow showerhead that was more uniform than in the conventional showerhead.
  • the temperature difference between respective maximum and minimum temperature measurements in each showerhead was advantageously lower in the dual counter flow showerhead than in the conventional showerhead.
  • one or more channels 140 may define two or more (two shown) flow paths 302, 306 coupled to one another via a common inlet 310 and outlet 308.
  • the two or more flow paths 302, 306 may be arranged in any configuration suitable to provide substantially equal flow of the heat transfer fluid and to provide control over the temperature profile across the showerhead 1 14.
  • the two or more flow paths 302, 306 may begin at the inlet 310 and may be routed in different directions to cover different portions of the showerhead.
  • the two or more flow paths 302, 306 may have a substantially equivalent axial length, cross-sectional area, thus providing substantially equal fluid conductance and residence time of heat transfer fluid within each of the two or more flow paths 302, 306, thereby facilitating temperature uniformity between the two or more flow paths 302, 306.
  • the axial length of each of the two or more flow paths 302, 306 may be decreased, as compared to a single flow path covering the same area, thereby providing a shorter flow path for the heat transfer fluid.
  • the shorter flow path for the heat transfer fluid decreases the change in temperature along the length of the two or more flow paths 302, 306 between the inlet 310 and outlet 308 as compared to longer flow paths.
  • a pressure drop of the heat transfer fluid between the inlet 310 and outlet 308 of two or more flow paths 302, 306 may also be decreased, allowing for an increased flow rate of heat transfer fluid, thus further decreasing a change in temperature along the length of the two or more flow paths 302, 306 between the inlet 310 and the outlet 308.
  • the one or more channels 140 may define a plurality of flow paths (three shown) 408, 410, 412 having a substantially equal fluid conductance and residence time.
  • each of the plurality of flow paths 408, 410, 412 comprises an inlet 414, 418, 422 coupled to a first end 402, 404, 406 and an outlet 416, 420, 424 coupled to a second end 417, 419, 421 , thus providing a flow path of heat transfer fluid from the inlet 414, 418, 422 to the respective outlet 416, 420, 424.
  • the plurality of flow paths 408, 410, 412 may be coupled to a single heat transfer fluid source (described above with respect to Figure 1 ).
  • a heat transfer fluid outlet may be coupled to the plurality of outlets to provide an outflow of heat transfer fluid from the plurality of outlets to the heat transfer fluid source.
  • the plurality of flow paths may be coupled to a plurality of heat transfer fluid sources, wherein each of the plurality of flow paths 408, 410, 412 are respectively coupled to a separate single heat transfer fluid source.
  • the plurality of flow paths 408, 410, 412 may be arranged in any manner suitable to provide temperature uniformity across the substrate facing surface of the showerhead 1 14.
  • the plurality of flow paths 408, 410, 412 may be symmetrically positioned within the showerhead 1 14 to promote temperature uniformity.
  • the axial length of each of the plurality of flow paths 408, 410, 412 may be shortened, which may advantageously allow for a decreased change in temperature of the heat transfer fluid along the flow paths 408, 410, 412 and thus an increased control over temperature profile due to the principles (e.g., residence time, fluid conductance, decreased pressure drop) discussed above with respect to Figure 3.
  • each of the plurality of flow paths may be arranged to provide a counter flow within a given flow path.
  • each portion of the flow path adjacent to another flow path can be configured to provide counter flow.
  • the one or more channels 140 may define a plurality of flow paths (six shown) 502, 504, 506, 508, 510, 512 arranged in a plurality of zones 525, 526, 528.
  • the plurality of zones 525, 526, 528 may be arranged in any manner suitable to provide control of a temperature profile across the substrate-facing surface of the showerhead 1 14.
  • the zones 525, 526, 528 may have a substantially equivalent surface area and are arranged symmetrically across the showerhead 1 14.
  • each zone 525, 526, 528 may comprise two or more of the plurality of flow paths coupled to a common inlet and outlet.
  • each of the plurality of flow paths 502, 504, 506, 508, 510, 512 may comprise a substantially equivalent axial length and cross-sectional area, thus providing substantially equal fluid conductance and residence time of heat transfer fluid within each of the plurality of flow paths 502, 504, 506, 508, 510, 512, thereby facilitating temperature uniformity in each of the zones 525, 526, 528.
  • the common inlets 514, 518, 522 may be coupled to a heat transfer fluid source (not shown) configured to provide the heat transfer fluid, as described above with respect to Figure 1 .
  • a separate heat transfer fluid source may be coupled to each inlet 514, 518, 522 to provide a heat transfer fluid to each zone 525, 526, 528 individually.
  • each zone 525, 526, 528 the axial length of each of the plurality of flow paths 502, 504, 506, 508, 510, 512 may be shortened, which may advantageously allow for a decreased change in temperature of the heat transfer fluid along the flow paths 502, 504, 506, 508, 510, 512 and thus an increased control in temperature uniformity due to the principles discussed above.
  • a plurality of flow paths 606, 608, 610, 624, 626, 628 may also be arranged in an inner zone 602 and an outer zone 604, wherein the outer zone 604 is disposed radially outward from the inner zone 602.
  • Each of the inner zone 602 and outer zone 604 may comprise any number of the plurality of flow paths 606, 608, 610, 624, 626, 628 and may be arranged in any manner suitable to facilitate temperature uniformity across the substrate support 108.
  • the inner zone 602 may comprise a plurality (three shown) of flow paths 606, 608, 610, having a substantially equivalent axial length and fluid conductance, positioned symmetrically within the showerhead 1 14.
  • Each of the plurality of flow paths 606, 608, 610 comprises an inlet 612, 616, 620 and an outlet 614, 618, 622.
  • the plurality of flow paths 606, 608, 610 may be coupled to a common heat transfer fluid source (not shown) configured to provide the heat transfer fluid, as described above with respect to Figure 1 .
  • a separate heat transfer fluid source may be coupled to each inlet 612, 616, 620 to provide a heat transfer fluid to each flow path 606, 608, 610 individually.
  • the inner zone 602 may comprise other configurations of flow paths to facilitate temperature uniformity across the substrate support 108.
  • the inner zone 602 may further comprise a plurality of zones positioned symmetrically, wherein each of the plurality of zones comprise more than one flow path coupled to a common inlet and outlet, such as in the embodiments discussed above with respect to Figure 5.
  • the outer zone 604 may comprise a plurality (three shown) of flow paths 624, 626, 628, wherein each of the plurality of flow paths 624, 626, 628 comprise an inlet 632, 636, 640 and outlet 630, 634, 638.
  • each of the plurality of flow paths 624, 626, 628 may be disposed adjacent to a corresponding flow path of the plurality of flow paths 606, 608, 610 of the inner zone 602.
  • the plurality (three shown) of flow paths 624, 626, 628 in the outer zone 604 may provide a counter flow of heat transfer fluid with respect to the adjacent flow path of the plurality of flow paths 606, 608, 610 of the inner zone 602, allowing for a heat transfer from a hotter portion of the heat transfer fluid to a cooler portion of the heat transfer fluid, thus facilitating temperature uniformity between the outer zone 604 and inner zone 602.
  • a barrier 603 may be provided between the inner zone 602 and the outer zone 604 to facilitate the independent control over the temperature in each zone, and temperature non-uniformity between the zones.
  • the barrier 603 may be an insulator such as an air gap, for example, of about 1 mm to about 10 mm wide.
  • a valve e.g., valve 139 depicted in Figure 1
  • a controller may be coupled to each valve to control the operation thereof (e.g., controller 137 depicted in Figure 1 ).
  • the each valve may be controlled to independently provide a desired flow rate of heat transfer fluid through the flow paths in each zone. As such, a flow rate in a given zone may be increased or decreased with respect to the flow rate in any other zone.
  • a flow rate in an outer zone may be increased to remove more heat, or decreased to remove less heat, as desired to make a thermal profile of a substrate-facing surface of the showerhead 1 14 more uniform or controllably non-uniform (for example to control process results in thermally dependent processes).
  • the showerhead 1 14 may comprise two or more zones (four zones 702, 704, 706, 708 depicted in Figure 7) arranged in a symmetrical pattern (a fourfold symmetrical pattern in Figure 7), wherein each of the zones (e.g., 702, 704, 706, 708) includes at least one flow path (e.g., 726, 728, 730, 732) defining a recursive flow pattern in an azimuthal direction about the showerhead 1 14.
  • each of the at least one flow paths may comprise a substantially equivalent axial length and cross-sectional area, thus providing substantially equal fluid conductance and residence time.
  • the recursive flow pattern may advantageously provide a symmetrical flow path having a more uniform conductance. As such, the pressure and flow rate within each of the at least one flow paths may be more uniform, resulting in an increased temperature uniformity across the substrate-facing surface of the showerhead 1 14.
  • each of the at least one flow paths may comprise an inlet (e.g., 710, 712, 714, 716) and an outlet (e.g., 718, 720, 722, 724), wherein each of the inlets and outlets are coupled to a common inlet (e.g., 734) and a common outlet (e.g., 736).
  • a common inlet e.g., 734
  • a common outlet e.g., 736
  • FIG. 8 depicts another partial cross sectional top view of a showerhead in accordance with some embodiments of the present invention.
  • a showerhead 1 14 may include two flow channels 140.
  • the flow channels may be inversely symmetric about an axis 802 that passes through a central axis of the showerhead 1 14 (e.g., a diameter for circular showerheads).
  • a first flow channel 804 may be provided between an inlet 806 and an outlet 808 disposed on a first half 810 of the showerhead 1 14.
  • a second flow channel 812 may be provided between an inlet 814 and an outlet 816 disposed on a second half 818 of the showerhead 1 14.
  • the second flow channel 812 may have a similar or identical shape as the first flow channel 804 and may be rotated 180 degrees with respect to the first flow channel 804.
  • the inlet and outlet of each flow channel may be disposed proximate an outer edge, radially, of the flow channel. The flow channel may then be routed from the inlet towards the center of the showerhead and back from the center out towards the edge of the showerhead to the outlet.
  • Each flow channel 140 may be routed to provide a counter flow of the heat transfer fluid flowing therethrough during use to improve temperature uniformity.
  • the temperature profile of the substrate facing side of the showerhead 1 14 may additionally be made more azimuthally uniform.
  • the dual-channel design reduces pressure differences between channels that facilitates providing a larger flow rate, hence providing further thermal profile uniformity improvement.
  • the number of zones and flow path direction may be varied to further facilitate temperature uniformity across the faceplate of the showerhead.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Thermal Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

An apparatus for controlling thermal uniformity of a substrate-facing surface of a showerhead is provided herein. In some embodiments, the thermal uniformity of the substrate facing surface of the showerhead may be controlled to be more uniform. In some embodiments, the thermal uniformity of the substrate facing surface of the showerhead may be controlled to be non-uniform in a desired pattern. In some embodiments, an apparatus for controlling thermal uniformity of a substrate-facing surface of a showerhead may include a showerhead having a substrate facing surface and one or more plenums for providing one or more process gases through a plurality of gas distribution holes formed through the substrate facing surface of the showerhead; and a plurality of flow paths having a substantially equivalent fluid conductance disposed within the showerhead to flow a heat transfer fluid.

Description

APPARATUS FOR CONTROLLING TEMPERATURE UNIFORMITY OF A
SHOWERHEAD
FIELD
[0001] Embodiments of the present invention generally relate to apparatus for substrate processing.
BACKGROUND
[0002] In many conventional substrate processes, cooling channels may be provided in a gas distribution apparatus, or showerhead, to facilitate cooling a processing volume-facing faceplate of the showerhead during processing to maintain a desired temperature profile on the faceplate. The cooling channels are typically configured to facilitate providing a desired temperature profile of the showerhead faceplate during substrate processing.
[0003] The inventors have provided an improved apparatus for controlling the temperature profile of a faceplate of a showerhead.
SUMMARY
[0004] An apparatus for controlling thermal uniformity of a substrate-facing surface of a showerhead is provided herein. In some embodiments, the thermal uniformity of the substrate facing surface of the showerhead may be controlled to be more uniform. In some embodiments, the thermal uniformity of the substrate facing surface of the showerhead may be controlled to be non-uniform in a desired pattern. In some embodiments, an apparatus for controlling thermal uniformity of a substrate- facing surface of a showerhead may include a showerhead having a substrate facing surface and one or more plenums for providing one or more process gases through a plurality of gas distribution holes formed through the substrate facing surface of the showerhead; and a plurality of flow paths having a substantially equivalent fluid conductance disposed within the showerhead to flow a heat transfer fluid.
[0005] In some embodiments, an apparatus for controlling thermal uniformity of a substrate-facing surface of a showerhead may include a showerhead having a substrate facing surface and one or more plenums for providing one or more process gases through a plurality of gas distribution holes formed through the substrate facing surface of the showerhead; and a flow path disposed within the showerhead and having an inlet and an outlet to flow a heat transfer fluid through the flow path, wherein the flow path comprises a first portion and a second portion, each portion having a substantially equivalent axial length, wherein the first portion is spaced about 2 mm to about 10 mm from the second portion, and wherein the first portion provides a flow of heat transfer fluid in a direction opposite a flow of heat transfer fluid of the second portion.
[0006] In some embodiments, an apparatus for controlling thermal uniformity of a substrate-facing surface of a showerhead may include a showerhead having a substrate facing surface and one or more plenums for providing one or more process gases through a plurality of gas distribution holes formed through the substrate facing surface of the showerhead; and a first flow path and a second flow path disposed within the showerhead, each having an inlet and an outlet to flow a heat transfer fluid through the respective flow path, wherein each flow path has a substantially equivalent axial length, and wherein the first flow path and the second flow path a inversely symmetrical about an axis that passes through a central axis of the showerhead.
[0007] The above summary is provided to briefly discuss some aspects of the present invention and is not intended to be limiting of the scope of the invention. Other embodiments and variations of the invention are provided below in the detailed description.
BRIEF DESCRIPTION OF THE DRAWINGS
[0008] Embodiments of the present invention, briefly summarized above and discussed in greater detail below, can be understood by reference to the illustrative embodiments of the invention depicted in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
[0009] Figure 1 depicts a process chamber having a showerhead in accordance with some embodiments of the present invention. [0010] Figure 1A depicts a cross-sectional side view of a showerhead in accordance with some embodiments of the present invention.
[0011 ] Figures 2-6 depict partial cross sectional top views of a showerhead in accordance with some embodiments of the present invention.
[0012] Figure 7 depicts a heat transfer fluid flow path of a showerhead in accordance with some embodiments of the present invention.
[0013] Figure 8 depicts a partial cross sectional top view of a showerhead in accordance with some embodiments of the present invention.
[0014] To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. The figures are not drawn to scale and may be simplified for clarity. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.
DETAILED DESCRIPTION
[0015] The inventors have observed that conventional showerheads may have undesirable temperature profiles, which may lead to undesirable process results. Embodiments of the present invention provide apparatus for controlling the temperature of a showerhead during processing. The apparatus may control the thermal uniformity of the showerhead during processing. In some embodiments, the thermal uniformity of the showerhead may be controlled to be more uniform. In some embodiments, the thermal uniformity of the showerhead may be controlled to be non-uniform in a desired pattern. In some embodiments, the inventive apparatus may advantageously provide one or more flow paths which provide a counter flow of heat transfer fluid, thereby facilitating control of a temperature profile across a faceplate of a showerhead. In addition, in some embodiments, the inventive apparatus may advantageously provide a showerhead having a plurality of flow paths which provide an increased flow rate of heat transfer fluid, thereby facilitating control of temperature across a faceplate of the showerhead.
[0016] Figure 1 depicts a process chamber 100 suitable for use in connection with an apparatus for controlling temperature uniformity of a showerhead in accordance with some embodiments of the present invention. Exemplary process chambers may include the DPS®, ENABLER®, SIGMA™, ADVANTEDGE™, or other process chambers, available from Applied Materials, Inc. of Santa Clara, California. It is contemplated that other suitable chambers include any chambers that use showerheads to perform substrate fabrication processes.
[0017] In some embodiments, the process chamber 100 generally comprises a chamber body 102 defining an inner processing volume 104 and an exhaust volume 106. The inner processing volume 104 may be defined, for example, between a substrate support 108 disposed within the process chamber 100 for supporting a substrate 1 10 thereupon during processing and one or more gas inlets, such as a showerhead 1 14 and/or nozzles provided at desired locations. The exhaust volume may be defined, for example, between the substrate support 108 and a bottom of the process chamber 102.
[0018] The substrate support 108 generally comprises a body 143 having a substrate support surface 141 for supporting a substrate 1 10 thereon. In some embodiments, the substrate support 108 may include a mechanism that retains or supports the substrate 1 10 on the surface of the substrate support 108, such as an electrostatic chuck, a vacuum chuck, a substrate retaining clamp, or the like (not shown).
[0019] In some embodiments, the substrate support 108 may include a radio frequency (RF) bias electrode 168. The RF bias electrode may be coupled to one or more RF bias power sources through one or more respective matching networks (one RF bias power source 148A and one matching network 146A shown in Figure 1 ). The one or more bias power sources may be capable of producing up to 12000 W at a frequency of about 2 MHz, or about 13.56 MHz, or about 60 MHz. In some embodiments, two bias power sources may be provided for coupling RF power through respective matching networks to the RF bias electrode at a frequency of about 2 MHz and about 13.56 MHz. In some embodiments, three bias power sources may be provided for coupling RF power through respective matching networks to the RF bias electrode at a frequency of about 2 MHz, about 13.56 MHz, and about 60 MHz. The at least one bias power source may provide either continuous or pulsed power. In some embodiments, the bias power source may be a DC or pulsed DC source.
[0020] In some embodiments, the substrate support 108 may include one or more mechanisms for controlling the temperature of the substrate support surface 141 and the substrate 1 10 disposed thereon. For example, one or more channels (not shown) may be provided to define one or more flow paths beneath the substrate support surface to flow a heat transfer fluid similar to as described below with respect to the showerhead 1 14. Additional details of apparatus for controlling the temperature of the substrate support may be found in United States Patent Application 61 /298,671 , filed January 27, 2010 by K. Bera, ei a/., and entitled, "APPARATUS FOR CONTROLLING TEMPERATURE UN IFORMITY OF A SUBSTRATE," which is hereby incorporated by reference in its entirety.
[0021 ] The one or more gas inlets (e.g., the showerhead 1 14) may be coupled to a gas supply 1 16 for providing one or more process gases into the processing volume 104 of the process chamber 100. Although a showerhead 1 14 is shown, additional gas inlets may be provided such as nozzles or inlets disposed in the ceiling or on the sidewalls of the process chamber 100 or at other locations suitable for providing gases as desired to the process chamber 100, such as the base of the process chamber, the periphery of the substrate support, or the like.
[0022] In some embodiments, one or more RF plasma power sources (one RF plasma power source 148B shown) may be coupled to the process chamber 102 through one or more matching networks 146B for providing power for processing. In some embodiments, the apparatus 100 may utilize capacitively coupled RF power provided to an upper electrode proximate an upper portion of the process chamber 102. The upper electrode may be a conductor in an upper portion of the process chamber 102 or formed, at least in part, by one or more of the ceiling 142, the showerhead 1 14, or the like, fabricated from a suitable conductive material. For example, in some embodiments, the one or more RF plasma power sources 148B may be coupled to a conductive portion of the ceiling 142 of the process chamber 102 or to a conductive portion of the showerhead 1 14. The ceiling 142 may be substantially flat, although other types of ceilings, such as dome-shaped ceilings or the like, may also be utilized. The one or more plasma sources may be capable of producing up to 5000 W at a frequency of about 2 MHz and/or about 13.56 MHz, or higher frequency, such as 27 MHz and/or 60 MHz and/or 162 MHz. In some embodiments, two RF power sources may be coupled to the upper electrode through respective matching networks for providing RF power at frequencies of about 2 MHz and about 13.56 MHz. Alternatively, the one or more RF power sources may be coupled to inductive coil elements (not shown) disposed proximate the ceiling of the process chamber 102 to form a plasma with inductively coupled RF power.
[0023] In some embodiments, the inner process volume 104 may be fluidly coupled to the exhaust system 120. The exhaust system 120 may facilitate uniform flow of the exhaust gases from the inner process volume 104 of the process chamber 102. The exhaust system 120 generally includes a pumping plenum 124 and a plurality of conduits (not shown) that couple the pumping plenum 124 to the inner process volume 104 of the process chamber 102. Each conduit has an inlet 122 coupled to the inner process volume 104 (or, in some embodiments, the exhaust volume 106) and an outlet (not shown) fluidly coupled to the pumping plenum 124. For example, each conduit may have an inlet 122 disposed in a lower region of a sidewall or a floor of the process chamber 102. In some embodiments, the inlets are substantially equidistantly spaced from each other.
[0024] A vacuum pump 128 may be coupled to the pumping plenum 124 via a pumping port 126 for pumping out the exhaust gases from the process chamber 102. The vacuum pump 128 may be fluidly coupled to an exhaust outlet 132 for routing the exhaust as required to appropriate exhaust handling equipment. A valve 130 (such as a gate valve, or the like) may be disposed in the pumping plenum 124 to facilitate control of the flow rate of the exhaust gases in combination with the operation of the vacuum pump 128. Although a z-motion gate valve is shown, any suitable, process compatible valve for controlling the flow of the exhaust may be utilized.
[0025] In operation, the substrate 1 10 may enter the process chamber 100 via an opening 1 12 in the chamber body 102. The opening 1 12 may be selectively sealed via a slit valve 1 18, or other mechanism for selectively providing access to the interior of the chamber through the opening 1 12. The substrate support 108 may be coupled to a lift mechanism 134 that may control the position of the substrate support 108 between a lower position (as shown) suitable for transferring substrates into and out of the chamber via the opening 1 12 and a selectable upper position suitable for processing. The process position may be selected to maximize process uniformity for a particular process step. When in at least one of the elevated processing positions, the substrate support 108 may be disposed above the opening 1 12 to provide a symmetrical processing region. After the substrate 1 10 is disposed within the process chamber 102, the chamber may be pumped down to a pressure suitable for forming a plasma and one or more process gases may be introduced into the chamber via the showerhead 1 14 (and/or other gas inlets). RF power may be provided to strike and maintain a plasma from the process gases to process the substrate.
[0026] During processing, such as in the above example, the temperature of the showerhead 1 14 may be controlled to provide a more uniform temperature profile across a substrate-facing surface of the showerhead 1 14. For example, Figure 1A depicts a cross-sectional side view of a showerhead in accordance with some embodiments of the present invention. The showerhead 1 14 generally includes one or more plenums 150 coupled via a plurality of conduits 152 to a plurality of gas distribution holes 154 for providing process gases to the process chamber in a desired pattern. The plenums 150 may be arranged in zones and may be coupled to the gas supply 1 16 to provide one or more process gases to the plenums 150.
[0027] In some embodiments, the plenums 150 may be disposed between a first plate 156 and a second plate 158. The plenums may be formed in either plate or partially in both plates. In the embodiments depicted in Figure 1A, the plenums 150 are formed by recesses in the second plate 158 with the first plate 156 providing a cap which covers the recesses to define the plenums 150. In some embodiments, the width between the plenums 150, or the contact width contact width (e.g., 170 in Figure 1 A) between the first plate 156 and the second plate 158, may be between about 0.4 inches to about 4.0 inches. The contact width between the first and second plates 156, 158 may vary among the different contact locations (such as the center, middle, and edge as depicted in Figure 1 A) as desired to provide additional control over the rate and/or pattern of thermal transfer between the first and second plates 156, 158.
[0028] In some embodiments, the substrate facing side of the showerhead 1 14 may be provided by a substrate facing surface of a third plate (or faceplate) 160 bonded via a bond layer 162 to the second plate 158. The faceplate 160 includes a plurality of holes 154 having a size and geometry to provide the process gases from the plenum into the chamber in a desired volume and pattern. In some embodiments, a recess 164 may be provided in the substrate-facing side of the second plate 158 (or alternatively in the faceplate 160, or partially in both the body and the faceplate) to couple a plurality of the plurality of holes 154 to a single one or more of the conduits 152. In some embodiments, the third plate 160 may be fabricated from silicon carbide.
[0029] The showerhead 1 14 may include one or more mechanisms for controlling the temperature of the showerhead 1 14. For example, in some embodiments, one or more heaters may be disposed proximate the showerhead 1 14 to further facilitate control over the temperature of the faceplate 160 of the showerhead 1 14. In some embodiments, the second plate 158 may include one or more heater elements 166. The heater elements 166 may have a desired size and pattern to provide heat to the showerhead when desired to maintain a desired temperature and/or thermal profile across the substrate-facing surface of the showerhead 1 14, such as across the faceplate 160. As shown in Figure 1A, two concentric, annular heater elements 166 are shown, although other numbers and configurations may be used.
[0030] The heaters may be any type of heater suitable to provide control over the temperature profile of the substrate-facing surface of the showerhead 1 14. For example, the heater may be one or more resistive heaters. In some embodiments the heaters may be disposed below the plenums 150 (e.g., between the plenums 150 and the substrate facing surface of the showerhead 1 14, or the faceplate 160). The number and arrangement of the one or more heaters may be varied to provide additional control over the temperature profile of the substrate-facing surface of the showerhead 1 14. For example, in embodiments where more than one heater is utilized, the heaters may be arranged in a plurality of zones to facilitate control over the temperature across the substrate-facing surface of the showerhead 1 14, thus providing increased temperature control.
[0031 ] In addition, in some embodiments, one or more channels 140 may be provided, for example in the first plate 156, to define one or more flow paths (described more fully below with respect to Figures 2-8) to flow a heat transfer fluid therethrough. The heat transfer fluid may comprise any fluid suitable to provide adequate transfer of heat to or from the showerhead 1 14. For example, the heat transfer fluid may be a gas, such as helium (He), oxygen (02), or the like, or a liquid, such as water, antifreeze, or an alcohol, for example, glycerol, ethylene glycerol, propylene, methanol, or refrigerant fluid such as FREON® (e.g., a chlorofluorocarbon or hydrochlorofluorocarbon refrigerant), ammonia or the like.
[0032] A heat transfer fluid source 136 may be coupled to the channels 140 to provide the heat transfer fluid to the one or more channels 140. The heat transfer fluid source 136 may comprise a temperature control device, for example a chiller or heater, to control the temperature of the heat transfer fluid. One or more valves 139 (or other flow control devices) may be provided between the heat transfer fluid source 136 and the one or more channels 140 to independently control a rate of flow of the heat transfer fluid to each of the one or more channels 140. A controller 137 may control the operation of the one or more valves 139 and/or of the heat transfer fluid source 136.
[0033] The one or more channels 140 may be formed within the showerhead 1 14, or the first plate 156, via any means suitable to form the one or more channels 140 having dimensions adequate to flow a heat transfer fluid therethrough. For example, in some embodiments, at least a portion of the one or more channels 140 may be partially machined into one or both of a separable top portion 155 and bottom portion 157 of the first plate 156. Alternatively, the one or more channels 140 may be fully machined into one of the top portion or bottom portion of the first plate 156. In such embodiments, the other portion may provide a cap of the channels 140 or an insert may be disposed in a portion of each channel 140 to provide a cap. In some embodiments, the one or more channels 140 comprise a plurality of channels having substantially equivalent fluid conductance and residence time. In some embodiments, other features may be included in the one or more channels 140 to improve heat transfer between the heat transfer fluid and the substrate facing surface 1 14. For example, one or more fins 168 may be included within each of the one or more channels 140 extending partially or wholly across the one or more channels 140. The fin 168 may provide an increased surface area available for heat transfer, thereby enhancing the heat transfer between the heat transfer fluid flowing through the one or more channels 140 and the showerhead 1 14.
[0034] The one or more channels 140 may be configured in any manner suitable to provide adequate control over temperature profile across the substrate-facing surface of the showerhead 1 14 during use. For example, in some embodiments and as depicted in Figure 2, one channel 140 may be formed within the showerhead 1 14 defining a single flow path 202 having a counter flow configuration. An inlet 206 may be coupled to a first end 205 of the flow path 202 and an outlet 204 coupled to a second end 207 of the flow path 202, thus facilitating a flow of heat transfer fluid from the inlet 206 to the outlet 204. The inlet 206 may be coupled to a heat transfer fluid source to provide the heat transfer fluid, as described above with respect to Figure 1 . The channel 140 (e.g., flow path 202) may be routed around objects in the showerhead, such as gas lines to the plenums 140, or the like.
[0035] In embodiments where the one or more channels 140 define a single flow path 202, the flow path 202 may comprise a first portion 210 fluidly coupled to a second portion 212 via a loop or coupling 208. In such embodiments, the first portion 210 and second portion 212 each have a substantially equivalent axial length. The axial length is defined as the axial distance between the inlet 206 and the loop 208 for the first portion 210, and the distance between the loop 208 and the outlet 204 for the second portion 212. The first portion 210 and second portion 212 may be disposed proximate one another to facilitate a heat transfer between the first portion 210 and second portion 212. For example, the distance between the first portion 210 and second portion 212 may be about 2 mm to about 30 mm, or between about 2 mm to about 10 mm. In such embodiments, the first portion 210 and second portion 212 are configured to provide a counter flow (flow in opposite direction) of heat transfer fluid having different temperatures, allowing for a heat transfer from a hotter portion of the heat transfer fluid to a cooler portion of the heat transfer fluid, thus improving temperature uniformity between the first portion 210 and second portion 212 at equivalent positions along the respective portions. In some embodiments, the inlet 206 and the outlet 204 may be disposed proximate each other and the first and second portions 210, 212 of the flow path 202 may together generally wind radially inward toward a center point 214 of the substrate support 108 then loop back and generally wind radially outward until the end of the first and second portions 210, 212 is reached at the loop or coupling 208. The inward and outward winding of the first and second portions 210, 212 may be interleaved. With the inlet and the outlet near center, the flow path can first wind outward towards the periphery, then wind inward towards the center. Such a configuration advantageously provides a flow path having dual counter flow - a first counter flow configuration as between immediately adjacent regions of the first and second portions 210, 212 of the flow path 202, and a second counter flow configuration due to the interleaved winding of the adjacent first and second portions 210, 212.
[0036] The dual counter flow configuration advantageously provides a low temperature difference between maximum and minimum temperatures of the showerhead 1 14. For example, in an exemplary test model run by the inventors, a showerhead having a dual counter flow configuration as described above and a conventional showerhead having a single counter flow configuration were heated uniformly and a coolant was provided in the respective flow paths of the substrate supports to remove heat from the showerhead. Steady state measurements of temperature across the showerheads yielded a temperature profile in the dual counter flow showerhead that was more uniform than in the conventional showerhead. In addition, the temperature difference between respective maximum and minimum temperature measurements in each showerhead was advantageously lower in the dual counter flow showerhead than in the conventional showerhead.
[0037] In some embodiments, and as depicted in Figure 3, one or more channels 140 may define two or more (two shown) flow paths 302, 306 coupled to one another via a common inlet 310 and outlet 308. The two or more flow paths 302, 306 may be arranged in any configuration suitable to provide substantially equal flow of the heat transfer fluid and to provide control over the temperature profile across the showerhead 1 14. For example, as depicted in Figure 3, in some embodiments, the two or more flow paths 302, 306 may begin at the inlet 310 and may be routed in different directions to cover different portions of the showerhead.
[0038] In some embodiments, the two or more flow paths 302, 306 may have a substantially equivalent axial length, cross-sectional area, thus providing substantially equal fluid conductance and residence time of heat transfer fluid within each of the two or more flow paths 302, 306, thereby facilitating temperature uniformity between the two or more flow paths 302, 306. By providing two or more flow paths 302, 306 the axial length of each of the two or more flow paths 302, 306 may be decreased, as compared to a single flow path covering the same area, thereby providing a shorter flow path for the heat transfer fluid. The shorter flow path for the heat transfer fluid decreases the change in temperature along the length of the two or more flow paths 302, 306 between the inlet 310 and outlet 308 as compared to longer flow paths. In addition, by providing a shorter flow path for the heat transfer fluid a pressure drop of the heat transfer fluid between the inlet 310 and outlet 308 of two or more flow paths 302, 306 may also be decreased, allowing for an increased flow rate of heat transfer fluid, thus further decreasing a change in temperature along the length of the two or more flow paths 302, 306 between the inlet 310 and the outlet 308.
[0039] In some embodiments, and as depicted in Figure 4, the one or more channels 140 may define a plurality of flow paths (three shown) 408, 410, 412 having a substantially equal fluid conductance and residence time. In such embodiments, each of the plurality of flow paths 408, 410, 412 comprises an inlet 414, 418, 422 coupled to a first end 402, 404, 406 and an outlet 416, 420, 424 coupled to a second end 417, 419, 421 , thus providing a flow path of heat transfer fluid from the inlet 414, 418, 422 to the respective outlet 416, 420, 424. The plurality of flow paths 408, 410, 412 may be coupled to a single heat transfer fluid source (described above with respect to Figure 1 ). For example, a heat transfer fluid outlet may be coupled to the plurality of outlets to provide an outflow of heat transfer fluid from the plurality of outlets to the heat transfer fluid source. Alternatively, the plurality of flow paths may be coupled to a plurality of heat transfer fluid sources, wherein each of the plurality of flow paths 408, 410, 412 are respectively coupled to a separate single heat transfer fluid source.
[0040] The plurality of flow paths 408, 410, 412 may be arranged in any manner suitable to provide temperature uniformity across the substrate facing surface of the showerhead 1 14. For example, in some embodiments, the plurality of flow paths 408, 410, 412 may be symmetrically positioned within the showerhead 1 14 to promote temperature uniformity. By utilizing a plurality of flow paths 408, 410, 412 the axial length of each of the plurality of flow paths 408, 410, 412 may be shortened, which may advantageously allow for a decreased change in temperature of the heat transfer fluid along the flow paths 408, 410, 412 and thus an increased control over temperature profile due to the principles (e.g., residence time, fluid conductance, decreased pressure drop) discussed above with respect to Figure 3. In addition, by utilizing a plurality of flow paths 408, 410, 412 wherein each comprises an inlet 414, 418, 422, and outlet 416, 420, 424, such as depicted in Figure 4, the total flow rate of heat transfer fluid throughout the showerhead may be increased, further facilitating a decreased temperature range of the showerhead during use. In some embodiments, each of the plurality of flow paths may be arranged to provide a counter flow within a given flow path. In some embodiments, each portion of the flow path adjacent to another flow path can be configured to provide counter flow. By providing each flow path, and optionally adjacent flow paths, in a counter flow configuration, temperature uniformity further improves.
[0041] In some embodiments, and as depicted in Figure 5, the one or more channels 140 may define a plurality of flow paths (six shown) 502, 504, 506, 508, 510, 512 arranged in a plurality of zones 525, 526, 528. The plurality of zones 525, 526, 528 may be arranged in any manner suitable to provide control of a temperature profile across the substrate-facing surface of the showerhead 1 14. For example, as shown in Figure 5, the zones 525, 526, 528 may have a substantially equivalent surface area and are arranged symmetrically across the showerhead 1 14. In such embodiments, each zone 525, 526, 528 may comprise two or more of the plurality of flow paths coupled to a common inlet and outlet. For example, as shown in Figure 5, flow paths 502 and 504 are coupled to a common inlet 514 and a common outlet 516, flow paths 506 and 508 are coupled to inlet 518 and outlet 520, and flow paths 510 and 512 are coupled to inlet 522 and outlet 524. In such embodiments, each of the plurality of flow paths 502, 504, 506, 508, 510, 512 may comprise a substantially equivalent axial length and cross-sectional area, thus providing substantially equal fluid conductance and residence time of heat transfer fluid within each of the plurality of flow paths 502, 504, 506, 508, 510, 512, thereby facilitating temperature uniformity in each of the zones 525, 526, 528. In some embodiments, the common inlets 514, 518, 522 may be coupled to a heat transfer fluid source (not shown) configured to provide the heat transfer fluid, as described above with respect to Figure 1 . Alternatively, in some embodiments, a separate heat transfer fluid source may be coupled to each inlet 514, 518, 522 to provide a heat transfer fluid to each zone 525, 526, 528 individually.
[0042] By utilizing two or more of the plurality of flow paths 502, 504, 506, 508, 510, 512 in each zone 525, 526, 528 the axial length of each of the plurality of flow paths 502, 504, 506, 508, 510, 512 may be shortened, which may advantageously allow for a decreased change in temperature of the heat transfer fluid along the flow paths 502, 504, 506, 508, 510, 512 and thus an increased control in temperature uniformity due to the principles discussed above.
[0043] Alternatively, or in combination, in some embodiments and as depicted in Figure 6, a plurality of flow paths (six shown) 606, 608, 610, 624, 626, 628 may also be arranged in an inner zone 602 and an outer zone 604, wherein the outer zone 604 is disposed radially outward from the inner zone 602. Each of the inner zone 602 and outer zone 604 may comprise any number of the plurality of flow paths 606, 608, 610, 624, 626, 628 and may be arranged in any manner suitable to facilitate temperature uniformity across the substrate support 108. For example, as depicted in Figure 6, the inner zone 602 may comprise a plurality (three shown) of flow paths 606, 608, 610, having a substantially equivalent axial length and fluid conductance, positioned symmetrically within the showerhead 1 14. Each of the plurality of flow paths 606, 608, 610 comprises an inlet 612, 616, 620 and an outlet 614, 618, 622. The plurality of flow paths 606, 608, 610 may be coupled to a common heat transfer fluid source (not shown) configured to provide the heat transfer fluid, as described above with respect to Figure 1 . Alternatively, in some embodiments, a separate heat transfer fluid source may be coupled to each inlet 612, 616, 620 to provide a heat transfer fluid to each flow path 606, 608, 610 individually.
[0044] In some embodiments, the inner zone 602 may comprise other configurations of flow paths to facilitate temperature uniformity across the substrate support 108. For example, in some embodiments, the inner zone 602 may further comprise a plurality of zones positioned symmetrically, wherein each of the plurality of zones comprise more than one flow path coupled to a common inlet and outlet, such as in the embodiments discussed above with respect to Figure 5.
[0045] In some embodiments, the outer zone 604 may comprise a plurality (three shown) of flow paths 624, 626, 628, wherein each of the plurality of flow paths 624, 626, 628 comprise an inlet 632, 636, 640 and outlet 630, 634, 638. In some embodiments, each of the plurality of flow paths 624, 626, 628 may be disposed adjacent to a corresponding flow path of the plurality of flow paths 606, 608, 610 of the inner zone 602. In such embodiments the plurality (three shown) of flow paths 624, 626, 628 in the outer zone 604 may provide a counter flow of heat transfer fluid with respect to the adjacent flow path of the plurality of flow paths 606, 608, 610 of the inner zone 602, allowing for a heat transfer from a hotter portion of the heat transfer fluid to a cooler portion of the heat transfer fluid, thus facilitating temperature uniformity between the outer zone 604 and inner zone 602. In some embodiments, a barrier 603 may be provided between the inner zone 602 and the outer zone 604 to facilitate the independent control over the temperature in each zone, and temperature non-uniformity between the zones. In some embodiments, the barrier 603 may be an insulator such as an air gap, for example, of about 1 mm to about 10 mm wide.
[0046] In embodiments where multiple zones of heat transfer fluid flow paths are provided, a valve (e.g., valve 139 depicted in Figure 1 ) may be coupled to at least one, and in some embodiments, each of the plurality of flow paths to control a flow rate of the heat transfer fluid flowing through one or more of the flow paths. A controller may be coupled to each valve to control the operation thereof (e.g., controller 137 depicted in Figure 1 ). The each valve may be controlled to independently provide a desired flow rate of heat transfer fluid through the flow paths in each zone. As such, a flow rate in a given zone may be increased or decreased with respect to the flow rate in any other zone. For example, a flow rate in an outer zone may be increased to remove more heat, or decreased to remove less heat, as desired to make a thermal profile of a substrate-facing surface of the showerhead 1 14 more uniform or controllably non-uniform (for example to control process results in thermally dependent processes).
[0047] In some embodiments, and as depicted in Figure 7, the showerhead 1 14 may comprise two or more zones (four zones 702, 704, 706, 708 depicted in Figure 7) arranged in a symmetrical pattern (a fourfold symmetrical pattern in Figure 7), wherein each of the zones (e.g., 702, 704, 706, 708) includes at least one flow path (e.g., 726, 728, 730, 732) defining a recursive flow pattern in an azimuthal direction about the showerhead 1 14. In such embodiments, each of the at least one flow paths may comprise a substantially equivalent axial length and cross-sectional area, thus providing substantially equal fluid conductance and residence time. The recursive flow pattern may advantageously provide a symmetrical flow path having a more uniform conductance. As such, the pressure and flow rate within each of the at least one flow paths may be more uniform, resulting in an increased temperature uniformity across the substrate-facing surface of the showerhead 1 14.
[0048] In some embodiments, each of the at least one flow paths may comprise an inlet (e.g., 710, 712, 714, 716) and an outlet (e.g., 718, 720, 722, 724), wherein each of the inlets and outlets are coupled to a common inlet (e.g., 734) and a common outlet (e.g., 736). In such embodiments, the distance between each inlet and the common inlet and the distance between each outlet and the common outlet are substantially equivalent, to facilitate a substantially equivalent flow rate of heat transfer fluid, pressure difference, and residence time in each of the flow paths. By providing a common inlet and common outlet in the manner described, each of the flow paths may be provided with heat transfer fluid at the same rate, pressure, and the like. As such, the flow rate of the heat transfer fluid through each flow path may be substantially equal, thereby minimizing temperature non-uniformity associated with transient flow of heat transfer fluid. [0049] Figure 8 depicts another partial cross sectional top view of a showerhead in accordance with some embodiments of the present invention. As depicted in Figure 8, a showerhead 1 14 may include two flow channels 140. The flow channels may be inversely symmetric about an axis 802 that passes through a central axis of the showerhead 1 14 (e.g., a diameter for circular showerheads). A first flow channel 804 may be provided between an inlet 806 and an outlet 808 disposed on a first half 810 of the showerhead 1 14. A second flow channel 812 may be provided between an inlet 814 and an outlet 816 disposed on a second half 818 of the showerhead 1 14. The second flow channel 812 may have a similar or identical shape as the first flow channel 804 and may be rotated 180 degrees with respect to the first flow channel 804. In some embodiments, the inlet and outlet of each flow channel may be disposed proximate an outer edge, radially, of the flow channel. The flow channel may then be routed from the inlet towards the center of the showerhead and back from the center out towards the edge of the showerhead to the outlet. Each flow channel 140 may be routed to provide a counter flow of the heat transfer fluid flowing therethrough during use to improve temperature uniformity. By providing similarly or identically shaped flow channels, the temperature profile of the substrate facing side of the showerhead 1 14 may additionally be made more azimuthally uniform. The dual-channel design reduces pressure differences between channels that facilitates providing a larger flow rate, hence providing further thermal profile uniformity improvement.
[0050] In each of the above embodiments, the number of zones and flow path direction may be varied to further facilitate temperature uniformity across the faceplate of the showerhead.
[0051] While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof.

Claims

Claims:
1 . An apparatus for controlling thermal uniformity of a substrate-facing surface of a showerhead, comprising:
a showerhead having a substrate facing surface and one or more plenums for providing one or more process gases through a plurality of gas distribution holes formed through the substrate facing surface of the showerhead; and
a plurality of flow paths having a substantially equivalent fluid conductance disposed within the showerhead to flow a heat transfer fluid.
2. The apparatus of claim 1 , further comprising:
a plurality of inlets, each respectively coupled to a first end of a respective one of the plurality of flow paths; and
a plurality of outlets, each respectively coupled to a second end of a respective one the plurality of flow paths.
3. The apparatus of claim 2, wherein the plurality of flow paths are symmetrically positioned within the showerhead, and optionally, wherein each of the plurality of flow paths comprise a recursive symmetric pattern.
4. The apparatus of claim 3, further comprising:
a heat transfer fluid inlet coupled to the plurality of inlets to provide in an inflow of heat transfer fluid to the plurality of inlets; and
a heat transfer fluid outlet coupled to the plurality of outlets to provide an outflow of heat transfer fluid from the plurality of outlets.
5. The apparatus of claim 1 , wherein the showerhead further comprises:
a first plate having the plurality of flow paths disposed at least partially therein; and
a second plate disposed adjacent to the first plate, the second plate having the one or more plenums at least partially formed therein.
6. The apparatus of claim 1 , wherein the plurality of flow paths are arranged in a plurality of zones having radial symmetry with respect to a central axis of the showerhead, wherein each of the plurality of zones comprises at least two flow paths.
7. The apparatus of claim 6, wherein each of the plurality of zones further comprises:
an inlet coupled to the at least two flow paths; and
an outlet coupled to the at least two flow paths;
wherein, optionally, each of the at least two flow paths are symmetrical with respect to one another.
8. The apparatus of claim 1 , wherein each of the plurality of flow paths are coupled to a common inlet and a common outlet.
9. The apparatus of claim 1 , further comprising a heat transfer fluid source configured to provide the heat transfer fluid to the plurality of flow paths and to control a temperature and a flow rate of the heat transfer fluid.
10. The apparatus of claim 1 , wherein the showerhead further comprises:
an inner portion having a first plurality of the plurality of flow paths disposed therein; and
an outer portion having a second plurality of the plurality of flow paths disposed therein, the outer portion disposed radially outward of the inner portion with respect to a center point of the showerhead.
1 1 . The apparatus of claim 10, wherein each of the plurality of flow paths disposed in the outer portion of the showerhead is positioned adjacent to a respective each of the plurality of flow paths disposed in the inner portion of the showerhead.
12. The apparatus of claim 1 1 , wherein each of the plurality of flow paths disposed in the outer portion of the showerhead is configured to provide a flow of heat transfer fluid in an opposite direction with respect to a direction of flow of heat transfer fluid of an adjacent one of the plurality of flow paths disposed in the inner portion of the showerhead.
13. The apparatus of claim 1 , further comprising:
at least one valve respectively coupled to the at plurality of flow paths to control a flow rate of the heat transfer fluid; and optionally,
a controller coupled to at least one valve to control the operation thereof.
14. The apparatus of claim 1 , wherein the showerhead further comprises at least one heating element to heat the showerhead, and optionally, wherein the at least one heating element comprises a plurality of heating elements arranged in two or more zones.
15. An apparatus for controlling thermal uniformity of a substrate-facing surface of a showerhead, comprising:
a showerhead having a substrate facing surface and one or more plenums for providing one or more process gases through a plurality of gas distribution holes formed through the substrate facing surface of the showerhead; and one of either: a flow path disposed within the showerhead and having an inlet and an outlet to flow a heat transfer fluid through the flow path, wherein the flow path comprises a first portion and a second portion, each portion having a substantially equivalent axial length, wherein the first portion is spaced about 2 mm to about 10 mm from the second portion, and wherein the first portion provides a flow of heat transfer fluid in a direction opposite a flow of heat transfer fluid of the second portion; or
a first flow path and a second flow path disposed within the showerhead, each having an inlet and an outlet to flow a heat transfer fluid through the respective flow path, wherein each flow path has a substantially equivalent axial length, and wherein the first flow path and the second flow path a inversely symmetrical about an axis that passes through a central axis of the showerhead.
PCT/US2011/022202 2010-01-27 2011-01-24 Apparatus for controlling temperature uniformity of a showerhead WO2011094143A2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US29867610P 2010-01-27 2010-01-27
US61/298,676 2010-01-27
US12/886,258 2010-09-20
US12/886,258 US20110180233A1 (en) 2010-01-27 2010-09-20 Apparatus for controlling temperature uniformity of a showerhead

Publications (2)

Publication Number Publication Date
WO2011094143A2 true WO2011094143A2 (en) 2011-08-04
WO2011094143A3 WO2011094143A3 (en) 2011-11-24

Family

ID=44308077

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2011/022202 WO2011094143A2 (en) 2010-01-27 2011-01-24 Apparatus for controlling temperature uniformity of a showerhead

Country Status (3)

Country Link
US (1) US20110180233A1 (en)
TW (1) TW201144478A (en)
WO (1) WO2011094143A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10780447B2 (en) 2016-04-26 2020-09-22 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead

Families Citing this family (307)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10544508B2 (en) * 2012-09-26 2020-01-28 Applied Materials, Inc. Controlling temperature in substrate processing systems
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9620376B2 (en) * 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR102269479B1 (en) * 2016-12-08 2021-06-24 어플라이드 머티어리얼스, 인코포레이티드 Temporal Atomic Layer Deposition Processing Chamber
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10879054B2 (en) * 2017-11-20 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Pump assembly for creating vacuum in wafer processing chamber
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (en) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. Method for depositing a ruthenium-containing film on a substrate by a cyclic deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
JP2021521648A (en) * 2018-04-17 2021-08-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Ceramic face plate to be heated
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20210024462A (en) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and films and structures comprising metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
WO2020023854A1 (en) * 2018-07-27 2020-01-30 Applied Materials, Inc. Gas distribution plate for thermal deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
TWI754180B (en) * 2018-10-29 2022-02-01 美商應用材料股份有限公司 Processing chamber and method of forming film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US10954595B2 (en) * 2019-07-30 2021-03-23 Applied Materials, Inc. High power showerhead with recursive gas flow distribution
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11564292B2 (en) * 2019-09-27 2023-01-24 Applied Materials, Inc. Monolithic modular microwave source with integrated temperature control
US11881384B2 (en) * 2019-09-27 2024-01-23 Applied Materials, Inc. Monolithic modular microwave source with integrated process gas distribution
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11742231B2 (en) * 2019-10-18 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Movable wafer holder for film deposition chamber having six degrees of freedom
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
JP2021109175A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Gas supply assembly, components thereof, and reactor system including the same
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
JP2021172884A (en) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride-containing layer and structure comprising vanadium nitride-containing layer
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202200837A (en) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Reaction system for forming thin film on substrate
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
US20220020612A1 (en) * 2020-07-19 2022-01-20 Applied Materials, Inc. Systems and methods for faceplate temperature control
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US20220093361A1 (en) * 2020-09-22 2022-03-24 Applied Materials, Inc. Showerhead assembly with recursive gas channels
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN114306984B (en) * 2020-10-09 2022-11-08 上海沃尔沃汽车研发有限公司 Fire extinguishing device and battery test equipment
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023151608A (en) 2022-03-31 2023-10-16 東京エレクトロン株式会社 Substrate processing device

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040212947A1 (en) * 2003-04-22 2004-10-28 Applied Materials, Inc. Substrate support having heat transfer system
US20070039942A1 (en) * 2005-08-16 2007-02-22 Applied Materials, Inc. Active cooling substrate support
US20080035306A1 (en) * 2006-08-08 2008-02-14 White John M Heating and cooling of substrate support
KR20080041893A (en) * 2006-11-08 2008-05-14 주식회사 아토 A chuck for heating and cooling
US20080202425A1 (en) * 2007-01-29 2008-08-28 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001068538A (en) * 1999-06-21 2001-03-16 Tokyo Electron Ltd Electrode structure, mounting base structure, plasma treatment system, and processing unit
US7436645B2 (en) * 2004-10-07 2008-10-14 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
WO2008118483A1 (en) * 2007-03-27 2008-10-02 Structured Materials Inc. Showerhead for chemical vapor deposition (cvd) apparatus

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040212947A1 (en) * 2003-04-22 2004-10-28 Applied Materials, Inc. Substrate support having heat transfer system
US20070039942A1 (en) * 2005-08-16 2007-02-22 Applied Materials, Inc. Active cooling substrate support
US20080035306A1 (en) * 2006-08-08 2008-02-14 White John M Heating and cooling of substrate support
KR20080041893A (en) * 2006-11-08 2008-05-14 주식회사 아토 A chuck for heating and cooling
US20080202425A1 (en) * 2007-01-29 2008-08-28 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10780447B2 (en) 2016-04-26 2020-09-22 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead

Also Published As

Publication number Publication date
TW201144478A (en) 2011-12-16
US20110180233A1 (en) 2011-07-28
WO2011094143A3 (en) 2011-11-24

Similar Documents

Publication Publication Date Title
US20110180233A1 (en) Apparatus for controlling temperature uniformity of a showerhead
US10386126B2 (en) Apparatus for controlling temperature uniformity of a substrate
JP7393501B2 (en) Semiconductor processing chamber to improve precursor flow
US10062587B2 (en) Pedestal with multi-zone temperature control and multiple purge capabilities
US8633423B2 (en) Methods and apparatus for controlling substrate temperature in a process chamber
US10332772B2 (en) Multi-zone heated ESC with independent edge zones
US10780447B2 (en) Apparatus for controlling temperature uniformity of a showerhead
US20090159566A1 (en) Method and apparatus for controlling temperature of a substrate
US20070139856A1 (en) Method and apparatus for controlling temperature of a substrate
US9947559B2 (en) Thermal management of edge ring in semiconductor processing
US9248509B2 (en) Multi-zoned plasma processing electrostatic chuck with improved temperature uniformity
CN105870039B (en) Dual zone heater for plasma processing
KR20090037839A (en) Electrostatic chuck assembly
WO2014116392A1 (en) Electrostatic chuck with concentric cooling base
US20130276980A1 (en) Esc with cooling base
CN110914954B (en) Bevel etch profile control
KR20230024385A (en) Asymmetric Exhaust Pumping Plate Design for Semiconductor Processing Chambers
WO2021021537A1 (en) Methods and apparatus for dual channel showerheads
KR20230085686A (en) Apparatus for processing substrate

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 11737479

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 11737479

Country of ref document: EP

Kind code of ref document: A2