TW201144478A - Apparatus for controlling temperature uniformity of a showerhead - Google Patents

Apparatus for controlling temperature uniformity of a showerhead Download PDF

Info

Publication number
TW201144478A
TW201144478A TW100100533A TW100100533A TW201144478A TW 201144478 A TW201144478 A TW 201144478A TW 100100533 A TW100100533 A TW 100100533A TW 100100533 A TW100100533 A TW 100100533A TW 201144478 A TW201144478 A TW 201144478A
Authority
TW
Taiwan
Prior art keywords
showerhead
heat transfer
transfer fluid
flow paths
flow
Prior art date
Application number
TW100100533A
Other languages
Chinese (zh)
Inventor
Kallol Bera
James D Carducci
Hamid Noorbakhsh
Larry D Elizaga
Douglas A Buchberger Jr
Andrew Nguyen
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201144478A publication Critical patent/TW201144478A/en

Links

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F28HEAT EXCHANGE IN GENERAL
    • F28FDETAILS OF HEAT-EXCHANGE AND HEAT-TRANSFER APPARATUS, OF GENERAL APPLICATION
    • F28F7/00Elements not covered by group F28F1/00, F28F3/00 or F28F5/00
    • F28F7/02Blocks traversed by passages for heat-exchange media
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Thermal Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

An apparatus for controlling thermal uniformity of a substrate-facing surface of a showerhead is provided herein. In some embodiments, the thermal uniformity of the substrate facing surface of the showerhead may be controlled to be more uniform. In some embodiments, the thermal uniformity of the substrate facing surface of the showerhead may be controlled to be non-uniform in a desired pattern. In some embodiments, an apparatus for controlling thermal uniformity of a substrate-facing surface of a showerhead may include a showerhead having a substrate facing surface and one or more plenums for providing one or more process gases through a plurality of gas distribution holes formed through the substrate facing surface of the showerhead; and a plurality of flow paths having a substantially equivalent fluid conductance disposed within the showerhead to flow a heat transfer fluid.

Description

201144478 六、發明說明: 【發明所屬之技術領域】 本發明之實施例通常是有關用於處理基板的設備。 【先前技術】 在許多傳統的基板製程中,在氣體分佈設備、或噴頭 中可提供冷卻通道’用以在處理過程期間幫助冷卻面向 製程容積的喷頭面板’而能夠在面板上維持所期望的w 度分佈。該冷卻通道通常是被設置用以在基板處理期= 提供喷頭面板的期望溫度分佈》 本發明人提供一種經改善用於控制喷頭面板的溫度分 佈的設備。 【發明内容】 在此提供用於控制面向基板的喷頭表面的溫度均勻度 的設備。在一些實施例中,可將面向基板的噴頭表面的 溫度均勻度控制地更均勻。在一些實施例中,可將面向 基板的喷頭表面的溫度均勻度控制為所預期的非均勻形 式。在一些實施例中,用於控制面向基板的喷頭表面溫 度均勻度的設備包含:喷頭’其具有面向基板的表面以 及一或多個風管’該風管透過形成穿過面向基板的喷頭 表面的複數個氣體分散孔洞來提供一或多種製程氣體; 以及複數個流動路徑,其具有實質上等值的流體傳導 201144478 性,且將其設置在該喷頭中用以流動熱傳遞流體。 在_實轭例中’用於控制面向基板的喷頭 Γ句度的設備包含:喷頭,其具有面向基板的表面以 -或多個風管,該風管透過形成穿過面向基板的喷頭 表面的複數個氣體分散孔洞來提供一或多種製程氣體,· 以及流動路徑’其設置在喷頭中且具有人口及出口用以 流動熱傳遞流體穿過句·、h P ^ 芽H,,L動路徑,纟中該流動路徑包含 第一部份以及第二部份,每—個部份包含實質上等值的 軸長’其中將第一部份設置在遠離第二部份約2 _至 10 mm的位晉,日盆由# 上 置且其中第一部份的熱傳遞流體流動與第 二部份的熱傳輸流體流動為相反方向。 在-實施例中,用於控制面向基板的喷頭表面的溫 度均勾度的設備包含:喷頭,其具有面向基板的表面以 及-或多個風管’該風管透過形成穿過面向基板的噴頭 表面的複數個氣體分散孔洞來提供一或多種製程氣體; 以及设置在噴頭中的第-流動路徑與第二流動路徑,每 一個路徑具有入口及出口用以流動熱傳遞流體穿過個別 的流動路徑’其中每一個流動路徑具有實質上等值的轴 長’且其中第-流動路徑與第二流動路徑是沿著貫穿喷 頭中心轴的一軸線為反向對稱。 提供上述的發明内容來簡短地描述本發明的一些態樣 且並非意圖去限制本發明之範鳴。在以下 將提供本發明的其他實施例以及變化例。 " 5 201144478 【實施方式】 本發明人已觀察到傳統的 佈,立合、…t 的噴頭具有非期望的溫度分 ,. 果本發明之實施例提供 在處理期間用於控制喷頭溫度的設備…: to ^ 又旳°又備。該設備可在處理 期間控制喷頭的溫度均勾度 A其4 二貫施例中,可將面 向基板的喷頭表面的 度制為更均勻。在-些 貫施例中’可將面向基 的噴碩表面的溫度均勻度控制 =期的非均勾形式。在-些實施例中,本發明設備 4地提供一或多個流動路徑,該流動路徑提供熱傳 遞 >瓜體逆流,因而幫助押制 ;助徑制穿越喷頭面板的溫度分佈。 此外’在-些實施例中,本發明設備可有利地提供具有 複數個机動路徑的喷頭,該流動路徑提供熱傳遞流體的 '曰机動因而幫助控制穿越喷頭面板的溫度分佈。 第1圖疋根據本發明的一些實施例來說明製程腔室 其適α使用在與控制喷頭的溫度均勻度有關的設備 中示例诠的製程腔室包含可由位於加州聖大克勞拉市 應用材料公司(Applied Materials, Inc.)所購得之 DPS、ENABLER®、SIGMAtm、ADVANTEDGEtm、或其 他製程腔室。包含任何使用喷頭來執行基板製造程序的 其他適合腔室也被考慮在内。 在一些實施例中,製程腔室100通常包含腔室主體 1 02 ’其界定内部製程容積丨〇4以及排氣容積1 〇6。舉例 來說’將内部製程容積104界定在基板支撐件108與一 6 201144478 或多個氣體入口(例如在期望的位置上所提供之喷頭i i 4 及/或喷嘴)之間,該基板支撐件108設置在製程腔室1〇〇 中,用於在處理期間將基板110支撐在其上方。舉例來 说’將排氣容積界定在基板支撐件1〇8與製程腔室1〇2 的底部之間。 基板支撐件108通常包含主體143,其具有基板支撐 表面141用以在其上方支標基板11〇。在一些實施例中, 基板支撐件108包含一機構,其在基板支撐件1〇8的表 面上固定或支撐基板11〇,例如靜電夾具、真空卡盤、 基板固定夾具等等(未圖示)。 在些實施例中,基板支撐件1 08包含射頻(rf)偏壓 電極168。透過一或多個個別的匹配網路將射頻(RF)偏壓 電極耦接至一或多個射頻偏壓電源(在第丨圖中繪示一個 射頻偏壓電源148A與一個匹配網路146A)。一或多個偏 壓電源在約為2MHz、或約為13.56 MHz、或約為60MHz 的頻率下能夠產生高達12〇〇〇w的功率。在一些實施例 中’可提供兩個偏壓電源,在約為2 MHz與約為 13 ·5 6MHz的頻率下透過個別的匹配網路將射頻電源耦 接至射頻偏壓電極。在一些實施例中,可提供三個偏壓 電源’在約為2 MHz、約為13.56MHz以及約為60 mHz 的頻率下透過個別的匹配網路將射頻電源耦接至射頻偏 壓電極。至少一個偏壓電源可提供連續或脈衝電源。在 一些實施例中,偏壓電源可為直流(DC)電源或經脈衝的 直流(DC)電源。 7 201144478201144478 VI. Description of the Invention: TECHNICAL FIELD OF THE INVENTION Embodiments of the present invention generally relate to an apparatus for processing a substrate. [Prior Art] In many conventional substrate processes, a cooling channel 'in the gas distribution device, or the showerhead, can be provided to help cool the showerhead panel facing the process volume during the process, while maintaining the desired on the panel w degree distribution. The cooling passage is typically configured to provide a desired temperature profile for the showerhead panel during substrate processing period. The inventors provide an improved apparatus for controlling the temperature distribution of the showerhead panel. SUMMARY OF THE INVENTION An apparatus for controlling temperature uniformity of a surface of a showerhead facing a substrate is provided herein. In some embodiments, the temperature uniformity of the surface of the showerhead facing the substrate can be controlled to be more uniform. In some embodiments, the temperature uniformity of the surface of the showerhead facing the substrate can be controlled to the desired non-uniform form. In some embodiments, an apparatus for controlling temperature uniformity of a surface of a showerhead facing a substrate includes: a showerhead having a surface facing the substrate and one or more air ducts through which the air duct is formed to pass through the substrate-facing spray A plurality of gas-dispersing holes in the surface of the head provide one or more process gases; and a plurality of flow paths having substantially equivalent fluid conductivity 201144478 properties and disposed in the showerhead for flowing heat transfer fluid. In the example of the yoke, the apparatus for controlling the squeaking of the substrate-facing nozzle includes: a showerhead having a surface facing the substrate, or a plurality of ducts, the duct being formed to pass through the spray facing the substrate a plurality of gas-dispersing holes in the surface of the head to provide one or more process gases, and a flow path 'which is disposed in the showerhead and has a population and an outlet for flowing the heat transfer fluid through the sentence ·, h P ^ bud H, In the L-path, the flow path includes a first portion and a second portion, each of the portions including a substantially equivalent axial length 'where the first portion is disposed away from the second portion by about 2 _ To the 10 mm position, the day basin is placed up to # and the first part of the heat transfer fluid flow and the second part of the heat transfer fluid flow in the opposite direction. In an embodiment, the apparatus for controlling the temperature uniformity of the surface of the showerhead facing the substrate comprises: a showerhead having a surface facing the substrate and/or a plurality of ducts through which the duct is formed to pass through a plurality of gas-dispersing holes in the surface of the showerhead to provide one or more process gases; and a first flow path and a second flow path disposed in the spray head, each path having an inlet and an outlet for flowing heat transfer fluid through the individual The flow path 'where each flow path has a substantially equivalent axial length' and wherein the first flow path and the second flow path are inversely symmetric along an axis extending through the central axis of the spray head. The above summary is provided to briefly describe some aspects of the invention and is not intended to limit the invention. Other embodiments and variations of the invention are provided below. " 5 201144478 [Embodiment] The inventors have observed that conventional cloths, heads of ..., have a non-desired temperature fraction, and embodiments of the present invention provide means for controlling the temperature of the nozzle during processing. ...: to ^ And 旳° is ready. The device can control the temperature of the nozzle during processing. A. In the four-pass example, the surface of the nozzle facing the substrate can be made more uniform. In some embodiments, the temperature uniformity of the base-facing blasting surface can be controlled in the form of a non-uniform hook. In some embodiments, the apparatus of the present invention provides one or more flow paths that provide heat transfer > counter-flow of the melon body, thereby facilitating the entanglement; the diameter distribution of the traversing nozzle panel. Moreover, in some embodiments, the apparatus of the present invention advantageously provides a spray head having a plurality of motorized paths that provide a 'turn maneuver of the heat transfer fluid and thereby help control the temperature distribution across the showerhead panel. 1A illustrates, in accordance with some embodiments of the present invention, a process chamber for use in an apparatus associated with controlling temperature uniformity of a showerhead, an exemplary process chamber including an application located in Santa Clara, California. DPS, ENABLER®, SIGMAtm, ADVANTEDGEtm, or other process chambers purchased by Applied Materials, Inc. Any other suitable chamber containing any of the nozzles used to perform the substrate fabrication process is also contemplated. In some embodiments, the process chamber 100 generally includes a chamber body 102' that defines an internal process volume 丨〇4 and an exhaust volume 1 〇6. For example, 'the internal process volume 104 is defined between the substrate support 108 and a 6 201144478 or a plurality of gas inlets (eg, the nozzles ii 4 and/or nozzles provided at desired locations), the substrate support 108 is disposed in the process chamber 1 for supporting the substrate 110 above it during processing. For example, the exhaust volume is defined between the substrate support 1〇8 and the bottom of the process chamber 1〇2. The substrate support 108 generally includes a body 143 having a substrate support surface 141 for supporting the substrate 11 在 above it. In some embodiments, the substrate support 108 includes a mechanism that secures or supports the substrate 11 on the surface of the substrate support 1A, such as an electrostatic chuck, a vacuum chuck, a substrate fixture, etc. (not shown) . In some embodiments, substrate support 108 includes a radio frequency (rf) bias electrode 168. A radio frequency (RF) bias electrode is coupled to one or more RF bias power sources via one or more individual matching networks (one RF bias power supply 148A and one matching network 146A are shown in the figure) . One or more bias voltage sources can generate up to 12 〇〇〇w of power at a frequency of about 2 MHz, or about 13.56 MHz, or about 60 MHz. In some embodiments, two bias supplies can be provided to couple the RF power source to the RF bias electrode through a separate matching network at a frequency of approximately 2 MHz and approximately 13 · 65 MHz. In some embodiments, three bias power supplies can be provided to couple the RF power source to the RF bias electrode through a separate matching network at a frequency of approximately 2 MHz, approximately 13.56 MHz, and approximately 60 mHz. At least one bias supply can provide a continuous or pulsed power supply. In some embodiments, the bias supply can be a direct current (DC) power supply or a pulsed direct current (DC) power supply. 7 201144478

在一些實施例中’基板支撐件108可包含一或多個機 構,其用於控制基板支撐件表面14丨以及設置在基板支 撐件表面141上方的基板11()的溫度。舉例來說,在基 板支撐件表面下方可提供一或多個通道(未圖示),用以 界定一或多個流動路徑,而流動熱傳遞流體,其與以下 所描述的喷頭114相似《用於控制基板支撐件溫度的設 備的額外細節,可參考2010年9月20曰由K. Bera等 人所申請之美國專利申請案號12/886,255,其標題為「用 於控制基板溫度均勻度之設備(apparatus F〇R CONTROLLING TEMPERATURE UNIFORMITY OF A SUBSTRATE)」。 將一或多個氣體入口(例如喷頭114)耦接至氣體供應 器116,用於提供一或多種製程氣體至製程腔室1〇〇的 製私谷積104中。雖然僅圖示一個嘴頭丨14,但可提供 額外的氣體入口,例如喷嘴或入口,其設置在頂棚或在 製程腔室100的側壁上、或根據製程腔室1〇〇所期望, 在其他適用於提供氣體位置上,例如製程腔室的基座、 基板支撐件的周圍等等。 在一些實施例中,將一或多個射頻(RF)電漿電源(僅繪 示一個射頻電漿電源148B)透過一或多個匹配網路146B 耦接至製程腔室102,用於提供電源來進行處理。在一 些實施例令’設備⑽可使用電容性福接射頻電源,將 其提供至接近製程腔室1〇2上部部份的上部電極。在製 程腔室102的上部部份中,該上部電極可為導體、或至 201144478 少一部份是由一或多個頂棚1 42、喷頭丨丨4等等所形成。 舉例來說,在一些實施例中,將一或多個射頻電漿電源 148B輕接至製程腔室1 〇2的頂棚1 42的導電部份、或輕 接至喷頭114的導電部份。頂棚142實質上可為平坦的, 但是亦可使用其他類型的頂棚,例如穹形須棚等等。在 約為2MHz及/或約13.56MHz的頻率、或更高的頻率(例 如27MHz及/或60MHz及/或162MHz)下,一或多個電聚 電源能夠產生高達5000W的功率。在一些實施例中,將 兩個射頻電源透過個別的匹配網路搞接至上部電極,在 約為2MHz以及約為13.56MHz的頻率下提供射頻電源。 或者,將一或多個射頻電源耦接至設置在接近製程腔室 102的頂棚的感應線圈元件(未圖示)上,利用感應性麵接 射頻電源來形成電漿❶ 在一些實施例中’將内部製程容積104流暢地耦接至 排氣系統120。排氣系統120可幫助來自製程腔室1〇2 的内部製程容積104的排放氣體的均勻流動。排氣系統 120通常包含抽取空間(pUmping pienuin) 124與複數個管 道(未圖示),該管道將抽取空間124耦接至製程腔室1〇2 的内部製程容積104。每一個管道具有耦接至内部製程 容積104(或,在一些實施例中,為排氣容積1〇6)的入口 122以及流暢地耗接至抽取空間124的出口(未圖示)。舉 例來說’每一個管道具有入口 122,其設置在製程腔室 10 2的側壁或底盤的下部區域。在一些實施例中,將該 等入口彼此實質上等距離地放置。 201144478 將真空幫浦.1 2 8透過抽取通口 1 2 6叙接至抽取空間 124,將來自製程腔室} 〇2的排放氣體抽出。根據適當的 排氣處理裝置的需求,可將真空幫浦128流暢地轉接至 排氣出口 13 2用以傳輸排放氣體。將閥體1 3 〇 (例如問門 閥等等)設置在抽取空間124中’與真空幫浦ι28的操作 合併用以幫助控制排放氣體的流速。雖然圖中是繪示z 型運動閘門閥,但可使用任何適用於控制排放氣體流速 的程序可相容閥體。 在操作中’基板110可透過在腔室主體1〇2中的開口 112進入製程腔室1〇〇。透過狹缝閥118或透過其他可選 擇性提供通道穿過開口 112至腔室内部的機構,將開口 112選擇性的封閉。將基板支樓件1〇8 #接至升降機構 134,其可在下部位置(如圖所示)與可選擇的上部位置之 間控制基板支撐件1〇8的位置,該下部位置適用於透過 開口 112來傳輸基板進入或離開腔室,而該可選擇的上 部位置適用於進行程序。對於特定程序步驟而言可選擇 程序位置以達到最大的程序均勻度。當位於至少一個已 升高的處理位置時’將基板支㈣⑽設置在開口 112 上方用以提供對稱的處理區域。當基板m被設置在製 程腔室將腔室抽空至適合形成電漿的壓力,且 透過喷頭m(及/或其他氣體入口)將一或多種製程氣體 引入腔室中。提供射頻電源來撞擊與維持來自製程氣體 的電漿,用以處理基板。 如上述實例 在處理期間, 可控制噴頭114的溫度用 10 201144478 以在穿越面向基板的哈涵 土㈣贺頊m表面上提供更均勻的溫度 分佈。舉例來說,第1A囝β柄秘丄。 圖疋根據本發明的一些實施例來 說明喷頭的截面側視圖。喷 # 口赁頊114通常包含一或多個風 官15〇,透過複數個導管i fe 〇2將3亥風官15〇耦接至複數 個氣體分散孔洞154,以所期望的方式提供製程氣體至 ㈣1風f 15()分區安置且將㈣接至氣體供 應器116’用以提供—或多種製程氣體至風管15〇。 在一些實施例中,將風管15〇設置在第一平板156與 第一平板158之間。該風管可形成在一個平板中或部分 形成在兩個平板中。在第1A圓所描述的實施例中,利用 在第二平板158中的凹部來形成風管15〇,且利用第一 平板156提供可覆蓋該凹部的頂蓋來界定風管15〇。在 一些實施例中,介於風管15〇間的寬度、或介於第一平 板156與第二平板158之間的接觸寬度(例如第ia圖中 的170),約為〇.4英吋至約4.0英吋。當預期能提供介 於第一平板156與第二平板158之間的熱傳輸速度及/或 方式的額外控制時,介於第一平板156與第二平板158 之間的接觸寬度在不同的接觸位置(如第丨八圖所描述 的,例如中心、中間、及邊緣)之間會有變化。 在一些實施例中,藉由面向基板的第三平板(或面 板)160的表面來提供喷頭114的面向基板側,該第三平 板160透過接合層162與第二平板158接合。面板ι6〇 包含具有一尺寸以及幾何形狀的複數個孔洞154,其能 以所期望的體積以及型式將製程氣體由風管提供至腔室 11 201144478 I在些貫她例中,在第二平板i58(或在面板⑽、 或部分在該主體以及哕 μ面板中)的面向基板側令 部1“,用以將複數俩孔洞 ,供凹 ⑸。在-些實施例中十…:接至一或多個導管 第二千板可由碳化矽所製成。 、頭114可包含—或多個用於控制噴頭114溫度的機 構。舉例來說,纟-此會旛彻由W 又旳機 二貫施例中,將一或多個加熱器設 置在接近喷頭U4處, 又 用以進—步幫助控制喷頭1M的 面板160的溫度。在-些實施例中,第二平板158可包 含-或多個加熱器元件166。該加熱器元件166具有所 期望的尺寸W及型式’當期望能夠在穿越面向基板的喷 頭114表面(例如,穿越面板16〇)上維持預期溫度及/或 熱能分布時’該加熱器元件能提供熱能給喷頭。如第以 圖所示,儘管僅繪示兩個同心環狀的加熱器元件166, 但能夠使用其他數量的加熱器元件以及配置。 該加熱器可為任何形式的加熱器,其適用於提供面向 基板的喷帛114表面的溫度分佈控制。舉例來說,該加 熱器可為-或多個電阻加熱器。在一些實施例中,將加 熱器設置在風管15G的下方(例如,介於風管15〇與面向 基板的喷頭m、或面160表面之間)。一或多個加熱 器的數量以及配置是可以改變的,用以提供額外的溫度 分佈控制給面向基板的喷頭114表面。舉例來說,在使 用超過一個加熱器的實施例中,將該加熱器安置在複數 個區域中,用以幫助穿越面向基板的噴頭表面的溫 度控制’因此提供加強的溫度控制。 12 201144478 此外,在一些實施例中,舉例來說在第一平板丨56中 提供一或多個通道140,用以界定一或多個流動路徑(在 第2至8圖中有更完整的描述)’且經由該流動路徑來流 動熱傳遞流體。該熱傳遞流體可包含任何流體,其適合 提供所需的熱傳遞至喷頭U4或由喷頭丨14傳遞熱能^ 去。舉例來說,熱傳遞流體可為氣體,例如氦氣、氧氣 等等;或為液體,例如水、抗凍劑;或為醇類,例如甘 油、乙二醇、丙烯、甲醇;或為冷煤流體,例如fre〇n气例 如,氟氣碳化物或氫氟氣碳化物冷煤)、氨水等等。 將熱傳遞流體來源136耦接至通道14〇,用以提供熱 傳遞流體至-或多個通道14〇。熱傳遞流體來源' 136可 包含溫度控制裝置’例如冷卻器或加熱器,用以控制熱 傳遞流體的溫度。在熱傳遞流體來源136與一或多個通 道140之間提供一或多個閥門139(或其他流體控制裝 置)’用以獨立地控制熱傳遞流體到達每—個―或多個通 道140的流動速率。控制器137可控制-或多個閥門139 及/或熱傳輸流體來源136的操作。 —透過任何適用於形成—或多個通道14〇的手段,在喷 " 中或第平板156中形成一或多個通道丨4〇,其 具有適合的尺 月夠穿過其本身來流動熱傳遞流體。舉 例來說’在一此管 —耳苑例申,至少一部份的一或多個通道 140可部分加工 〜成*在第一平板156的分離頂部155以 及底部157其中一加^ 、 1固或兩者中。或者,將一或多個通道 140完全加工形 第一平板i 56的頂部或底部其中之 13 201144478 一中。在此實施例中’其他部分可提供通道1 4〇的頂蓋 或將插入件設置在每一個通道140的一部分中用以提供 頂蓋。在一些實施例中,一或多個通道140包含複數個 具有實質上等值的流體傳導性以及滯留時間的通道。在 一些實施例中,在一或多個通道140中可包含其他特 徵,用以改善介於熱傳遞流體與面向基板表面114之間 的熱傳遞。舉例來說’在一或多個通道140中可包含一 或多個凸片168 ’其為部分或完全延伸穿過該一或多個 通道140。凸片168提供增加的表面積用於熱傳輸,因 而能提高介於流動穿過一或多個通道140的熱傳遞流體 與喷頭114之間的熱傳輸。 在使用設備期間,以任何適用於提供穿越面向基板的 喷頭114表面的溫度分佈的適當控制的方法來配置一或 多個通道140。舉例來說,在一些實施例中以及如同第2 圖所說明’將一個通道140形成在喷頭114中,其界定 具有逆流配置的單一流動路徑202。將入口 206輕接至 流動路徑202的第—末端2〇5,以及將出口 2〇4耦接至 流動路徑202的第二末端2〇7,因此能幫助由入口 2〇6 至出口 204的熱傳遞流體流動。將入口 206耦接至熱傳 遞流體來源,用以提供熱傳遞流體,如同上述與第〗圖 相關的說明。將通道14〇(例如,流動路徑2〇2)環繞喷頭 中的物體來排列,例如風管丨4〇的氣管等等。 在一或多個通道14〇界定單一流動路徑2〇2的實施例 中,流動路徑202可包含第一部分21〇,其透過環套或 14 201144478 接頭鹰流暢地耦接至第二部分2i2。在此實施例中, 每一個第一部分210與第二部分212具有實質上等值的 軸長將5亥軸長界定為介於入口 206與用於第一部分21〇 的環套208之間的軸向距離,以及界定為介於環套雇 與用於第二部分212的出口 204之間的距離。將第一部 分210與第二部分212彼此接近地設置,用以幫助介於 第210與第二部分212之間的熱傳遞。舉例來說, "於第部分21〇與第二部分212之間的距離約為2顏 至30 mm,或介於約2mm至約i〇mm。在此實施例中, 設置第-部分210與第二部分212用以提供具有不同溫 度的熱傳遞流體逆流(以相反方向來流動),其允許由該 熱傳遞流體的較熱部分至該熱傳遞流體的較冷部分的熱 傳遞’因此可改善介於第一部分21〇與第二部分212之 間’在沿著個別部分的相等位置上的溫度均勻度。在一 些實施例t,將入口 2〇6與出口 2〇4彼此接近地設置, 且流動路徑202的第一部分21〇與第二部分212通 一起放射狀地向内以及朝向基板支撐件1〇8的中心點 川來纏繞,接著往回纏繞且通常向外放射狀地纏繞直 到第-部分2Π)與第二部分212的末端到達環套或接頭 208為止。第一料210與第二部》212的向内與向外 纏繞可為交錯的。當入口與出口靠近中心時,流動路徑 可先向外纏繞朝向周圍,接著向内纏繞朝向中心。如此 的配置有益於提供具有雙重逆流的流動路徑,亦即,第 一逆流配置為介於流動路徑2〇2的第一部分21〇與第二 15 201144478 部分2ί2的接近相鄰區域之間,且第二逆流配置是起因 於相鄰第-區域210與第二區域212的交錯縷繞。 雙重逆流配置有利於提供介於喷頭U4最高溫度與最 低溫度之間的較低溫度差異。舉例來說,在本發明人所 進行的示例性測試模型t,將具有雙㈣流配置的喷頭 (如上所述)以及具有單一逆流配置的傳統喷頭均勻地加 熱’並^在基板支料的㈣流動路徑中提供冷卻劑用 以移除來自喷頭的熱能。在雙重逆流配置中穿越產生溫 度分佈的喷頭所得到的溫度穩定態測量值比傳統喷頭來 的更均勻。此外,介於每—個喷頭中的個別最高以及最 低溫度測量值之間的溫度差異,在雙重逆流配置中可有 利的比傳統喷頭來的低。 在-些實施例中’以及如第3圖所說明一或多個通 道140可界疋兩個或多個(繪示兩個)流動路徑3〇2、, 其透過共用的入口 310以及出口 3〇8彼此耦接。可以任 何配置來設置兩個或多個流動路徑3〇2與规,該配置 適用於提供實質上相等的熱傳遞流體流動以及適用於穿 越喷頭114來乂供溫度分佈的控制。舉例來說,如第3 圖所說明’在—些實施例中’兩個或多個流動路徑302 與3 06開始於入口 3 1〇且以不同的方向來排列用以覆蓋 喷頭的不同部分。 在些實施例中,兩個或多個流動路徑302與306可 具有實質上等值的軸長、截面積,因此能夠在每一個該 兩個或多個流動路徑3〇2與3〇6中提供實質上相等的熱 16 201144478 傳遞流體的流體傳導性以及滯留時間,因 ;北屌助介於 兩個或多個流動路徑302與3〇6之間的溫度均勾度。藉 由提供兩個或多個流動路徑3〇2與3〇6,可縮短每—個 該兩個或多個流動路徑3〇2與306的軸長(與覆蓋相同面 積的單一流動路徑比較起來),因而提供較短的流動路徑 給熱傳遞流體。該熱傳遞流體的較短流動路徑可降低介 於入口 310與出口 3〇8之間沿著兩個或多個流動路徑3〇2 與306的長度的溫度改變(與較長流動路徑比較起來 此外’藉由提供較短流動路徑給熱傳遞流體,亦可降低 介於兩個或多個流動路徑302與306的入口 310與出口 308之間的熱傳遞流體的壓力落差,其允許提供增加的 熱傳遞流體流動速率,因此更進一步地降低介於入口 31〇 與出口 3 0 8之間沿著兩個或多個流動路徑3 〇 2與3 〇 6的 長度的溫度改變。 在一些實施例中,如第4圖所說明,一或多個通道140 可界定複數個流動路徑(繪示三個)4〇8、410、412,其具 有實質上等值的流體傳導率與滯留時間。在此實施例 中,每一個該複數個流動路徑408、41〇、412包含耦接 至第一末端 402、404、406 的入口 414、418、422,以 及耦接至第二末端417、419、421的出口 416、420、424, 因此提供熱傳遞流體由入口 414、418、422至個別出口 416、420、424的流動路徑。將複數個流動路徑408、410、 412耦接至單一熱傳遞流體來源(與上述第i圖有關)。舉 例來說’將一個熱傳遞流體出口耦接至複數個流動路徑 17 201144478 出口用以提供由複數個流動路徑出口至熱傳遞流體來源 的熱傳遞流體流出。或者,將複數個流動路徑耦接至複 數個熱傳遞流體來源,其中將每一個該複數個流動路徑 408 410、412個別地耗接至分離的單一熱傳遞流體來 源。 以適用於穿越面向基板的喷頭114表面來提供溫度均 勻度的任何方法來安置複數個流動路徑408、41〇、412。 舉例來說,在一些實施例中,將複數個流動路徑4〇8、 410、412對稱地放置在喷頭114中,用以促進溫度均勻 度。藉由使用複數個流動路徑408、41〇、412,可縮短 每一個該複數個流動路徑408、410、412的軸長,其有 利於降低沿著複數個流動路徑4〇8、41〇、412的熱傳遞 流體的溫度改變,且因此增加溫度分佈的控制,該溫度 分佈是起因於與上述第3圖有關的原理,例如,滯留時 間、流體傳導性、降低的壓力落差。此外,肖由使用複 數個流動路徑408、410、412(其中每一個流動路徑均包 含入口 414、418、422 以及出口 416、420、424,如第 4 圖所說明),可增加遍及喷頭的熱傳遞流體的整體流動速 率,更進一步地在使用設備期間,幫助降低喷頭的溫度 範圍。在-些實施例中,安置每—個該複數個流動路徑 用以在已知的流動路徑中提供逆流。在一些實施例中, 可設置每一個流動路徑的一部份鄰接至另一個流動路徑 用以提供逆流。藉φ以逆流配置的方式來提供每一個流 動路徑以及選擇性地鄰接流動路徑,可更進一步地改^ 18 201144478 溫度均勻度。 、在些貫施例中,以及如第5圖所說明,一或多個通 道140可界定複數個流動路徑(圖示六個5〇4、5M、 510、512,其女置在複數個區域525 526、528中。 、適用於穿越面向基板的噴頭114表面來提供溫度均勻 度的任何方法’來安置複數個區域525、似、528。舉 例來說’如第5圖所示,該等區域525、、528可具 有實質上等值的表面積以及穿越喷頭114來對稱地安置 該等區域。在此實施例中,每一個區域525、5%、 可包含兩個或多個該複數個流動路徑,其耦接至共用的 入口與出口。舉例來說,如第5圖所示,將流動路徑5〇2 與504耦接至共用的入口 514以及共用的出口 516;將 流動路徑506與508耦接至共用的入口 518以及共用的 出口 520以及將流動路徑51〇與512耦接至共用的入口 522以及共用的出口 524。在此實施例中,每一個該複數 個流動路徑502、504、506、508、510、512可包含實質 上等值的軸長以及截面積,因此在每一個該複數個流動 路徑502、504、506、508、510、512中提供實質上相同 的熱傳遞流體的流體傳導性以及至滯留時間,因而幫助 在每一個區域525、526、528中的溫度均勻度。在一些 實施例中,將共用的入口 514、518、522麵接至熱傳遞 流體來源(未圖示),其設置用以提供熱傳遞流體,如上 述與第1圖有關。或者,在一些實施例中,將分開的熱 傳遞流體來源耦接至每一個入口 514、518、522用以分 19 201144478 別提供熱傳遞流體至每一個區域5 2 5、5 2 6、5 2 8。 藉由在每一個區域525、526、528中使用兩個或多個 複數個流體路徑502、504、506、508、510、512,可縮 短每一個該複數個流體路徑502、5〇4、5〇6、5〇8、51〇、 5 12的轴長’其可有利於允許降低沿著流動路徑$ 〇 2、 504、506、508、510、512的熱傳遞流體的溫度改變, 且因此提尚起因於上述原理的溫度均勻度控制。 另’或合併’在一些實施例中以及如第6圖所說明, 在内部區域602與外部區域604中亦可安置複數個流動 路徑(繪示六個)606、608、610、624、626、628,其中 將外部區域604由内部區域602放射狀地朝外設置。每 一個内部區域602以及外部區域604包含任何數量的複 數個流動路徑606、608、610、624、626、628,且以任 何適用於穿越基板支撐件108來促進溫度均勻度的方法 來安置該等區域。舉例來說,如第6圖中所說明,内部 區域602可包含複數個(圖示三個)流動路徑6〇6、608、 61〇’其具有實質上等值的轴長以及流體傳導性,且將其 對稱地設置在喷頭114中。每一個該複數個流動路徑 606、608、610 包含入口 612、616、620 以及出口 614、 618、622。將複數個流動路徑606、608、610搞接至共 用熱傳遞流體來源(未圖示)’其設置用以提供熱傳遞流 體,如上述與第1圖有關。或者,在一些實施例中,將 刀開的熱傳遞流體來源耗接至每一個入口 612、616、620 用以個別提供熱傳遞流體至每一個流動路徑6 0 6、6 0 8、 20 201144478 610。 在一些實施例中,内部區域602可包含其他流體路徑 的配置,用以幫助穿越基板支撐件108的溫度均勻度。 舉例來說,在一些實施例中,内部區域602可更進一步 包含複數個對稱放置的區域,其中每一個該複數個區域 包含超過一個耦接至共用入口以及出口的流動路徑,如 上述與第5圖有關的實施例。 在一些實施例中,外部區域604包含複數個(圖示三個) 流動路徑624、626、628,其中每一個複數個流動路徑 624、626、628 包含入口 632、636、640 以及出口 630、 634、638。在一些實施例中,將每一個複數個流動路徑 624、626、6^28設置在與内部區域602的複數個流動路 徑606、608、61 0相應的流動路徑相鄰處。在此實施例 中’在外部區域604中的複數個(圖示三個)流動路徑 624、026、628可提供與内部區域602的複數個流動路 徑606、608、610的相鄰流動路徑相對應的熱傳遞流體 逆流’其允許由熱傳遞流體的較熱部份至熱傳遞流體的 較冷部份的熱傳遞’因此能夠幫助介於外部區域6〇4與 内部區域602之間的溫度均勻度。在一些實施例中,在 内部區域602與外部區域604之間提供障壁603,用以 幫助在每一個區域中的獨立溫度控制以及在該等區域之 間的溫度均勻度。在一些實施例中,障壁6〇3可為絕緣 體’舉例來說’例如空氣間隙,其寬度約為lmm至約 10mm。 21 201144478 在提供數個熱傳遞流體流動路徑區域的實施例中,將 閥門(例如,繪示在第1圖中的閥門139)耦接至該複數個 抓動路徑至少一個(在—些實施中,耦接至每一個該複 數個流動路徑)’用以控制流動穿過該-或多個流動路徑 的熱傳遞流體的流動速率。將控制器耦接至每一個閥 門’用以控制其操作(例如’緣示在帛1圖中的控制器 137)。控制每一個閥門,用以獨立地提供穿過每一區域 中的流動路杈的熱傳遞流體的預期流動速率。因此,相 對於其他區域中的流動速率,可提高或降低特定區域中 的流動速率。舉例來說’根據預期使面向基板的喷頭114 表面的溫度分佈更均勻或為可控制地非均勻(例如,用以 控制程序產生熱相絲序),來提高外部區域巾的流動速 率用以移除更多的熱,或降低外部區域中的流動速率用 以移除較少的熱。 兩個或多個區域(在第7圖中繪示四個區域7〇2、 7〇4、706、708),該等區域為對稱排列(如第7圖中的四 重對稱圖案)’其中每一個區域(例如,7〇2、7〇4、取、 7〇8)包含至少一個流動路徑(例如,γ2ό、、73〇、乃2), 該流動路徑在喷頭114周圍的方㈣方向中界定遞迴的 流動形式。在此實施例中,每—個至少_個流動路經包 含實質上等值的轴長以及截面積,因此可提供實質上等 值的流體傳導性以及滯留時間。該遞迴的流動形式可有 利地提供具有較均勻傳導性的對稱流動路徑。因此,在 22 201144478 每一個至少一個流動路锃中的壓力以及流動速率可為更 句勻,其造成可提咼穿越面向基板的喷頭114表面的溫 度均勻度。 在—些實施例中,每一個至少一個流動路徑可包含入 (例如,710、712、714、716)以及出口(例如,718、72〇、 722、724) ’ |中將每—個入口以及出口耦接至共用的入 口(例如,734)以及共用的出口(例如,736)。在此實施例 中’介於每-個入口以及共用入口之間的距離,以及介 於每—個出口以及共用出口之間的距離是實質上等值 的’用以幫助在每一個流動路徑中提供實質上等值的埶 傳遞流體流動速率、壓力差以及滞留時間。藉由以所描 述的方式提供共料人口以及出σ,可提供具有相同速 率、壓力等等的熱傳遞流體的流動路徑因此,穿過每 一個流動路徑的熱傳遞流體的流動速率可為實質上等 值’因而能夠縮小與熱傳遞流體㈣變流動有關的溫度 非均勻度。 第8圖是根據本發明的一些實施例來說明喷頭的其他 部份的截面頂視圖。如第8圖所說明,喷頭ιΐ4可包含 兩個流動通道14〇。該流動通道沿著轴線_為反向對 稱’該轴線8〇2貫穿喷頭114的中心軸(例如,圓形嘴頭 的直徑)。在設置在喷頭114的第—半部㈣上的人口 _ 與出口 808之間提供第—命I # 扠供弟,瓜動通道804。在設置在嘴頭 114的第二半吾"18上的入口 814與出。816之間提供 第二流動通道812。第二流動通道812可具有與第—流 23 201144478 動通道804相似或或相同的形狀,且其相對於第一流動 通道804為1 80度旋轉。在一些實施例中,將每一個流 動通道的入口以及出口放射狀地設置在接近流動通道的 外邊緣處。接著由入口朝向喷頭中心來排列流動通道的 路控’且由中心向外朝向噴頭的邊緣至出口處。排列每 一個流動通道140的路徑,用以在使用流動通道來改善 溫度均勻度的期間,提供經由此通道流動的熱傳遞流體 逆流。藉由提供相似或相同形狀的流動通道,可額外地 造成嗔頭114面向基板側的溫度分佈為更多方位地均 勻。該雙通道設計可降低介於通道間的壓力差,其可幫 助提供較大的流動速率,因此更進一步地提供熱能分佈 均勻度的改善。 在每一個上述的實施例中,該區域以及流動路徑方向In some embodiments, the substrate support 108 can include one or more mechanisms for controlling the substrate support surface 14 and the temperature of the substrate 11 () disposed above the substrate support surface 141. For example, one or more channels (not shown) may be provided below the surface of the substrate support to define one or more flow paths, while the flow of heat transfer fluid is similar to the showerhead 114 described below. For additional details of the apparatus for controlling the temperature of the substrate support, reference is made to U.S. Patent Application Serial No. 12/886,255, issued toK. Equipment F〇R CONTROLLING TEMPERATURE UNIFORMITY OF A SUBSTRATE). One or more gas inlets (e.g., showerheads 114) are coupled to gas supply 116 for providing one or more process gases to the process chamber 104 of the process chamber. Although only one mouthpiece 14 is illustrated, additional gas inlets, such as nozzles or inlets, may be provided, either on the ceiling or on the side walls of the process chamber 100, or as desired by the process chamber, in other Suitable for providing gas locations, such as the base of the process chamber, the periphery of the substrate support, and the like. In some embodiments, one or more radio frequency (RF) plasma power supplies (only one RF plasma power source 148B is shown) are coupled to the process chamber 102 via one or more matching networks 146B for providing power To handle it. In some embodiments, the device (10) can be supplied to the upper electrode of the upper portion of the process chamber 1〇2 using a capacitive RF power supply. In the upper portion of the process chamber 102, the upper electrode can be a conductor, or until 201144478, a portion is formed by one or more ceilings 142, nozzles 4, and the like. For example, in some embodiments, one or more radio frequency plasma power supplies 148B are lightly coupled to the conductive portion of the ceiling 1 42 of the process chamber 1 〇 2 or to the conductive portion of the shower head 114. The ceiling 142 may be substantially flat, but other types of ceilings may be used, such as a shackle or the like. At frequencies of about 2 MHz and/or about 13.56 MHz, or higher frequencies (e.g., 27 MHz and/or 60 MHz and/or 162 MHz), one or more of the electropolymer sources can generate up to 5000 W of power. In some embodiments, two RF power sources are coupled to the upper electrode through separate matching networks to provide RF power at a frequency of approximately 2 MHz and approximately 13.56 MHz. Alternatively, one or more RF power sources are coupled to an inductive coil element (not shown) disposed proximate the ceiling of the process chamber 102 to form a plasma using an inductively interfaced RF power source. In some embodiments The internal process volume 104 is smoothly coupled to the exhaust system 120. The exhaust system 120 can assist in the uniform flow of exhaust gases from the internal process volume 104 of the process chamber 1〇2. The exhaust system 120 generally includes a pumping space (pUmping pienuin) 124 and a plurality of tubes (not shown) that couple the extraction space 124 to the internal process volume 104 of the process chamber 1〇2. Each of the conduits has an inlet 122 coupled to the internal process volume 104 (or, in some embodiments, an exhaust volume 1〇6) and an outlet (not shown) that smoothly drains to the extraction space 124. For example, each of the conduits has an inlet 122 that is disposed in a side wall of the process chamber 102 or a lower region of the chassis. In some embodiments, the inlets are placed substantially equidistant from one another. 201144478 The vacuum pump.1 2 8 is connected to the extraction space 124 through the extraction port 1 2 6 , and the exhaust gas of the self-made process chamber 〇 2 is extracted. The vacuum pump 128 can be smoothly transferred to the exhaust outlet 13 2 for the delivery of exhaust gases as required by an appropriate exhaust treatment device. The valve body 1 3 〇 (e.g., a gate valve, etc.) is disposed in the extraction space 124' in combination with the operation of the vacuum pump ι28 to help control the flow rate of the exhaust gas. Although the figure shows a z-type motion gate valve, any program compatible valve body suitable for controlling the flow rate of the exhaust gas can be used. In operation, the substrate 110 is permeable to the process chamber 1 through the opening 112 in the chamber body 1〇2. The opening 112 is selectively closed through the slit valve 118 or through other means that selectively provides access to the interior of the chamber through the opening 112. Attaching the substrate support member 1〇8# to the lifting mechanism 134, which controls the position of the substrate support member 〇8 between the lower position (as shown) and the selectable upper position, the lower position being adapted for transmission The opening 112 is for transporting the substrate into or out of the chamber, and the selectable upper position is suitable for performing the procedure. Program locations can be selected for specific program steps to achieve maximum program uniformity. The substrate support (4) (10) is disposed over the opening 112 when located in at least one elevated processing position to provide a symmetrical processing area. The substrate m is disposed in the process chamber to evacuate the chamber to a pressure suitable to form a plasma, and one or more process gases are introduced into the chamber through the showerhead m (and/or other gas inlets). An RF power source is provided to strike and sustain the plasma from the process gas for processing the substrate. As in the above example, during processing, the temperature of the showerhead 114 can be controlled to provide a more uniform temperature distribution across the surface of the halved soil (four) that faces the substrate with 10 201144478. For example, the 1A囝β handle is a secret. Figure 疋 illustrates a cross-sectional side view of a showerhead in accordance with some embodiments of the present invention. The spray port 114 generally includes one or more wind commanders 15 〇, and the plurality of gas ducts 15 〇 are coupled to the plurality of gas dispersion holes 154 through a plurality of conduits i fe 〇 2 to provide process gas in a desired manner. To (4) 1 wind f 15 () partition placement and (4) to the gas supply 116' to provide - or a variety of process gases to the duct 15 〇. In some embodiments, the duct 15 is disposed between the first plate 156 and the first plate 158. The duct can be formed in one plate or partially formed in two plates. In the embodiment depicted in the 1A circle, the duct 15 is formed by a recess in the second plate 158, and the top plate 156 is provided with a top cover that covers the recess to define the duct 15A. In some embodiments, the width between the air ducts 15 or the contact width between the first flat plate 156 and the second flat plate 158 (for example, 170 in the ia diagram) is about 〇4 inches. Up to about 4.0 miles. The contact width between the first plate 156 and the second plate 158 is different when it is expected to provide additional control of the heat transfer speed and/or manner between the first plate 156 and the second plate 158. The position (as described in Figure 28, such as the center, middle, and edge) will vary. In some embodiments, the substrate-facing side of the showerhead 114 is provided by the surface of the third plate (or panel) 160 facing the substrate, the third flat plate 160 being joined to the second flat plate 158 through the bonding layer 162. The panel ι6〇 includes a plurality of holes 154 having a size and a geometry that can provide process gas from the duct to the chamber in a desired volume and pattern. 201144478 I In some cases, in the second plate i58 (or in the panel (10), or partially in the body and in the 面板μ panel) facing the substrate side 1", for the plurality of holes to be recessed (5). In some embodiments ten...: to one or The plurality of conduit second plates may be made of tantalum carbide. The head 114 may include - or a plurality of mechanisms for controlling the temperature of the showerhead 114. For example, the 纟 - this will be completely smashed by the W and the machine In one example, one or more heaters are disposed proximate to the showerhead U4 and are used to further assist in controlling the temperature of the panel 160 of the showerhead 1M. In some embodiments, the second panel 158 can include - or A plurality of heater elements 166. The heater elements 166 have a desired size and pattern 'when it is desired to maintain desired temperature and/or thermal energy distribution across the surface of the showerhead 114 facing the substrate (eg, across the panel 16A). When the heater element can provide heat Head. As shown in the figures, although only two concentric annular heater elements 166 are shown, other numbers of heater elements and configurations can be used. The heater can be any type of heater suitable for use. Providing temperature distribution control of the surface of the squirt 114 facing the substrate. For example, the heater may be - or a plurality of electrical resistance heaters. In some embodiments, the heater is disposed below the duct 15G (eg, Between the duct 15 〇 and the surface of the nozzle m facing the substrate, or the surface of the surface 160. The number and configuration of one or more heaters can be varied to provide additional temperature distribution control to the substrate-facing nozzle 114. For example, in embodiments where more than one heater is used, the heater is placed in a plurality of zones to aid in temperature control across the surface of the showerhead facing the substrate - thus providing enhanced temperature control. 201144478 Further, in some embodiments, one or more channels 140 are provided in the first plate raft 56, for example, to define one or more flow paths (in Figures 2 through 8 The complete description) 'and flows the heat transfer fluid via the flow path. The heat transfer fluid may comprise any fluid suitable to provide the desired heat transfer to or from the spray head U4. For example Said heat transfer fluid can be a gas, such as helium, oxygen, etc.; or a liquid, such as water, antifreeze; or an alcohol, such as glycerol, ethylene glycol, propylene, methanol; or a cold coal fluid, For example, fre〇n gas, for example, fluorine gas carbide or hydrofluorocarbon carbide cold coal, ammonia water, etc. The heat transfer fluid source 136 is coupled to the channel 14〇 to provide a heat transfer fluid to - or multiple channels The heat transfer fluid source '136 may include a temperature control device such as a cooler or heater to control the temperature of the heat transfer fluid. One or more valves 139 (or other fluid control devices) are provided between the heat transfer fluid source 136 and the one or more passages 140 to independently control the flow of heat transfer fluid to each of the one or more passages 140. rate. Controller 137 can control the operation of - or multiple valves 139 and/or heat transfer fluid source 136. - forming one or more channels 在4〇 in the spray " or in the flat plate 156 by any means suitable for forming - or a plurality of channels 14", having a suitable month and month to flow heat through itself Transfer fluid. For example, in one tube, the at least one portion of the one or more channels 140 may be partially processed into a * at the separate top 155 of the first plate 156 and at the bottom 157, one of which is ^, 1 solid Or both. Alternatively, one or more of the channels 140 are fully machined into the top or bottom of the first plate i 56 of which 13 201144478 one. In this embodiment, the other portion may provide a top cover for the channel 1 4 or an insert may be provided in a portion of each of the channels 140 to provide a top cover. In some embodiments, one or more of channels 140 comprise a plurality of channels having substantially equivalent fluid conductivity and residence time. In some embodiments, other features may be included in one or more of the channels 140 to improve heat transfer between the heat transfer fluid and the substrate facing surface 114. For example, one or more of the channels 140 may include one or more tabs 168 that extend partially or completely through the one or more channels 140. The tabs 168 provide increased surface area for heat transfer, thereby enhancing heat transfer between the heat transfer fluid flowing through the one or more channels 140 and the showerhead 114. During use of the device, one or more channels 140 are configured in any suitable manner suitable for providing a suitable control of the temperature profile across the surface of the nozzle 114 facing the substrate. For example, in some embodiments and as illustrated in Figure 2, a channel 140 is formed in the showerhead 114 that defines a single flow path 202 having a counterflow configuration. Lightly connecting the inlet 206 to the first end 2〇5 of the flow path 202 and coupling the outlet 2〇4 to the second end 2〇7 of the flow path 202, thus helping to heat from the inlet 2〇6 to the outlet 204 Transfer fluid flow. The inlet 206 is coupled to a source of heat transfer fluid for providing a heat transfer fluid, as described above in connection with the Figure. The channels 14A (e.g., flow path 2〇2) are arranged around objects in the showerhead, such as the air duct of the duct 丨4〇, and the like. In embodiments where one or more channels 14A define a single flow path 2〇2, the flow path 202 can include a first portion 21〇 that is smoothly coupled to the second portion 2i2 through a collar or 14 201144478 joint eagle. In this embodiment, each of the first portion 210 and the second portion 212 has a substantially equivalent axial length defining the axis length as 5 between the inlet 206 and the collar 208 for the first portion 21〇. The distance is defined as the distance between the collar and the outlet 204 for the second portion 212. The first portion 210 and the second portion 212 are disposed proximate to each other to assist in heat transfer between the 210th and second portions 212. For example, " the distance between the first portion 21〇 and the second portion 212 is about 2 to 30 mm, or between about 2 mm and about i〇mm. In this embodiment, the first portion 210 and the second portion 212 are configured to provide a reverse flow of heat transfer fluid having different temperatures (flowing in opposite directions) that allows for the heat transfer from the hotter portion of the heat transfer fluid to the heat transfer The heat transfer of the cooler portion of the fluid 'can thus improve the temperature uniformity between the first portion 21 〇 and the second portion 212 at equal positions along the individual portions. In some embodiments t, the inlet 2〇6 and the outlet 2〇4 are disposed adjacent to each other, and the first portion 21〇 of the flow path 202 and the second portion 212 are radially inwardly and toward the substrate support 1〇8 The center is entangled, then wound back and usually wrapped radially outward until the end of the second portion 212 and the end of the second portion 212 reaches the collar or joint 208. The inward and outward winding of the first material 210 and the second portion 212 may be staggered. When the inlet and outlet are near the center, the flow path can be wound outwardly toward the surroundings and then wound inward toward the center. Such a configuration is beneficial to provide a flow path with dual counterflows, that is, the first counterflow configuration is between the first portion 21〇 of the flow path 2〇2 and the adjacent region of the second 15 201144478 portion 2ί2, and the first The two backflow configuration is caused by the interlaced winding of the adjacent first-region 210 and the second region 212. The dual counterflow configuration facilitates providing a lower temperature differential between the highest and lowest temperatures of the nozzle U4. For example, in the exemplary test model t performed by the inventors, a showerhead having a dual (four) flow configuration (as described above) and a conventional showerhead having a single counterflow configuration are uniformly heated 'and on the substrate support A coolant is provided in the (iv) flow path to remove thermal energy from the showerhead. The temperature steady state measurements obtained across the nozzles that produce the temperature profile in a dual counterflow configuration are more uniform than those of conventional nozzles. In addition, the temperature difference between the individual highest and lowest temperature measurements in each nozzle is advantageous in a dual counterflow configuration that is lower than in conventional nozzles. In some embodiments, and as illustrated in FIG. 3, one or more channels 140 may define two or more (illustrated two) flow paths 3〇2, which pass through a common inlet 310 and an outlet 3. 〇8 are coupled to each other. The configuration can be configured to provide two or more flow paths 3 〇 2 and gauges that are adapted to provide substantially equal heat transfer fluid flow and control for passage through the showerhead 114 for temperature distribution. For example, as illustrated in FIG. 3, 'in some embodiments' two or more flow paths 302 and 306 start at inlet 3 1 〇 and are arranged in different directions to cover different portions of the showerhead. . In some embodiments, the two or more flow paths 302 and 306 can have substantially equivalent axial lengths, cross-sectional areas, and thus can be in each of the two or more flow paths 3〇2 and 3〇6 Providing substantially equal heat 16 201144478 The fluid conductivity and residence time of the transfer fluid is due to the temperature uniformity between the two or more flow paths 302 and 3〇6. By providing two or more flow paths 3〇2 and 3〇6, the axial length of each of the two or more flow paths 3〇2 and 306 can be shortened (compared to a single flow path covering the same area) ) thus providing a shorter flow path to the heat transfer fluid. The shorter flow path of the heat transfer fluid can reduce the temperature change between the inlet 310 and the outlet 3〇8 along the length of the two or more flow paths 3〇2 and 306 (compared to the longer flow path) By providing a shorter flow path to the heat transfer fluid, the pressure drop of the heat transfer fluid between the inlet 310 and the outlet 308 of the two or more flow paths 302 and 306 can also be reduced, which allows for increased heat. The fluid flow rate is communicated, thereby further reducing the temperature change between the inlet 31 〇 and the outlet 308 along the length of the two or more flow paths 3 〇 2 and 3 〇 6. In some embodiments, As illustrated in Figure 4, one or more of the channels 140 may define a plurality of flow paths (three shown) 4〇8, 410, 412 having substantially equivalent fluid conductivity and residence time. In the example, each of the plurality of flow paths 408, 41A, 412 includes an inlet 414, 418, 422 coupled to the first end 402, 404, 406, and an outlet coupled to the second end 417, 419, 421. 416, 420, 424, so mention The heat transfer fluid flows from the inlets 414, 418, 422 to the individual outlets 416, 420, 424. The plurality of flow paths 408, 410, 412 are coupled to a single source of heat transfer fluid (related to Figure ith above). 'Connecting a heat transfer fluid outlet to a plurality of flow paths 17 201144478 The outlets are used to provide a flow of heat transfer fluid from a plurality of flow path outlets to a source of heat transfer fluid. Alternatively, a plurality of flow paths are coupled to the plurality a source of heat transfer fluid, wherein each of the plurality of flow paths 408 410, 412 is individually consuming to a separate source of single heat transfer fluid. Any suitable for providing temperature uniformity across the surface of the showerhead 114 facing the substrate. The method places a plurality of flow paths 408, 41, 412. For example, in some embodiments, a plurality of flow paths 4〇8, 410, 412 are symmetrically placed in the showerhead 114 to promote uniform temperature. By using a plurality of flow paths 408, 41〇, 412, the axial length of each of the plurality of flow paths 408, 410, 412 can be shortened, which is advantageous for Lowering the temperature of the heat transfer fluid along the plurality of flow paths 4〇8, 41〇, 412, and thus increasing the control of the temperature profile resulting from the principles associated with Figure 3 above, eg, residence time Fluid conductivity, reduced pressure drop. In addition, a plurality of flow paths 408, 410, 412 are used (each of which includes inlets 414, 418, 422 and outlets 416, 420, 424, as shown in Figure 4). As illustrated, the overall flow rate of the heat transfer fluid throughout the showerhead can be increased, further helping to reduce the temperature range of the showerhead during use of the device. In some embodiments, each of the plurality of flow paths is disposed to provide countercurrent in a known flow path. In some embodiments, a portion of each flow path may be disposed adjacent to another flow path to provide counter flow. By providing φ in a countercurrent configuration to provide each flow path and selectively abutting the flow path, the temperature uniformity of 201144478 can be further improved. In some embodiments, and as illustrated in FIG. 5, one or more of the channels 140 may define a plurality of flow paths (six shown that five 〇4, 5M, 510, 512 are placed in a plurality of regions) 525 526, 528. Any method for traversing the surface of the showerhead 114 facing the substrate to provide temperature uniformity' to position a plurality of regions 525, 528, 528. For example, as shown in Figure 5, such regions 525, 528 may have substantially equivalent surface areas and symmetrically position the regions across the showerhead 114. In this embodiment, each region 525, 5% may comprise two or more of the plurality of flows a path that is coupled to a common inlet and outlet. For example, as shown in Figure 5, flow paths 5〇2 and 504 are coupled to a common inlet 514 and a shared outlet 516; flow paths 506 and 508 are Coupling to a common inlet 518 and a common outlet 520 and coupling the flow paths 51A and 512 to a common inlet 522 and a shared outlet 524. In this embodiment, each of the plurality of flow paths 502, 504, 506, 508, 510, 512 may contain substantial An upper axial length and a cross-sectional area, thus providing substantially the same fluid conductivity of the heat transfer fluid and retention time in each of the plurality of flow paths 502, 504, 506, 508, 510, 512, thus aiding Temperature uniformity in each of regions 525, 526, 528. In some embodiments, the common inlets 514, 518, 522 are surfaced to a source of heat transfer fluid (not shown) that is configured to provide heat transfer The fluid, as described above, is related to Figure 1. Alternatively, in some embodiments, a separate source of heat transfer fluid is coupled to each of the inlets 514, 518, 522 for dispensing 19 201144478 to provide heat transfer fluid to each zone 5 2 5, 5 2 6 , 5 2 8. By using two or more complex fluid paths 502, 504, 506, 508, 510, 512 in each of the regions 525, 526, 528, each can be shortened The axial lengths of the plurality of fluid paths 502, 5〇4, 5〇6, 5〇8, 51〇, 5 12 may be advantageous to allow reduction along the flow paths $ 〇 2, 504, 506, 508, 510, The temperature of the 512 heat transfer fluid changes, and therefore rises Temperature uniformity control in accordance with the above principles. In addition or in combination, in some embodiments and as illustrated in FIG. 6, a plurality of flow paths (depicted six) 606 may also be disposed in the inner region 602 and the outer region 604. 608, 610, 624, 626, 628, wherein the outer region 604 is radially outwardly disposed from the inner region 602. Each of the inner region 602 and the outer region 604 includes any number of plurality of flow paths 606, 608, 610, 624, 626, 628, and these regions are placed in any manner suitable for facilitating temperature uniformity across the substrate support 108. For example, as illustrated in FIG. 6, interior region 602 can include a plurality of (illustrated three) flow paths 6〇6, 608, 61〇' having substantially equivalent axial lengths and fluid conductivity, And it is symmetrically disposed in the head 114. Each of the plurality of flow paths 606, 608, 610 includes an inlet 612, 616, 620 and an outlet 614, 618, 622. A plurality of flow paths 606, 608, 610 are coupled to a common heat transfer fluid source (not shown) that is configured to provide a heat transfer fluid, as described above in connection with FIG. Alternatively, in some embodiments, a knife-open source of heat transfer fluid is drawn to each of the inlets 612, 616, 620 for individually providing a heat transfer fluid to each of the flow paths 6 0 6 , 6 0 8 , 20 201144478 610 . In some embodiments, the inner region 602 can include configurations of other fluid paths to aid in temperature uniformity across the substrate support 108. For example, in some embodiments, the inner region 602 can further include a plurality of symmetrically placed regions, wherein each of the plurality of regions includes more than one flow path coupled to the common inlet and the outlet, as described above and the fifth Figure related embodiment. In some embodiments, the outer region 604 includes a plurality of (illustrated three) flow paths 624, 626, 628, wherein each of the plurality of flow paths 624, 626, 628 includes inlets 632, 636, 640 and outlets 630, 634 638. In some embodiments, each of the plurality of flow paths 624, 626, 6^28 is disposed adjacent to the flow path corresponding to the plurality of flow paths 606, 608, 61 0 of the inner region 602. In this embodiment, a plurality (three illustrated) flow paths 624, 026, 628 in the outer region 604 may provide corresponding flow paths to the plurality of flow paths 606, 608, 610 of the inner region 602. The heat transfer fluid countercurrent 'which allows heat transfer from the hotter portion of the heat transfer fluid to the cooler portion of the heat transfer fluid' can thus help temperature uniformity between the outer region 6〇4 and the inner region 602 . In some embodiments, a barrier 603 is provided between the inner region 602 and the outer region 604 to assist in independent temperature control in each region and temperature uniformity between the regions. In some embodiments, the barrier ribs 3 〇 3 may be insulators such as, for example, air gaps having a width of from about 1 mm to about 10 mm. 21 201144478 In an embodiment providing a plurality of heat transfer fluid flow path regions, a valve (eg, valve 139 depicted in FIG. 1) is coupled to at least one of the plurality of gripping paths (in some implementations) And coupled to each of the plurality of flow paths) to control a flow rate of the heat transfer fluid flowing through the one or more flow paths. A controller is coupled to each valve ' to control its operation (e.g., the controller 137 shown in Figure 1). Each valve is controlled to independently provide an expected flow rate of heat transfer fluid through the flow path in each zone. Therefore, the flow rate in a particular area can be increased or decreased relative to the flow rate in other areas. For example, 'the temperature distribution of the surface of the substrate-facing showerhead 114 is more uniform or controlled to be non-uniform (eg, to control the program to generate a thermal phase filament) as desired to increase the flow rate of the outer zone towel for Remove more heat or reduce the flow rate in the outer area to remove less heat. Two or more regions (four regions 7〇2, 7〇4, 706, 708 are depicted in Figure 7), which are symmetrically arranged (as in the four-fold symmetric pattern in Figure 7) Each zone (eg, 7〇2, 7〇4, fetch, 7〇8) includes at least one flow path (eg, γ2ό, 73〇, 2) that is in the direction of the square (four) around the showerhead 114. Define the flow form of recursion. In this embodiment, each of the at least one flow paths contains substantially equivalent axial lengths and cross-sectional areas, thus providing substantially equivalent fluid conductivity and residence time. This recursive flow pattern advantageously provides a symmetric flow path with relatively uniform conductivity. Thus, the pressure and flow rate in each of the at least one flow path at 22 201144478 can be more uniform, which results in a temperature uniformity that can be traversed across the surface of the nozzle 114 facing the substrate. In some embodiments, each of the at least one flow path may include (eg, 710, 712, 714, 716) and an exit (eg, 718, 72〇, 722, 724) ' | The outlet is coupled to a common inlet (eg, 734) and a shared outlet (eg, 736). In this embodiment 'the distance between each of the inlets and the common inlet, and the distance between each of the outlets and the common outlet is substantially equivalent 'to help in each flow path A substantially equivalent enthalpy transfer fluid flow rate, pressure differential, and residence time are provided. By providing a commissary population and sigma in the manner described, flow paths of heat transfer fluids having the same rate, pressure, etc. can be provided. Thus, the flow rate of the heat transfer fluid through each flow path can be substantially The equivalence 'is thus able to reduce the temperature non-uniformity associated with the variable flow of the heat transfer fluid (4). Figure 8 is a cross-sectional top view illustrating other portions of the showerhead in accordance with some embodiments of the present invention. As illustrated in Figure 8, the printhead 4 can include two flow channels 14A. The flow passage is symmetrically symmetrical about the axis _ the axis 8 〇 2 extends through the central axis of the showerhead 114 (e.g., the diameter of the circular head). A first-order I# fork is provided between the population _ and the outlet 808 disposed on the first half (four) of the head 114, and the passage 804 is provided. At the entrance 814 on the second half of the mouth 114 is placed and exited. A second flow channel 812 is provided between 816. The second flow passage 812 can have a shape similar to or the same as the first flow 23 201144478 moving passage 804 and is rotated at 180 degrees with respect to the first flow passage 804. In some embodiments, the inlet and outlet of each flow channel are radially disposed adjacent the outer edge of the flow channel. The routing of the flow channel is then arranged by the inlet towards the center of the showerhead and from the center outward toward the edge of the showerhead to the exit. The path of each flow channel 140 is arranged to provide a counterflow of the heat transfer fluid flowing through the channel during use of the flow channel to improve temperature uniformity. By providing flow channels of similar or identical shape, the temperature distribution of the ram 114 toward the substrate side can be additionally increased to be more uniform in direction. This dual-channel design reduces the pressure differential between the channels, which helps provide a larger flow rate and therefore further improves the uniformity of thermal energy distribution. In each of the above embodiments, the area and the flow path direction

以更進一步地幫助穿越喷頭面板 但可在不偏離本 以及更進一步的 儘管前述是有關於本發明之實施例, 發明的基本範疇下來實施本發明的其他 實施例。 【圖式簡單說明】In order to further assist the traversing of the showerhead panel, other embodiments of the invention may be practiced without departing from the spirit and the scope of the invention. [Simple description of the map]

注意的 般實施例,因此 藉由參考附圖中所說明的本發明實施例 内容以及實施方式中的本發明實施例。 意的是,所附圖式僅說明本發明的— 24 201144478 並非限制本發明之範疇,對义 他等效實施例。 、务明而言,其可接受其 第1圖是根據本發明的— 製程腔室。 〜貫施例來說明具有喷頭的 弟1A圖是根據本發明的一些實 面側視圖。 第至6圖疋根據本發明的一些實施例 部份截面頂視圖。 第7圊是根據本發明的— 遞流體流動路徑。 施例來說明喷頭的截 來說明喷頭的 些實施例來說明喷頭的熱傳 第8圖疋根據本發明的—些實施例來說明喷頭的部份 截面頂視圖。 為了幫助理解,儘可能使用相同的元件符號來標示各 圖式t所共有的相同元件。該等圖式未按照比例來繪示 且為了清楚表達而簡化之。不需要進一步的說明即可了 解到一實施例中的元件以及特徵可以有利地併入其他實 施例中。 25 201144478 【主要元件符號說明】 100 製程腔室 102 腔室主體 104 内部製程容積 106 排氣容積 108 基板支樓件 110 基板 112 開口 114 喷頭 116 氣體供應器 118 狹縫閥 120 排氣系統 122 入口 124 抽取空間 126 抽取通道 128 真空幫浦 130 閥體 132 排氣出口 134 升降機構 136 熱傳遞流體來源 137 控制器 139 閥門 140 通道 141 基板支撐表面 142 頂棚 143 主體 146A-B匹配網路 148A射頻偏壓電源 148B 射頻電漿電源 150 風管 152 導管 154 氣體分散孔洞 155 頂部 156 第一平板 157 底部 158 第二平板 160 第三平板 162 接合層 164 凹部 166 加熱器元件 168 凸片 26 201144478 170 接觸寬度 202 單一流動路徑 204 出口 205 第一末端 206 入口 207 第二末端 208 環套/接頭 210 第一部分 212 第二部分 214 中心點 302 流動路徑 306 流動路徑 308 出口 310 入口 402 第一末端 404 第一末端 406 第一末端 408 流動路徑 410 流動路徑 412 流動路徑 414 入口 416 出口 417 第二末端 418 入口 419 第二末端 420 出σ 421 第二末端 422 入口 424 出口 502 流動路徑 504 流動路徑 506 流動路徑 508 流動路徑 510 流動路徑 512 流動路徑 514 共用的入口 516 共用的出口 518 共用的入口 520 共用的出口 522 共用的入口 524 共用的出口 525 區域 27 201144478 526 區域 528 區域 602 内部區域 603 障壁 604 外部區域 606 流動路徑 608 流動路徑 610 流動路徑 612 入口 614 出口 616 入口 618 出口 620 入口 622 出口 624 流動路徑 626 流動路徑 628 流動路徑 630 出口 632 入口 634 出口 636 入口 638 出σ 640 入口 702 區域 704 區域 706 區域 708 區域 710 入口 712 入口 714 入口 716 入口 718 出D 720 出口 722 出口 724 出口 726 流動路徑 728 流動路徑 730 流動路徑 732 流動路徑 734 共用的入口 736 共用的出口 802 軸 28 201144478 804 第一流動通道 806 入口 808 出口 810 第一半部 812 第二流動通道 814 入口 816 出σ 818 第二半部 29The embodiments are described with reference to the embodiments of the present invention and the embodiments of the present invention as described in the accompanying drawings. It is intended that the appended drawings are only illustrative of the scope of the invention. For the sake of clarity, it is acceptable. Figure 1 is a process chamber in accordance with the present invention. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS The Figure 1A with a showerhead is a side view of some aspects in accordance with the present invention. Figures 6 through 6 are partial cross-sectional top views in accordance with some embodiments of the present invention. Section 7 is a transfer fluid flow path in accordance with the present invention. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Embodiments of the showerhead are illustrated to illustrate the heat transfer of the showerhead. Figure 8 is a partial cross-sectional top view of the showerhead in accordance with some embodiments of the present invention. To assist in understanding, the same component symbols are used as much as possible to identify the same components that are common to each schema t. The drawings are not drawn to scale and are simplified for clarity of expression. The elements and features in one embodiment may be advantageously incorporated into other embodiments without further elaboration. 25 201144478 [Description of main components] 100 Process chamber 102 Chamber body 104 Internal process volume 106 Exhaust volume 108 Substrate extension 110 Substrate 112 Opening 114 Nozzle 116 Gas supply 118 Slit valve 120 Exhaust system 122 Entrance 124 Extraction space 126 Extraction channel 128 Vacuum pump 130 Valve body 132 Exhaust outlet 134 Lifting mechanism 136 Heat transfer fluid source 137 Controller 139 Valve 140 Channel 141 Substrate support surface 142 Ceiling 143 Main body 146A-B Matching network 148A RF bias Power Supply 148B RF Plasma Power Supply 150 Duct 152 Conduit 154 Gas Dispersion Hole 155 Top 156 First Plate 157 Bottom 158 Second Plate 160 Third Plate 162 Bonding Layer 164 Recess 166 Heater Element 168 Tab 26 201144478 170 Contact Width 202 Single Flow path 204 outlet 205 first end 206 inlet 207 second end 208 collar/joint 210 first portion 212 second portion 214 center point 302 flow path 306 flow path 308 outlet 310 inlet 402 first end 404 first end 406 first End 408 flow path Diameter 410 Flow path 412 Flow path 414 Inlet 416 Outlet 417 Second end 418 Inlet 419 Second end 420 Out σ 421 Second end 422 Inlet 424 Outlet 502 Flow path 504 Flow path 506 Flow path 508 Flow path 510 Flow path 512 Flow path 514 shared inlet 516 shared outlet 518 shared inlet 520 shared outlet 522 shared inlet 524 shared outlet 525 area 27 201144478 526 area 528 area 602 inner area 603 barrier 604 outer area 606 flow path 608 flow path 610 flow path 612 Inlet 614 Outlet 616 Inlet 618 Outlet 620 Inlet 622 Outlet 624 Flow Path 626 Flow Path 628 Flow Path 630 Outlet 632 Inlet 634 Outlet 636 Inlet 638 Out σ 640 Inlet 702 Area 704 Area 706 Area 708 Area 710 Entrance 712 Entrance 714 Entrance 716 Entrance 718 Out D 720 outlet 722 outlet 724 outlet 726 flow path 728 flow path 730 flow path 732 flow path 734 shared inlet 736 shared outlet 802 axis 28 201144478 804 808 outlet 806 inlet flow passage 810 of the first half portion 812 of the second inlet flow passage 814 second half σ 818 816 29

Claims (1)

201144478 七、申請專利範圍: 1. 一種用於控制面向基板的一噴頭表面的溫度均勻度 的設備,其包含: 一喷頭,其異有一面向基板的表面以及一或多個風 管’該風管透過形成穿過該噴頭的面向基板表面的複數 個氣體分散孔洞來板供或多種製程氣體;以及 複數個流動路徑’其具有實質上等值的流體傳導 性,且设置在該喷頭中用以流動一熱傳遞流體。 2. 如申請專利範圍第1項所述之設備,其更進一步包含: 複數個入口,每一個入口各自地耦接至該複數個流 動路徑中各自的一個的一第一末端;以及 複數個出口,每一個出口各自地耦接至該複數個流 動路授中各自的一個的一第二末端。 3. 如申請專利範圍第2項所述之設備,其中該複數個流 動路徑為對稱放置在該噴頭中。 4. 如申請專利範圍第3項所述之設備,其更進一步包含: 一熱傳遞流體入口,其搞接至該複數個入口用以提 供一熱傳遞流體的“至該複數個人π ;以及 一熱傳遞流體出口’其耦接至該複數個出口用以提 供來自複數個出口的一熱傳遞流體的流出。 30 201144478 5.如申„月專利範圍第3項所述之設備,复中每一個該複 數個流動路徑包含—遞迴對稱的圖案 6·如申β月專利範圍第】至5項中任一項所述之設備,其 中該喷頭更進一步包含: 第一平板,其具有至少部份設置在其中的該複數 個流動路徑;以及 一第二平板’其設置在緊鄰該第一平板處,該第二 平板具有至少部份形成在其中的該—或多個風管。 7.如申請專利範圍第u 5項中任一項所述之設備,其 中將該複數個流動路徑安置在複數個區域中,該區域相 對於該喷頭的+心軸具有放射狀對稱,其中每—個該 複數個區域包含至少兩個流動路徑。 8.如申請專利範圍第7項所述之設備,其中每一個該複 數個區域更進一步包含: 入口,其耦接至至少兩個流動路徑;以及 一出口’其耦接至至少兩個流動路徑。 9·如中請專利範圍第8項所述之設備,其中每—個該至 少兩個流動路徑為彼此對稱。 31 201144478 10·如申請專利範圍第i至5 ..貝中任一項所述之設備, 其中母—個該複數個流動 崎仅你耦接至—共用的入口以 及一共用的出口。 1至5項中任一項所述之設備 11.如申凊專利範圍第 其更進一步包含: 一奴、、傳遞流體來源,其設置用以提供該熱傳遞流體 、 流動路徑以及用以控㈣熱傳遞流體的溫度 以及流動速率。 項所述之設備 12.如申請專利範圍第1至5項中任 其中該噴頭更進一步包含: 的 一内部區域,其具有 複數個流動路控;以及 設置在其中的一第一複數個 外部區域,並具右士备要+廿山l ^ ^ ,、具有设置在其中的一第二複數個的 複數個流動路徑,相對 T於該喷頭的一中心點由該内部區 域向外放射狀地設置該外部區域。 Α如申請專利範圍第12項所述之設備,其中設置在該 噴頭的該外部區域申的每一個該複數個流動路徑是放置 在相鄰於设置在該喷頭的該内部區域中各自的每一個該 複數個流動路徑。 14. 如申請專利範圍第 U項所述之設備,其中設置在該 32 201144478 喷頭的該外部區域中的每一個該複數個 >友動路徑係經配 置用以提供一熱傳遞流體流動, 喷頭的該内部區域中的該複數個 其流動方向與設置在該 流動路徑中相鄰之一個 的熱傳遞流體的流動方向相反。 15.如申請專利範圍第 其更進一步包含: 1至5項中任一項所述之設備, 至少-閥門’其各自地耦接至該複數個流動路徑用 以控制該熱傳遞流體的流動速率。 16.如申請專利範圍第15項所述之設備,其更進一步包 含: -控制器’其耦接至至少一閥門用以控制該閥門的 操作。 Π.如申請專利範圍第^項中任一項所述之設備, 其中該喷頭更進一步包含: 至少一加熱元件,用以加熱該喷頭。 18.如申請專利範圍第17項所述之設備,其中該至少— 加熱元件包含安置在兩個或多個區域中的複數個加熱元 件。 19. 一種用於控制面向基板的一喷頭表面的溫度均勻声 33 201144478 的設備’其包含: —噴頭,其具"有一面向基板的表面以及—或多個 風管,該風管用於透過形成穿過該喷頭的面向基板表面 的複數個氣體分散孔洞來提供一或多種製程氣體;以及 —流動路徑’其設置在該喷頭中且具有一入口以 及一出口,用以流動一熱傳遞流體穿過該流動路徑,其 中該流動路徑包含一第一部份以及一第二部份,每一個 部份具有實質上等值的一轴長,其中該第一部份放置在 遠離該第二部份約2 mm至約10 mm的位置,且其中該 第一部份的熱傳遞流體流動與該第二部份的熱傳輸流體 流動為相反方向。 20. —種用於控制面向基板的一喷頭表面的溫度均勻度 的設備,其包含: 一喷頭’其具有一面向基板的表面以及—或多個 風管,該風管用於透過形成穿過該喷頭的面向基板表面 的複數個氣體分散孔洞來提供一或多種製程氣體;以及 一第一流動路徑以及一第二流動路徑,其設置在 該喷頭中,每-個流動路徑具有-入口以及-出口,用 以流動一熱傳遞流體穿過該各自的流動路徑,其中每一 個流動路徑具有督 貫質上等值的一軸長’且其中該第一. 動路徑與該第二汽 "L 流動路徑是沿著貫穿該喷頭的— 的一軸線為反向搿稱。 34201144478 VII. Patent application scope: 1. A device for controlling temperature uniformity of a surface of a nozzle facing a substrate, comprising: a nozzle having a surface facing the substrate and one or more air ducts The tube is supplied with a plurality of process gases through a plurality of gas-dispersing holes formed through the surface of the showerhead facing the substrate; and the plurality of flow paths have substantially equivalent fluid conductivity and are disposed in the showerhead The fluid is transferred by a heat transfer. 2. The apparatus of claim 1, further comprising: a plurality of inlets each coupled to a first end of each of the plurality of flow paths; and a plurality of outlets Each of the outlets is coupled to a second end of each of the plurality of flow paths. 3. The apparatus of claim 2, wherein the plurality of flow paths are symmetrically placed in the spray head. 4. The apparatus of claim 3, further comprising: a heat transfer fluid inlet that is coupled to the plurality of inlets to provide a heat transfer fluid to the plurality of individuals π; A heat transfer fluid outlet 'coupled to the plurality of outlets for providing an outflow of a heat transfer fluid from the plurality of outlets. 30 201144478 5. Each of the equipment described in claim 3 of the patent scope, each of the complex The apparatus of any one of the preceding claims, wherein the nozzle further comprises: a first plate having at least a portion And the plurality of flow paths disposed therein; and a second plate disposed adjacent to the first plate, the second plate having the one or more ducts at least partially formed therein. 7. The apparatus of any one of clauses 5, wherein the plurality of flow paths are disposed in a plurality of regions that are radially symmetric with respect to a + mandrel of the showerhead, wherein Each of the plurality of regions includes at least two flow paths. 8. The apparatus of claim 7, wherein each of the plurality of regions further comprises: an inlet coupled to the at least two flow paths; and an outlet coupled to the at least two flow paths . 9. The apparatus of claim 8 wherein each of the at least two flow paths are symmetrical to each other. The apparatus of any one of the above-mentioned patents, wherein the plurality of mobiles are coupled to the shared inlet and a common outlet. The apparatus of any one of clauses 1 to 5, further comprising: a slave, a source of transfer fluid, configured to provide the heat transfer fluid, a flow path, and to control (4) The temperature of the heat transfer fluid as well as the flow rate. The apparatus of claim 12, wherein the nozzle further comprises: an inner region having a plurality of flow paths; and a first plurality of outer regions disposed therein And having a right-handed manner + 廿山 l ^ ^ , having a second plurality of flow paths disposed therein, and a center point of the nozzle is radially outwardly disposed from the inner region The outer area. The apparatus of claim 12, wherein each of the plurality of flow paths disposed in the outer region of the showerhead is placed adjacent to each of the inner regions disposed in the showerhead One of the plurality of flow paths. 14. The apparatus of claim U, wherein each of the plurality of > friendly paths disposed in the outer region of the 32 201144478 showerhead is configured to provide a heat transfer fluid flow, The plurality of flow directions in the inner region of the showerhead are opposite to the flow direction of the heat transfer fluid disposed adjacent one of the flow paths. 15. The apparatus of any one of clauses 1 to 5, wherein at least the valve is coupled to the plurality of flow paths for controlling the flow rate of the heat transfer fluid. . 16. The apparatus of claim 15 further comprising: - a controller coupled to the at least one valve for controlling operation of the valve. The apparatus of any one of the preceding claims, wherein the nozzle further comprises: at least one heating element for heating the showerhead. 18. The apparatus of claim 17, wherein the at least one heating element comprises a plurality of heating elements disposed in two or more regions. 19. A device for controlling temperature uniform sound 33 facing a surface of a substrate facing a substrate. The device comprises: a showerhead having a surface facing the substrate and/or a plurality of ducts for transmitting Forming a plurality of gas dispersing holes through the surface of the showerhead facing the substrate to provide one or more process gases; and - a flow path disposed in the showerhead and having an inlet and an outlet for flowing a heat transfer Flowing through the flow path, wherein the flow path includes a first portion and a second portion, each portion having a substantially equivalent axial length, wherein the first portion is placed away from the second The portion is from about 2 mm to about 10 mm, and wherein the first portion of the heat transfer fluid flows in the opposite direction to the second portion of the heat transfer fluid. 20. An apparatus for controlling temperature uniformity of a surface of a showerhead facing a substrate, comprising: a showerhead having a surface facing the substrate and/or a plurality of air ducts for piercing through the formation a plurality of gas-dispersing holes facing the surface of the substrate of the showerhead to provide one or more process gases; and a first flow path and a second flow path disposed in the showerhead, each flow path having - An inlet and an outlet for flowing a heat transfer fluid through the respective flow paths, wherein each flow path has an axial length equivalent of the governing value and wherein the first moving path and the second steam The L flow path is a reverse nickname along an axis that runs through the nozzle. 34
TW100100533A 2010-01-27 2011-01-06 Apparatus for controlling temperature uniformity of a showerhead TW201144478A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US29867610P 2010-01-27 2010-01-27
US12/886,258 US20110180233A1 (en) 2010-01-27 2010-09-20 Apparatus for controlling temperature uniformity of a showerhead

Publications (1)

Publication Number Publication Date
TW201144478A true TW201144478A (en) 2011-12-16

Family

ID=44308077

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100100533A TW201144478A (en) 2010-01-27 2011-01-06 Apparatus for controlling temperature uniformity of a showerhead

Country Status (3)

Country Link
US (1) US20110180233A1 (en)
TW (1) TW201144478A (en)
WO (1) WO2011094143A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI805813B (en) * 2018-07-27 2023-06-21 美商應用材料股份有限公司 Gas distribution plate for thermal deposition

Families Citing this family (307)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10544508B2 (en) * 2012-09-26 2020-01-28 Applied Materials, Inc. Controlling temperature in substrate processing systems
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9620376B2 (en) * 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10780447B2 (en) * 2016-04-26 2020-09-22 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR102269479B1 (en) * 2016-12-08 2021-06-24 어플라이드 머티어리얼스, 인코포레이티드 Temporal Atomic Layer Deposition Processing Chamber
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10879054B2 (en) * 2017-11-20 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Pump assembly for creating vacuum in wafer processing chamber
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (en) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. Method for depositing a ruthenium-containing film on a substrate by a cyclic deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
JP2021521648A (en) * 2018-04-17 2021-08-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Ceramic face plate to be heated
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20210024462A (en) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and films and structures comprising metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
TWI754180B (en) * 2018-10-29 2022-02-01 美商應用材料股份有限公司 Processing chamber and method of forming film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US10954595B2 (en) * 2019-07-30 2021-03-23 Applied Materials, Inc. High power showerhead with recursive gas flow distribution
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11564292B2 (en) * 2019-09-27 2023-01-24 Applied Materials, Inc. Monolithic modular microwave source with integrated temperature control
US11881384B2 (en) * 2019-09-27 2024-01-23 Applied Materials, Inc. Monolithic modular microwave source with integrated process gas distribution
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11742231B2 (en) * 2019-10-18 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Movable wafer holder for film deposition chamber having six degrees of freedom
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
JP2021109175A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Gas supply assembly, components thereof, and reactor system including the same
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
JP2021172884A (en) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride-containing layer and structure comprising vanadium nitride-containing layer
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202200837A (en) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Reaction system for forming thin film on substrate
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
US20220020612A1 (en) * 2020-07-19 2022-01-20 Applied Materials, Inc. Systems and methods for faceplate temperature control
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US20220093361A1 (en) * 2020-09-22 2022-03-24 Applied Materials, Inc. Showerhead assembly with recursive gas channels
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN114306984B (en) * 2020-10-09 2022-11-08 上海沃尔沃汽车研发有限公司 Fire extinguishing device and battery test equipment
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023151608A (en) 2022-03-31 2023-10-16 東京エレクトロン株式会社 Substrate processing device

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001068538A (en) * 1999-06-21 2001-03-16 Tokyo Electron Ltd Electrode structure, mounting base structure, plasma treatment system, and processing unit
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
US7436645B2 (en) * 2004-10-07 2008-10-14 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US20080035306A1 (en) * 2006-08-08 2008-02-14 White John M Heating and cooling of substrate support
KR20080041893A (en) * 2006-11-08 2008-05-14 주식회사 아토 A chuck for heating and cooling
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
WO2008118483A1 (en) * 2007-03-27 2008-10-02 Structured Materials Inc. Showerhead for chemical vapor deposition (cvd) apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI805813B (en) * 2018-07-27 2023-06-21 美商應用材料股份有限公司 Gas distribution plate for thermal deposition

Also Published As

Publication number Publication date
WO2011094143A2 (en) 2011-08-04
US20110180233A1 (en) 2011-07-28
WO2011094143A3 (en) 2011-11-24

Similar Documents

Publication Publication Date Title
TW201144478A (en) Apparatus for controlling temperature uniformity of a showerhead
JP7393501B2 (en) Semiconductor processing chamber to improve precursor flow
JP6651576B2 (en) Pedestal with multi-zone temperature control and multi-purge function
KR102554825B1 (en) Atomic layer deposition chamber with thermal lid
TWI677593B (en) Apparatus and method for providing a uniform flow of gas
TWI650444B (en) Chemical deposition equipment with conductivity control
US10386126B2 (en) Apparatus for controlling temperature uniformity of a substrate
KR20180126391A (en) Semiconductor processing chamber for multiple precursor flow
JP2002518839A (en) Dual channel gas distribution plate
CN105132889B (en) Applied to double gas circuit air feeding in center structures in film deposition apparatus spray head
TW200540292A (en) Blocker plate bypass to distribute gases in a chemical vapor deposition system
US10780447B2 (en) Apparatus for controlling temperature uniformity of a showerhead
TW202129800A (en) Gas distribution ceramic heater for deposition chamber
CN114686855B (en) Nozzle device and film forming apparatus
KR20050008945A (en) Dual channel type gas shower head