US20110180233A1 - Apparatus for controlling temperature uniformity of a showerhead - Google Patents

Apparatus for controlling temperature uniformity of a showerhead Download PDF

Info

Publication number
US20110180233A1
US20110180233A1 US12/886,258 US88625810A US2011180233A1 US 20110180233 A1 US20110180233 A1 US 20110180233A1 US 88625810 A US88625810 A US 88625810A US 2011180233 A1 US2011180233 A1 US 2011180233A1
Authority
US
United States
Prior art keywords
showerhead
flow
heat transfer
flow paths
transfer fluid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/886,258
Inventor
Kallol Bera
James D. Carducci
Hamid Noorbakhsh
Larry D. Elizaga
Douglas A. Buchberger, Jr.
Andrew Nguyen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US12/886,258 priority Critical patent/US20110180233A1/en
Priority to TW100100533A priority patent/TW201144478A/en
Priority to PCT/US2011/022202 priority patent/WO2011094143A2/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BUCHBERGER, DOUGLAS A., JR., ELIZAGA, LARRY D., NGUYEN, ANDREW, CARDUCCI, JAMES D., NOORBAKHSH, HAMID, BERA, KALLOL
Publication of US20110180233A1 publication Critical patent/US20110180233A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F28HEAT EXCHANGE IN GENERAL
    • F28FDETAILS OF HEAT-EXCHANGE AND HEAT-TRANSFER APPARATUS, OF GENERAL APPLICATION
    • F28F7/00Elements not covered by group F28F1/00, F28F3/00 or F28F5/00
    • F28F7/02Blocks traversed by passages for heat-exchange media
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Definitions

  • Embodiments of the present invention generally relate to apparatus for substrate processing.
  • cooling channels may be provided in a gas distribution apparatus, or showerhead, to facilitate cooling a processing volume-facing faceplate of the showerhead during processing to maintain a desired temperature profile on the faceplate.
  • the cooling channels are typically configured to facilitate providing a desired temperature profile of the showerhead faceplate during substrate processing.
  • the inventors have provided an improved apparatus for controlling the temperature profile of a faceplate of a showerhead.
  • an apparatus for controlling thermal uniformity of a substrate-facing surface of a showerhead is provided herein.
  • the thermal uniformity of the substrate facing surface of the showerhead may be controlled to be more uniform.
  • the thermal uniformity of the substrate facing surface of the showerhead may be controlled to be non-uniform in a desired pattern.
  • an apparatus for controlling thermal uniformity of a substrate-facing surface of a showerhead may include a showerhead having a substrate facing surface and one or more plenums for providing one or more process gases through a plurality of gas distribution holes formed through the substrate facing surface of the showerhead; and a plurality of flow paths having a substantially equivalent fluid conductance disposed within the showerhead to flow a heat transfer fluid.
  • an apparatus for controlling thermal uniformity of a substrate-facing surface of a showerhead may include a showerhead having a substrate facing surface and one or more plenums for providing one or more process gases through a plurality of gas distribution holes formed through the substrate facing surface of the showerhead; and a flow path disposed within the showerhead and having an inlet and an outlet to flow a heat transfer fluid through the flow path, wherein the flow path comprises a first portion and a second portion, each portion having a substantially equivalent axial length, wherein the first portion is spaced about 2 mm to about 10 mm from the second portion, and wherein the first portion provides a flow of heat transfer fluid in a direction opposite a flow of heat transfer fluid of the second portion.
  • an apparatus for controlling thermal uniformity of a substrate-facing surface of a showerhead may include a showerhead having a substrate facing surface and one or more plenums for providing one or more process gases through a plurality of gas distribution holes formed through the substrate facing surface of the showerhead; and a first flow path and a second flow path disposed within the showerhead, each having an inlet and an outlet to flow a heat transfer fluid through the respective flow path, wherein each flow path has a substantially equivalent axial length, and wherein the first flow path and the second flow path a inversely symmetrical about an axis that passes through a central axis of the showerhead.
  • FIG. 1 depicts a process chamber having a showerhead in accordance with some embodiments of the present invention.
  • FIG. 1A depicts a cross-sectional side view of a showerhead in accordance with some embodiments of the present invention.
  • FIGS. 2-6 depict partial cross sectional top views of a showerhead in accordance with some embodiments of the present invention.
  • FIG. 7 depicts a heat transfer fluid flow path of a showerhead in accordance with some embodiments of the present invention.
  • FIG. 8 depicts a partial cross sectional top view of a showerhead in accordance with some embodiments of the present invention.
  • Embodiments of the present invention provide apparatus for controlling the temperature of a showerhead during processing.
  • the apparatus may control the thermal uniformity of the showerhead during processing.
  • the thermal uniformity of the showerhead may be controlled to be more uniform.
  • the thermal uniformity of the showerhead may be controlled to be non-uniform in a desired pattern.
  • the inventive apparatus may advantageously provide one or more flow paths which provide a counter flow of heat transfer fluid, thereby facilitating control of a temperature profile across a faceplate of a showerhead.
  • the inventive apparatus may advantageously provide a showerhead having a plurality of flow paths which provide an increased flow rate of heat transfer fluid, thereby facilitating control of temperature across a faceplate of the showerhead.
  • FIG. 1 depicts a process chamber 100 suitable for use in connection with an apparatus for controlling temperature uniformity of a showerhead in accordance with some embodiments of the present invention.
  • Exemplary process chambers may include the DPS®, ENABLER®, SIGMATM, ADVANTEDGETM, or other process chambers, available from Applied Materials, Inc. of Santa Clara, Calif. It is contemplated that other suitable chambers include any chambers that use showerheads to perform substrate fabrication processes.
  • the process chamber 100 generally comprises a chamber body 102 defining an inner processing volume 104 and an exhaust volume 106 .
  • the inner processing volume 104 may be defined, for example, between a substrate support 108 disposed within the process chamber 100 for supporting a substrate 110 thereupon during processing and one or more gas inlets, such as a showerhead 114 and/or nozzles provided at desired locations.
  • the exhaust volume may be defined, for example, between the substrate support 108 and a bottom of the process chamber 102 .
  • the substrate support 108 generally comprises a body 143 having a substrate support surface 141 for supporting a substrate 110 thereon.
  • the substrate support 108 may include a mechanism that retains or supports the substrate 110 on the surface of the substrate support 108 , such as an electrostatic chuck, a vacuum chuck, a substrate retaining clamp, or the like (not shown).
  • the substrate support 108 may include a radio frequency (RF) bias electrode 168 .
  • the RF bias electrode may be coupled to one or more RF bias power sources through one or more respective matching networks (one RF bias power source 148 A and one matching network 146 A shown in FIG. 1 ).
  • the one or more bias power sources may be capable of producing up to 12000 W at a frequency of about 2 MHz, or about 13.56 MHz, or about 60 MHz.
  • two bias power sources may be provided for coupling RF power through respective matching networks to the RF bias electrode at a frequency of about 2 MHz and about 13.56 MHz.
  • three bias power sources may be provided for coupling RF power through respective matching networks to the RF bias electrode at a frequency of about 2 MHz, about 13.56 MHz, and about 60 MHz.
  • the at least one bias power source may provide either continuous or pulsed power.
  • the bias power source may be a DC or pulsed DC source.
  • the substrate support 108 may include one or more mechanisms for controlling the temperature of the substrate support surface 141 and the substrate 110 disposed thereon.
  • one or more channels may be provided to define one or more flow paths beneath the substrate support surface to flow a heat transfer fluid similar to as described below with respect to the showerhead 114 . Additional details of apparatus for controlling the temperature of the substrate support may be found in U.S. Patent Application 61/298,671, filed Jan. 27, 2010 by K. Bera, et al., and entitled, “APPARATUS FOR CONTROLLING TEMPERATURE UNIFORMITY OF A SUBSTRATE,” which is hereby incorporated by reference in its entirety.
  • the one or more gas inlets may be coupled to a gas supply 116 for providing one or more process gases into the processing volume 104 of the process chamber 100 .
  • a gas supply 116 for providing one or more process gases into the processing volume 104 of the process chamber 100 .
  • additional gas inlets may be provided such as nozzles or inlets disposed in the ceiling or on the sidewalls of the process chamber 100 or at other locations suitable for providing gases as desired to the process chamber 100 , such as the base of the process chamber, the periphery of the substrate support, or the like.
  • one or more RF plasma power sources may be coupled to the process chamber 102 through one or more matching networks 146 B for providing power for processing.
  • the apparatus 100 may utilize capacitively coupled RF power provided to an upper electrode proximate an upper portion of the process chamber 102 .
  • the upper electrode may be a conductor in an upper portion of the process chamber 102 or formed, at least in part, by one or more of the ceiling 142 , the showerhead 114 , or the like, fabricated from a suitable conductive material.
  • the one or more RF plasma power sources 148 B may be coupled to a conductive portion of the ceiling 142 of the process chamber 102 or to a conductive portion of the showerhead 114 .
  • the ceiling 142 may be substantially flat, although other types of ceilings, such as dome-shaped ceilings or the like, may also be utilized.
  • the one or more plasma sources may be capable of producing up to 5000 W at a frequency of about 2 MHz and/or about 13.56 MHz, or higher frequency, such as 27 MHz and/or 60 MHz and/or 162 MHz.
  • two RF power sources may be coupled to the upper electrode through respective matching networks for providing RF power at frequencies of about 2 MHz and about 13.56 MHz.
  • the one or more RF power sources may be coupled to inductive coil elements (not shown) disposed proximate the ceiling of the process chamber 102 to form a plasma with inductively coupled RF power.
  • the inner process volume 104 may be fluidly coupled to the exhaust system 120 .
  • the exhaust system 120 may facilitate uniform flow of the exhaust gases from the inner process volume 104 of the process chamber 102 .
  • the exhaust system 120 generally includes a pumping plenum 124 and a plurality of conduits (not shown) that couple the pumping plenum 124 to the inner process volume 104 of the process chamber 102 .
  • Each conduit has an inlet 122 coupled to the inner process volume 104 (or, in some embodiments, the exhaust volume 106 ) and an outlet (not shown) fluidly coupled to the pumping plenum 124 .
  • each conduit may have an inlet 122 disposed in a lower region of a sidewall or a floor of the process chamber 102 .
  • the inlets are substantially equidistantly spaced from each other.
  • a vacuum pump 128 may be coupled to the pumping plenum 124 via a pumping port 126 for pumping out the exhaust gases from the process chamber 102 .
  • the vacuum pump 128 may be fluidly coupled to an exhaust outlet 132 for routing the exhaust as required to appropriate exhaust handling equipment.
  • a valve 130 (such as a gate valve, or the like) may be disposed in the pumping plenum 124 to facilitate control of the flow rate of the exhaust gases in combination with the operation of the vacuum pump 128 . Although a z-motion gate valve is shown, any suitable, process compatible valve for controlling the flow of the exhaust may be utilized.
  • the chamber may be pumped down to a pressure suitable for forming a plasma and one or more process gases may be introduced into the chamber via the showerhead 114 (and/or other gas inlets).
  • RF power may be provided to strike and maintain a plasma from the process gases to process the substrate.
  • FIG. 1A depicts a cross-sectional side view of a showerhead in accordance with some embodiments of the present invention.
  • the showerhead 114 generally includes one or more plenums 150 coupled via a plurality of conduits 152 to a plurality of gas distribution holes 154 for providing process gases to the process chamber in a desired pattern.
  • the plenums 150 may be arranged in zones and may be coupled to the gas supply 116 to provide one or more process gases to the plenums 150 .
  • the plenums 150 may be disposed between a first plate 156 and a second plate 158 .
  • the plenums may be formed in either plate or partially in both plates.
  • the plenums 150 are formed by recesses in the second plate 158 with the first plate 156 providing a cap which covers the recesses to define the plenums 150 .
  • the width between the plenums 150 , or the contact width contact width (e.g., 170 in FIG. 1A ) between the first plate 156 and the second plate 158 may be between about 0.4 inches to about 4.0 inches.
  • the contact width between the first and second plates 156 , 158 may vary among the different contact locations (such as the center, middle, and edge as depicted in FIG. 1A ) as desired to provide additional control over the rate and/or pattern of thermal transfer between the first and second plates 156 , 158 .
  • the showerhead 114 may include one or more mechanisms for controlling the temperature of the showerhead 114 .
  • one or more heaters may be disposed proximate the showerhead 114 to further facilitate control over the temperature of the faceplate 160 of the showerhead 114 .
  • the second plate 158 may include one or more heater elements 166 .
  • the heater elements 166 may have a desired size and pattern to provide heat to the showerhead when desired to maintain a desired temperature and/or thermal profile across the substrate-facing surface of the showerhead 114 , such as across the faceplate 160 . As shown in FIG. 1A , two concentric, annular heater elements 166 are shown, although other numbers and configurations may be used.
  • the heaters may be any type of heater suitable to provide control over the temperature profile of the substrate-facing surface of the showerhead 114 .
  • the heater may be one or more resistive heaters.
  • the heaters may be disposed below the plenums 150 (e.g., between the plenums 150 and the substrate facing surface of the showerhead 114 , or the faceplate 160 ).
  • the number and arrangement of the one or more heaters may be varied to provide additional control over the temperature profile of the substrate-facing surface of the showerhead 114 .
  • the heaters may be arranged in a plurality of zones to facilitate control over the temperature across the substrate-facing surface of the showerhead 114 , thus providing increased temperature control.
  • one or more channels 140 may be provided, for example in the first plate 156 , to define one or more flow paths (described more fully below with respect to FIGS. 2-8 ) to flow a heat transfer fluid therethrough.
  • the heat transfer fluid may comprise any fluid suitable to provide adequate transfer of heat to or from the showerhead 114 .
  • the heat transfer fluid may be a gas, such as helium (He), oxygen (O 2 ), or the like, or a liquid, such as water, antifreeze, or an alcohol, for example, glycerol, ethylene glycerol, propylene, methanol, or refrigerant fluid such as FREON® (e.g., a chlorofluorocarbon or hydrochlorofluorocarbon refrigerant), ammonia or the like.
  • a gas such as helium (He), oxygen (O 2 ), or the like
  • a liquid such as water, antifreeze
  • an alcohol for example, glycerol, ethylene glycerol, propylene, methanol
  • refrigerant fluid such as FREON® (e.g., a chlorofluorocarbon or hydrochlorofluorocarbon refrigerant), ammonia or the like.
  • FREON® e.g., a chlorofluorocarbon or hydrochlorofluor
  • a heat transfer fluid source 136 may be coupled to the channels 140 to provide the heat transfer fluid to the one or more channels 140 .
  • the heat transfer fluid source 136 may comprise a temperature control device, for example a chiller or heater, to control the temperature of the heat transfer fluid.
  • One or more valves 139 (or other flow control devices) may be provided between the heat transfer fluid source 136 and the one or more channels 140 to independently control a rate of flow of the heat transfer fluid to each of the one or more channels 140 .
  • a controller 137 may control the operation of the one or more valves 139 and/or of the heat transfer fluid source 136 .
  • the one or more channels 140 may be formed within the showerhead 114 , or the first plate 156 , via any means suitable to form the one or more channels 140 having dimensions adequate to flow a heat transfer fluid therethrough.
  • at least a portion of the one or more channels 140 may be partially machined into one or both of a separable top portion 155 and bottom portion 157 of the first plate 156 .
  • the one or more channels 140 may be fully machined into one of the top portion or bottom portion of the first plate 156 .
  • the other portion may provide a cap of the channels 140 or an insert may be disposed in a portion of each channel 140 to provide a cap.
  • the one or more channels 140 comprise a plurality of channels having substantially equivalent fluid conductance and residence time.
  • other features may be included in the one or more channels 140 to improve heat transfer between the heat transfer fluid and the substrate facing surface 114 .
  • one or more fins 168 may be included within each of the one or more channels 140 extending partially or wholly across the one or more channels 140 . The fin 168 may provide an increased surface area available for heat transfer, thereby enhancing the heat transfer between the heat transfer fluid flowing through the one or more channels 140 and the showerhead 114 .
  • the one or more channels 140 may be configured in any manner suitable to provide adequate control over temperature profile across the substrate-facing surface of the showerhead 114 during use.
  • one channel 140 may be formed within the showerhead 114 defining a single flow path 202 having a counter flow configuration.
  • An inlet 206 may be coupled to a first end 205 of the flow path 202 and an outlet 204 coupled to a second end 207 of the flow path 202 , thus facilitating a flow of heat transfer fluid from the inlet 206 to the outlet 204 .
  • the inlet 206 may be coupled to a heat transfer fluid source to provide the heat transfer fluid, as described above with respect to FIG. 1 .
  • the channel 140 (e.g., flow path 202 ) may be routed around objects in the showerhead, such as gas lines to the plenums 140 , or the like.
  • the flow path 202 may comprise a first portion 210 fluidly coupled to a second portion 212 via a loop or coupling 208 .
  • the first portion 210 and second portion 212 each have a substantially equivalent axial length.
  • the axial length is defined as the axial distance between the inlet 206 and the loop 208 for the first portion 210 , and the distance between the loop 208 and the outlet 204 for the second portion 212 .
  • the first portion 210 and second portion 212 may be disposed proximate one another to facilitate a heat transfer between the first portion 210 and second portion 212 .
  • the distance between the first portion 210 and second portion 212 may be about 2 mm to about 30 mm, or between about 2 mm to about 10 mm.
  • the first portion 210 and second portion 212 are configured to provide a counter flow (flow in opposite direction) of heat transfer fluid having different temperatures, allowing for a heat transfer from a hotter portion of the heat transfer fluid to a cooler portion of the heat transfer fluid, thus improving temperature uniformity between the first portion 210 and second portion 212 at equivalent positions along the respective portions.
  • the inlet 206 and the outlet 204 may be disposed proximate each other and the first and second portions 210 , 212 of the flow path 202 may together generally wind radially inward toward a center point 214 of the substrate support 108 then loop back and generally wind radially outward until the end of the first and second portions 210 , 212 is reached at the loop or coupling 208 .
  • the inward and outward winding of the first and second portions 210 , 212 may be interleaved. With the inlet and the outlet near center, the flow path can first wind outward towards the periphery, then wind inward towards the center.
  • Such a configuration advantageously provides a flow path having dual counter flow—a first counter flow configuration as between immediately adjacent regions of the first and second portions 210 , 212 of the flow path 202 , and a second counter flow configuration due to the interleaved winding of the adjacent first and second portions 210 , 212 .
  • the dual counter flow configuration advantageously provides a low temperature difference between maximum and minimum temperatures of the showerhead 114 .
  • a showerhead having a dual counter flow configuration as described above and a conventional showerhead having a single counter flow configuration were heated uniformly and a coolant was provided in the respective flow paths of the substrate supports to remove heat from the showerhead.
  • Steady state measurements of temperature across the showerheads yielded a temperature profile in the dual counter flow showerhead that was more uniform than in the conventional showerhead.
  • the temperature difference between respective maximum and minimum temperature measurements in each showerhead was advantageously lower in the dual counter flow showerhead than in the conventional showerhead.
  • one or more channels 140 may define two or more (two shown) flow paths 302 , 306 coupled to one another via a common inlet 310 and outlet 308 .
  • the two or more flow paths 302 , 306 may be arranged in any configuration suitable to provide substantially equal flow of the heat transfer fluid and to provide control over the temperature profile across the showerhead 114 .
  • the two or more flow paths 302 , 306 may begin at the inlet 310 and may be routed in different directions to cover different portions of the showerhead.
  • the two or more flow paths 302 , 306 may have a substantially equivalent axial length, cross-sectional area, thus providing substantially equal fluid conductance and residence time of heat transfer fluid within each of the two or more flow paths 302 , 306 , thereby facilitating temperature uniformity between the two or more flow paths 302 , 306 .
  • the axial length of each of the two or more flow paths 302 , 306 may be decreased, as compared to a single flow path covering the same area, thereby providing a shorter flow path for the heat transfer fluid.
  • the shorter flow path for the heat transfer fluid decreases the change in temperature along the length of the two or more flow paths 302 , 306 between the inlet 310 and outlet 308 as compared to longer flow paths.
  • a pressure drop of the heat transfer fluid between the inlet 310 and outlet 308 of two or more flow paths 302 , 306 may also be decreased, allowing for an increased flow rate of heat transfer fluid, thus further decreasing a change in temperature along the length of the two or more flow paths 302 , 306 between the inlet 310 and the outlet 308 .
  • the one or more channels 140 may define a plurality of flow paths (three shown) 408 , 410 , 412 having a substantially equal fluid conductance and residence time.
  • each of the plurality of flow paths 408 , 410 , 412 comprises an inlet 414 , 418 , 422 coupled to a first end 402 , 404 , 406 and an outlet 416 , 420 , 424 coupled to a second end 417 , 419 , 421 , thus providing a flow path of heat transfer fluid from the inlet 414 , 418 , 422 to the respective outlet 416 , 420 , 424 .
  • the plurality of flow paths 408 , 410 , 412 may be coupled to a single heat transfer fluid source (described above with respect to FIG. 1 ).
  • a heat transfer fluid outlet may be coupled to the plurality of outlets to provide an outflow of heat transfer fluid from the plurality of outlets to the heat transfer fluid source.
  • the plurality of flow paths may be coupled to a plurality of heat transfer fluid sources, wherein each of the plurality of flow paths 408 , 410 , 412 are respectively coupled to a separate single heat transfer fluid source.
  • the plurality of flow paths 408 , 410 , 412 may be arranged in any manner suitable to provide temperature uniformity across the substrate facing surface of the showerhead 114 .
  • the plurality of flow paths 408 , 410 , 412 may be symmetrically positioned within the showerhead 114 to promote temperature uniformity.
  • each of the plurality of flow paths 408 , 410 , 412 may be shortened, which may advantageously allow for a decreased change in temperature of the heat transfer fluid along the flow paths 408 , 410 , 412 and thus an increased control over temperature profile due to the principles (e.g., residence time, fluid conductance, decreased pressure drop) discussed above with respect to FIG. 3 .
  • a plurality of flow paths 408 , 410 , 412 wherein each comprises an inlet 414 , 418 , 422 , and outlet 416 , 420 , 424 , such as depicted in FIG.
  • each of the plurality of flow paths may be arranged to provide a counter flow within a given flow path.
  • each portion of the flow path adjacent to another flow path can be configured to provide counter flow.
  • the one or more channels 140 may define a plurality of flow paths (six shown) 502 , 504 , 506 , 508 , 510 , 512 arranged in a plurality of zones 525 , 526 , 528 .
  • the plurality of zones 525 , 526 , 528 may be arranged in any manner suitable to provide control of a temperature profile across the substrate-facing surface of the showerhead 114 .
  • the zones 525 , 526 , 528 may have a substantially equivalent surface area and are arranged symmetrically across the showerhead 114 .
  • each zone 525 , 526 , 528 may comprise two or more of the plurality of flow paths coupled to a common inlet and outlet.
  • flow paths 502 and 504 are coupled to a common inlet 514 and a common outlet 516
  • flow paths 506 and 508 are coupled to inlet 518 and outlet 520
  • flow paths 510 and 512 are coupled to inlet 522 and outlet 524 .
  • each of the plurality of flow paths 502 , 504 , 506 , 508 , 510 , 512 may comprise a substantially equivalent axial length and cross-sectional area, thus providing substantially equal fluid conductance and residence time of heat transfer fluid within each of the plurality of flow paths 502 , 504 , 506 , 508 , 510 , 512 , thereby facilitating temperature uniformity in each of the zones 525 , 526 , 528 .
  • the common inlets 514 , 518 , 522 may be coupled to a heat transfer fluid source (not shown) configured to provide the heat transfer fluid, as described above with respect to FIG. 1 .
  • a separate heat transfer fluid source may be coupled to each inlet 514 , 518 , 522 to provide a heat transfer fluid to each zone 525 , 526 , 528 individually.
  • the axial length of each of the plurality of flow paths 502 , 504 , 506 , 508 , 510 , 512 may be shortened, which may advantageously allow for a decreased change in temperature of the heat transfer fluid along the flow paths 502 , 504 , 506 , 508 , 510 , 512 and thus an increased control in temperature uniformity due to the principles discussed above.
  • a plurality of flow paths may also be arranged in an inner zone 602 and an outer zone 604 , wherein the outer zone 604 is disposed radially outward from the inner zone 602 .
  • Each of the inner zone 602 and outer zone 604 may comprise any number of the plurality of flow paths 606 , 608 , 610 , 624 , 626 , 628 and may be arranged in any manner suitable to facilitate temperature uniformity across the substrate support 108 . For example, as depicted in FIG.
  • the inner zone 602 may comprise a plurality (three shown) of flow paths 606 , 608 , 610 , having a substantially equivalent axial length and fluid conductance, positioned symmetrically within the showerhead 114 .
  • Each of the plurality of flow paths 606 , 608 , 610 comprises an inlet 612 , 616 , 620 and an outlet 614 , 618 , 622 .
  • the plurality of flow paths 606 , 608 , 610 may be coupled to a common heat transfer fluid source (not shown) configured to provide the heat transfer fluid, as described above with respect to FIG. 1 .
  • a separate heat transfer fluid source may be coupled to each inlet 612 , 616 , 620 to provide a heat transfer fluid to each flow path 606 , 608 , 610 individually.
  • the inner zone 602 may comprise other configurations of flow paths to facilitate temperature uniformity across the substrate support 108 .
  • the inner zone 602 may further comprise a plurality of zones positioned symmetrically, wherein each of the plurality of zones comprise more than one flow path coupled to a common inlet and outlet, such as in the embodiments discussed above with respect to FIG. 5 .
  • the outer zone 604 may comprise a plurality (three shown) of flow paths 624 , 626 , 628 , wherein each of the plurality of flow paths 624 , 626 , 628 comprise an inlet 632 , 636 , 640 and outlet 630 , 634 , 638 .
  • each of the plurality of flow paths 624 , 626 , 628 may be disposed adjacent to a corresponding flow path of the plurality of flow paths 606 , 608 , 610 of the inner zone 602 .
  • the plurality (three shown) of flow paths 624 , 626 , 628 in the outer zone 604 may provide a counter flow of heat transfer fluid with respect to the adjacent flow path of the plurality of flow paths 606 , 608 , 610 of the inner zone 602 , allowing for a heat transfer from a hotter portion of the heat transfer fluid to a cooler portion of the heat transfer fluid, thus facilitating temperature uniformity between the outer zone 604 and inner zone 602 .
  • a barrier 603 may be provided between the inner zone 602 and the outer zone 604 to facilitate the independent control over the temperature in each zone, and temperature non-uniformity between the zones.
  • the barrier 603 may be an insulator such as an air gap, for example, of about 1 mm to about 10 mm wide.
  • a valve e.g., valve 139 depicted in FIG. 1
  • a controller may be coupled to each valve to control the operation thereof (e.g., controller 137 depicted in FIG. 1 ).
  • the each valve may be controlled to independently provide a desired flow rate of heat transfer fluid through the flow paths in each zone. As such, a flow rate in a given zone may be increased or decreased with respect to the flow rate in any other zone.
  • a flow rate in an outer zone may be increased to remove more heat, or decreased to remove less heat, as desired to make a thermal profile of a substrate-facing surface of the showerhead 114 more uniform or controllably non-uniform (for example to control process results in thermally dependent processes).
  • each of the at least one flow paths may comprise a substantially equivalent axial length and cross-sectional area, thus providing substantially equal fluid conductance and residence time.
  • the recursive flow pattern may advantageously provide a symmetrical flow path having a more uniform conductance.
  • the pressure and flow rate within each of the at least one flow paths may be more uniform, resulting in an increased temperature uniformity across the substrate-facing surface of the showerhead 114 .
  • each of the at least one flow paths may comprise an inlet (e.g., 710 , 712 , 714 , 716 ) and an outlet (e.g., 718 , 720 , 722 , 724 ), wherein each of the inlets and outlets are coupled to a common inlet (e.g., 734 ) and a common outlet (e.g., 736 ).
  • a common inlet e.g., 734
  • a common outlet e.g., 736
  • the distance between each inlet and the common inlet and the distance between each outlet and the common outlet are substantially equivalent, to facilitate a substantially equivalent flow rate of heat transfer fluid, pressure difference, and residence time in each of the flow paths.
  • each of the flow paths may be provided with heat transfer fluid at the same rate, pressure, and the like.
  • the flow rate of the heat transfer fluid through each flow path may be substantially equal, thereby minimizing temperature non-uniformity associated with transient flow of heat transfer fluid.
  • FIG. 8 depicts another partial cross sectional top view of a showerhead in accordance with some embodiments of the present invention.
  • a showerhead 114 may include two flow channels 140 .
  • the flow channels may be inversely symmetric about an axis 802 that passes through a central axis of the showerhead 114 (e.g., a diameter for circular showerheads).
  • a first flow channel 804 may be provided between an inlet 806 and an outlet 808 disposed on a first half 810 of the showerhead 114 .
  • a second flow channel 812 may be provided between an inlet 814 and an outlet 816 disposed on a second half 818 of the showerhead 114 .
  • the number of zones and flow path direction may be varied to further facilitate temperature uniformity across the faceplate of the showerhead.

Abstract

An apparatus for controlling thermal uniformity of a substrate-facing surface of a showerhead is provided herein. In some embodiments, the thermal uniformity of the substrate facing surface of the showerhead may be controlled to be more uniform. In some embodiments, the thermal uniformity of the substrate facing surface of the showerhead may be controlled to be non-uniform in a desired pattern. In some embodiments, an apparatus for controlling thermal uniformity of a substrate-facing surface of a showerhead may include a showerhead having a substrate facing surface and one or more plenums for providing one or more process gases through a plurality of gas distribution holes formed through the substrate facing surface of the showerhead; and a plurality of flow paths having a substantially equivalent fluid conductance disposed within the showerhead to flow a heat transfer fluid.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application claims benefit of U.S. provisional patent application Ser. No. 61/298,676, filed Jan. 27, 2010, which is herein incorporated by reference.
  • FIELD
  • Embodiments of the present invention generally relate to apparatus for substrate processing.
  • BACKGROUND
  • In many conventional substrate processes, cooling channels may be provided in a gas distribution apparatus, or showerhead, to facilitate cooling a processing volume-facing faceplate of the showerhead during processing to maintain a desired temperature profile on the faceplate. The cooling channels are typically configured to facilitate providing a desired temperature profile of the showerhead faceplate during substrate processing.
  • The inventors have provided an improved apparatus for controlling the temperature profile of a faceplate of a showerhead.
  • SUMMARY
  • An apparatus for controlling thermal uniformity of a substrate-facing surface of a showerhead is provided herein. In some embodiments, the thermal uniformity of the substrate facing surface of the showerhead may be controlled to be more uniform. In some embodiments, the thermal uniformity of the substrate facing surface of the showerhead may be controlled to be non-uniform in a desired pattern. In some embodiments, an apparatus for controlling thermal uniformity of a substrate-facing surface of a showerhead may include a showerhead having a substrate facing surface and one or more plenums for providing one or more process gases through a plurality of gas distribution holes formed through the substrate facing surface of the showerhead; and a plurality of flow paths having a substantially equivalent fluid conductance disposed within the showerhead to flow a heat transfer fluid.
  • In some embodiments, an apparatus for controlling thermal uniformity of a substrate-facing surface of a showerhead may include a showerhead having a substrate facing surface and one or more plenums for providing one or more process gases through a plurality of gas distribution holes formed through the substrate facing surface of the showerhead; and a flow path disposed within the showerhead and having an inlet and an outlet to flow a heat transfer fluid through the flow path, wherein the flow path comprises a first portion and a second portion, each portion having a substantially equivalent axial length, wherein the first portion is spaced about 2 mm to about 10 mm from the second portion, and wherein the first portion provides a flow of heat transfer fluid in a direction opposite a flow of heat transfer fluid of the second portion.
  • In some embodiments, an apparatus for controlling thermal uniformity of a substrate-facing surface of a showerhead may include a showerhead having a substrate facing surface and one or more plenums for providing one or more process gases through a plurality of gas distribution holes formed through the substrate facing surface of the showerhead; and a first flow path and a second flow path disposed within the showerhead, each having an inlet and an outlet to flow a heat transfer fluid through the respective flow path, wherein each flow path has a substantially equivalent axial length, and wherein the first flow path and the second flow path a inversely symmetrical about an axis that passes through a central axis of the showerhead.
  • The above summary is provided to briefly discuss some aspects of the present invention and is not intended to be limiting of the scope of the invention. Other embodiments and variations of the invention are provided below in the detailed description.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Embodiments of the present invention, briefly summarized above and discussed in greater detail below, can be understood by reference to the illustrative embodiments of the invention depicted in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIG. 1 depicts a process chamber having a showerhead in accordance with some embodiments of the present invention.
  • FIG. 1A depicts a cross-sectional side view of a showerhead in accordance with some embodiments of the present invention.
  • FIGS. 2-6 depict partial cross sectional top views of a showerhead in accordance with some embodiments of the present invention.
  • FIG. 7 depicts a heat transfer fluid flow path of a showerhead in accordance with some embodiments of the present invention.
  • FIG. 8 depicts a partial cross sectional top view of a showerhead in accordance with some embodiments of the present invention.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. The figures are not drawn to scale and may be simplified for clarity. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.
  • DETAILED DESCRIPTION
  • The inventors have observed that conventional showerheads may have undesirable temperature profiles, which may lead to undesirable process results. Embodiments of the present invention provide apparatus for controlling the temperature of a showerhead during processing. The apparatus may control the thermal uniformity of the showerhead during processing. In some embodiments, the thermal uniformity of the showerhead may be controlled to be more uniform. In some embodiments, the thermal uniformity of the showerhead may be controlled to be non-uniform in a desired pattern. In some embodiments, the inventive apparatus may advantageously provide one or more flow paths which provide a counter flow of heat transfer fluid, thereby facilitating control of a temperature profile across a faceplate of a showerhead. In addition, in some embodiments, the inventive apparatus may advantageously provide a showerhead having a plurality of flow paths which provide an increased flow rate of heat transfer fluid, thereby facilitating control of temperature across a faceplate of the showerhead.
  • FIG. 1 depicts a process chamber 100 suitable for use in connection with an apparatus for controlling temperature uniformity of a showerhead in accordance with some embodiments of the present invention. Exemplary process chambers may include the DPS®, ENABLER®, SIGMA™, ADVANTEDGE™, or other process chambers, available from Applied Materials, Inc. of Santa Clara, Calif. It is contemplated that other suitable chambers include any chambers that use showerheads to perform substrate fabrication processes.
  • In some embodiments, the process chamber 100 generally comprises a chamber body 102 defining an inner processing volume 104 and an exhaust volume 106. The inner processing volume 104 may be defined, for example, between a substrate support 108 disposed within the process chamber 100 for supporting a substrate 110 thereupon during processing and one or more gas inlets, such as a showerhead 114 and/or nozzles provided at desired locations. The exhaust volume may be defined, for example, between the substrate support 108 and a bottom of the process chamber 102.
  • The substrate support 108 generally comprises a body 143 having a substrate support surface 141 for supporting a substrate 110 thereon. In some embodiments, the substrate support 108 may include a mechanism that retains or supports the substrate 110 on the surface of the substrate support 108, such as an electrostatic chuck, a vacuum chuck, a substrate retaining clamp, or the like (not shown).
  • In some embodiments, the substrate support 108 may include a radio frequency (RF) bias electrode 168. The RF bias electrode may be coupled to one or more RF bias power sources through one or more respective matching networks (one RF bias power source 148A and one matching network 146A shown in FIG. 1). The one or more bias power sources may be capable of producing up to 12000 W at a frequency of about 2 MHz, or about 13.56 MHz, or about 60 MHz. In some embodiments, two bias power sources may be provided for coupling RF power through respective matching networks to the RF bias electrode at a frequency of about 2 MHz and about 13.56 MHz. In some embodiments, three bias power sources may be provided for coupling RF power through respective matching networks to the RF bias electrode at a frequency of about 2 MHz, about 13.56 MHz, and about 60 MHz. The at least one bias power source may provide either continuous or pulsed power. In some embodiments, the bias power source may be a DC or pulsed DC source.
  • In some embodiments, the substrate support 108 may include one or more mechanisms for controlling the temperature of the substrate support surface 141 and the substrate 110 disposed thereon. For example, one or more channels (not shown) may be provided to define one or more flow paths beneath the substrate support surface to flow a heat transfer fluid similar to as described below with respect to the showerhead 114. Additional details of apparatus for controlling the temperature of the substrate support may be found in U.S. Patent Application 61/298,671, filed Jan. 27, 2010 by K. Bera, et al., and entitled, “APPARATUS FOR CONTROLLING TEMPERATURE UNIFORMITY OF A SUBSTRATE,” which is hereby incorporated by reference in its entirety.
  • The one or more gas inlets (e.g., the showerhead 114) may be coupled to a gas supply 116 for providing one or more process gases into the processing volume 104 of the process chamber 100. Although a showerhead 114 is shown, additional gas inlets may be provided such as nozzles or inlets disposed in the ceiling or on the sidewalls of the process chamber 100 or at other locations suitable for providing gases as desired to the process chamber 100, such as the base of the process chamber, the periphery of the substrate support, or the like.
  • In some embodiments, one or more RF plasma power sources (one RF plasma power source 148B shown) may be coupled to the process chamber 102 through one or more matching networks 146B for providing power for processing. In some embodiments, the apparatus 100 may utilize capacitively coupled RF power provided to an upper electrode proximate an upper portion of the process chamber 102. The upper electrode may be a conductor in an upper portion of the process chamber 102 or formed, at least in part, by one or more of the ceiling 142, the showerhead 114, or the like, fabricated from a suitable conductive material. For example, in some embodiments, the one or more RF plasma power sources 148B may be coupled to a conductive portion of the ceiling 142 of the process chamber 102 or to a conductive portion of the showerhead 114. The ceiling 142 may be substantially flat, although other types of ceilings, such as dome-shaped ceilings or the like, may also be utilized. The one or more plasma sources may be capable of producing up to 5000 W at a frequency of about 2 MHz and/or about 13.56 MHz, or higher frequency, such as 27 MHz and/or 60 MHz and/or 162 MHz. In some embodiments, two RF power sources may be coupled to the upper electrode through respective matching networks for providing RF power at frequencies of about 2 MHz and about 13.56 MHz. Alternatively, the one or more RF power sources may be coupled to inductive coil elements (not shown) disposed proximate the ceiling of the process chamber 102 to form a plasma with inductively coupled RF power.
  • In some embodiments, the inner process volume 104 may be fluidly coupled to the exhaust system 120. The exhaust system 120 may facilitate uniform flow of the exhaust gases from the inner process volume 104 of the process chamber 102. The exhaust system 120 generally includes a pumping plenum 124 and a plurality of conduits (not shown) that couple the pumping plenum 124 to the inner process volume 104 of the process chamber 102. Each conduit has an inlet 122 coupled to the inner process volume 104 (or, in some embodiments, the exhaust volume 106) and an outlet (not shown) fluidly coupled to the pumping plenum 124. For example, each conduit may have an inlet 122 disposed in a lower region of a sidewall or a floor of the process chamber 102. In some embodiments, the inlets are substantially equidistantly spaced from each other.
  • A vacuum pump 128 may be coupled to the pumping plenum 124 via a pumping port 126 for pumping out the exhaust gases from the process chamber 102. The vacuum pump 128 may be fluidly coupled to an exhaust outlet 132 for routing the exhaust as required to appropriate exhaust handling equipment. A valve 130 (such as a gate valve, or the like) may be disposed in the pumping plenum 124 to facilitate control of the flow rate of the exhaust gases in combination with the operation of the vacuum pump 128. Although a z-motion gate valve is shown, any suitable, process compatible valve for controlling the flow of the exhaust may be utilized.
  • In operation, the substrate 110 may enter the process chamber 100 via an opening 112 in the chamber body 102. The opening 112 may be selectively sealed via a slit valve 118, or other mechanism for selectively providing access to the interior of the chamber through the opening 112. The substrate support 108 may be coupled to a lift mechanism 134 that may control the position of the substrate support 108 between a lower position (as shown) suitable for transferring substrates into and out of the chamber via the opening 112 and a selectable upper position suitable for processing. The process position may be selected to maximize process uniformity for a particular process step. When in at least one of the elevated processing positions, the substrate support 108 may be disposed above the opening 112 to provide a symmetrical processing region. After the substrate 110 is disposed within the process chamber 102, the chamber may be pumped down to a pressure suitable for forming a plasma and one or more process gases may be introduced into the chamber via the showerhead 114 (and/or other gas inlets). RF power may be provided to strike and maintain a plasma from the process gases to process the substrate.
  • During processing, such as in the above example, the temperature of the showerhead 114 may be controlled to provide a more uniform temperature profile across a substrate-facing surface of the showerhead 114. For example, FIG. 1A depicts a cross-sectional side view of a showerhead in accordance with some embodiments of the present invention. The showerhead 114 generally includes one or more plenums 150 coupled via a plurality of conduits 152 to a plurality of gas distribution holes 154 for providing process gases to the process chamber in a desired pattern. The plenums 150 may be arranged in zones and may be coupled to the gas supply 116 to provide one or more process gases to the plenums 150.
  • In some embodiments, the plenums 150 may be disposed between a first plate 156 and a second plate 158. The plenums may be formed in either plate or partially in both plates. In the embodiments depicted in FIG. 1A, the plenums 150 are formed by recesses in the second plate 158 with the first plate 156 providing a cap which covers the recesses to define the plenums 150. In some embodiments, the width between the plenums 150, or the contact width contact width (e.g., 170 in FIG. 1A) between the first plate 156 and the second plate 158, may be between about 0.4 inches to about 4.0 inches. The contact width between the first and second plates 156, 158 may vary among the different contact locations (such as the center, middle, and edge as depicted in FIG. 1A) as desired to provide additional control over the rate and/or pattern of thermal transfer between the first and second plates 156, 158.
  • In some embodiments, the substrate facing side of the showerhead 114 may be provided by a substrate facing surface of a third plate (or faceplate) 160 bonded via a bond layer 162 to the second plate 158. The faceplate 160 includes a plurality of holes 154 having a size and geometry to provide the process gases from the plenum into the chamber in a desired volume and pattern. In some embodiments, a recess 164 may be provided in the substrate-facing side of the second plate 158 (or alternatively in the faceplate 160, or partially in both the body and the faceplate) to couple a plurality of the plurality of holes 154 to a single one or more of the conduits 152. In some embodiments, the third plate 160 may be fabricated from silicon carbide.
  • The showerhead 114 may include one or more mechanisms for controlling the temperature of the showerhead 114. For example, in some embodiments, one or more heaters may be disposed proximate the showerhead 114 to further facilitate control over the temperature of the faceplate 160 of the showerhead 114. In some embodiments, the second plate 158 may include one or more heater elements 166. The heater elements 166 may have a desired size and pattern to provide heat to the showerhead when desired to maintain a desired temperature and/or thermal profile across the substrate-facing surface of the showerhead 114, such as across the faceplate 160. As shown in FIG. 1A, two concentric, annular heater elements 166 are shown, although other numbers and configurations may be used.
  • The heaters may be any type of heater suitable to provide control over the temperature profile of the substrate-facing surface of the showerhead 114. For example, the heater may be one or more resistive heaters. In some embodiments the heaters may be disposed below the plenums 150 (e.g., between the plenums 150 and the substrate facing surface of the showerhead 114, or the faceplate 160). The number and arrangement of the one or more heaters may be varied to provide additional control over the temperature profile of the substrate-facing surface of the showerhead 114. For example, in embodiments where more than one heater is utilized, the heaters may be arranged in a plurality of zones to facilitate control over the temperature across the substrate-facing surface of the showerhead 114, thus providing increased temperature control.
  • In addition, in some embodiments, one or more channels 140 may be provided, for example in the first plate 156, to define one or more flow paths (described more fully below with respect to FIGS. 2-8) to flow a heat transfer fluid therethrough. The heat transfer fluid may comprise any fluid suitable to provide adequate transfer of heat to or from the showerhead 114. For example, the heat transfer fluid may be a gas, such as helium (He), oxygen (O2), or the like, or a liquid, such as water, antifreeze, or an alcohol, for example, glycerol, ethylene glycerol, propylene, methanol, or refrigerant fluid such as FREON® (e.g., a chlorofluorocarbon or hydrochlorofluorocarbon refrigerant), ammonia or the like.
  • A heat transfer fluid source 136 may be coupled to the channels 140 to provide the heat transfer fluid to the one or more channels 140. The heat transfer fluid source 136 may comprise a temperature control device, for example a chiller or heater, to control the temperature of the heat transfer fluid. One or more valves 139 (or other flow control devices) may be provided between the heat transfer fluid source 136 and the one or more channels 140 to independently control a rate of flow of the heat transfer fluid to each of the one or more channels 140. A controller 137 may control the operation of the one or more valves 139 and/or of the heat transfer fluid source 136.
  • The one or more channels 140 may be formed within the showerhead 114, or the first plate 156, via any means suitable to form the one or more channels 140 having dimensions adequate to flow a heat transfer fluid therethrough. For example, in some embodiments, at least a portion of the one or more channels 140 may be partially machined into one or both of a separable top portion 155 and bottom portion 157 of the first plate 156. Alternatively, the one or more channels 140 may be fully machined into one of the top portion or bottom portion of the first plate 156. In such embodiments, the other portion may provide a cap of the channels 140 or an insert may be disposed in a portion of each channel 140 to provide a cap. In some embodiments, the one or more channels 140 comprise a plurality of channels having substantially equivalent fluid conductance and residence time. In some embodiments, other features may be included in the one or more channels 140 to improve heat transfer between the heat transfer fluid and the substrate facing surface 114. For example, one or more fins 168 may be included within each of the one or more channels 140 extending partially or wholly across the one or more channels 140. The fin 168 may provide an increased surface area available for heat transfer, thereby enhancing the heat transfer between the heat transfer fluid flowing through the one or more channels 140 and the showerhead 114.
  • The one or more channels 140 may be configured in any manner suitable to provide adequate control over temperature profile across the substrate-facing surface of the showerhead 114 during use. For example, in some embodiments and as depicted in FIG. 2, one channel 140 may be formed within the showerhead 114 defining a single flow path 202 having a counter flow configuration. An inlet 206 may be coupled to a first end 205 of the flow path 202 and an outlet 204 coupled to a second end 207 of the flow path 202, thus facilitating a flow of heat transfer fluid from the inlet 206 to the outlet 204. The inlet 206 may be coupled to a heat transfer fluid source to provide the heat transfer fluid, as described above with respect to FIG. 1. The channel 140 (e.g., flow path 202) may be routed around objects in the showerhead, such as gas lines to the plenums 140, or the like.
  • In embodiments where the one or more channels 140 define a single flow path 202, the flow path 202 may comprise a first portion 210 fluidly coupled to a second portion 212 via a loop or coupling 208. In such embodiments, the first portion 210 and second portion 212 each have a substantially equivalent axial length. The axial length is defined as the axial distance between the inlet 206 and the loop 208 for the first portion 210, and the distance between the loop 208 and the outlet 204 for the second portion 212. The first portion 210 and second portion 212 may be disposed proximate one another to facilitate a heat transfer between the first portion 210 and second portion 212. For example, the distance between the first portion 210 and second portion 212 may be about 2 mm to about 30 mm, or between about 2 mm to about 10 mm. In such embodiments, the first portion 210 and second portion 212 are configured to provide a counter flow (flow in opposite direction) of heat transfer fluid having different temperatures, allowing for a heat transfer from a hotter portion of the heat transfer fluid to a cooler portion of the heat transfer fluid, thus improving temperature uniformity between the first portion 210 and second portion 212 at equivalent positions along the respective portions. In some embodiments, the inlet 206 and the outlet 204 may be disposed proximate each other and the first and second portions 210, 212 of the flow path 202 may together generally wind radially inward toward a center point 214 of the substrate support 108 then loop back and generally wind radially outward until the end of the first and second portions 210, 212 is reached at the loop or coupling 208. The inward and outward winding of the first and second portions 210, 212 may be interleaved. With the inlet and the outlet near center, the flow path can first wind outward towards the periphery, then wind inward towards the center. Such a configuration advantageously provides a flow path having dual counter flow—a first counter flow configuration as between immediately adjacent regions of the first and second portions 210, 212 of the flow path 202, and a second counter flow configuration due to the interleaved winding of the adjacent first and second portions 210, 212.
  • The dual counter flow configuration advantageously provides a low temperature difference between maximum and minimum temperatures of the showerhead 114. For example, in an exemplary test model run by the inventors, a showerhead having a dual counter flow configuration as described above and a conventional showerhead having a single counter flow configuration were heated uniformly and a coolant was provided in the respective flow paths of the substrate supports to remove heat from the showerhead. Steady state measurements of temperature across the showerheads yielded a temperature profile in the dual counter flow showerhead that was more uniform than in the conventional showerhead. In addition, the temperature difference between respective maximum and minimum temperature measurements in each showerhead was advantageously lower in the dual counter flow showerhead than in the conventional showerhead.
  • In some embodiments, and as depicted in FIG. 3, one or more channels 140 may define two or more (two shown) flow paths 302, 306 coupled to one another via a common inlet 310 and outlet 308. The two or more flow paths 302, 306 may be arranged in any configuration suitable to provide substantially equal flow of the heat transfer fluid and to provide control over the temperature profile across the showerhead 114. For example, as depicted in FIG. 3, in some embodiments, the two or more flow paths 302, 306 may begin at the inlet 310 and may be routed in different directions to cover different portions of the showerhead.
  • In some embodiments, the two or more flow paths 302, 306 may have a substantially equivalent axial length, cross-sectional area, thus providing substantially equal fluid conductance and residence time of heat transfer fluid within each of the two or more flow paths 302, 306, thereby facilitating temperature uniformity between the two or more flow paths 302, 306. By providing two or more flow paths 302, 306 the axial length of each of the two or more flow paths 302, 306 may be decreased, as compared to a single flow path covering the same area, thereby providing a shorter flow path for the heat transfer fluid. The shorter flow path for the heat transfer fluid decreases the change in temperature along the length of the two or more flow paths 302, 306 between the inlet 310 and outlet 308 as compared to longer flow paths. In addition, by providing a shorter flow path for the heat transfer fluid a pressure drop of the heat transfer fluid between the inlet 310 and outlet 308 of two or more flow paths 302, 306 may also be decreased, allowing for an increased flow rate of heat transfer fluid, thus further decreasing a change in temperature along the length of the two or more flow paths 302, 306 between the inlet 310 and the outlet 308.
  • In some embodiments, and as depicted in FIG. 4, the one or more channels 140 may define a plurality of flow paths (three shown) 408, 410, 412 having a substantially equal fluid conductance and residence time. In such embodiments, each of the plurality of flow paths 408, 410, 412 comprises an inlet 414, 418, 422 coupled to a first end 402, 404, 406 and an outlet 416, 420, 424 coupled to a second end 417, 419, 421, thus providing a flow path of heat transfer fluid from the inlet 414, 418, 422 to the respective outlet 416, 420, 424. The plurality of flow paths 408, 410, 412 may be coupled to a single heat transfer fluid source (described above with respect to FIG. 1). For example, a heat transfer fluid outlet may be coupled to the plurality of outlets to provide an outflow of heat transfer fluid from the plurality of outlets to the heat transfer fluid source. Alternatively, the plurality of flow paths may be coupled to a plurality of heat transfer fluid sources, wherein each of the plurality of flow paths 408, 410, 412 are respectively coupled to a separate single heat transfer fluid source.
  • The plurality of flow paths 408, 410, 412 may be arranged in any manner suitable to provide temperature uniformity across the substrate facing surface of the showerhead 114. For example, in some embodiments, the plurality of flow paths 408, 410, 412 may be symmetrically positioned within the showerhead 114 to promote temperature uniformity. By utilizing a plurality of flow paths 408, 410, 412 the axial length of each of the plurality of flow paths 408, 410, 412 may be shortened, which may advantageously allow for a decreased change in temperature of the heat transfer fluid along the flow paths 408, 410, 412 and thus an increased control over temperature profile due to the principles (e.g., residence time, fluid conductance, decreased pressure drop) discussed above with respect to FIG. 3. In addition, by utilizing a plurality of flow paths 408, 410, 412 wherein each comprises an inlet 414, 418, 422, and outlet 416, 420, 424, such as depicted in FIG. 4, the total flow rate of heat transfer fluid throughout the showerhead may be increased, further facilitating a decreased temperature range of the showerhead during use. In some embodiments, each of the plurality of flow paths may be arranged to provide a counter flow within a given flow path. In some embodiments, each portion of the flow path adjacent to another flow path can be configured to provide counter flow. By providing each flow path, and optionally adjacent flow paths, in a counter flow configuration, temperature uniformity further improves.
  • In some embodiments, and as depicted in FIG. 5, the one or more channels 140 may define a plurality of flow paths (six shown) 502, 504, 506, 508, 510, 512 arranged in a plurality of zones 525, 526, 528. The plurality of zones 525, 526, 528 may be arranged in any manner suitable to provide control of a temperature profile across the substrate-facing surface of the showerhead 114. For example, as shown in FIG. 5, the zones 525, 526, 528 may have a substantially equivalent surface area and are arranged symmetrically across the showerhead 114. In such embodiments, each zone 525, 526, 528 may comprise two or more of the plurality of flow paths coupled to a common inlet and outlet. For example, as shown in FIG. 5, flow paths 502 and 504 are coupled to a common inlet 514 and a common outlet 516, flow paths 506 and 508 are coupled to inlet 518 and outlet 520, and flow paths 510 and 512 are coupled to inlet 522 and outlet 524. In such embodiments, each of the plurality of flow paths 502, 504, 506, 508, 510, 512 may comprise a substantially equivalent axial length and cross-sectional area, thus providing substantially equal fluid conductance and residence time of heat transfer fluid within each of the plurality of flow paths 502, 504, 506, 508, 510, 512, thereby facilitating temperature uniformity in each of the zones 525, 526, 528. In some embodiments, the common inlets 514, 518, 522 may be coupled to a heat transfer fluid source (not shown) configured to provide the heat transfer fluid, as described above with respect to FIG. 1. Alternatively, in some embodiments, a separate heat transfer fluid source may be coupled to each inlet 514, 518, 522 to provide a heat transfer fluid to each zone 525, 526, 528 individually.
  • By utilizing two or more of the plurality of flow paths 502, 504, 506, 508, 510, 512 in each zone 525, 526, 528 the axial length of each of the plurality of flow paths 502, 504, 506, 508, 510, 512 may be shortened, which may advantageously allow for a decreased change in temperature of the heat transfer fluid along the flow paths 502, 504, 506, 508, 510, 512 and thus an increased control in temperature uniformity due to the principles discussed above.
  • Alternatively, or in combination, in some embodiments and as depicted in FIG. 6, a plurality of flow paths (six shown) 606, 608, 610, 624, 626, 628 may also be arranged in an inner zone 602 and an outer zone 604, wherein the outer zone 604 is disposed radially outward from the inner zone 602. Each of the inner zone 602 and outer zone 604 may comprise any number of the plurality of flow paths 606, 608, 610, 624, 626, 628 and may be arranged in any manner suitable to facilitate temperature uniformity across the substrate support 108. For example, as depicted in FIG. 6, the inner zone 602 may comprise a plurality (three shown) of flow paths 606, 608, 610, having a substantially equivalent axial length and fluid conductance, positioned symmetrically within the showerhead 114. Each of the plurality of flow paths 606, 608, 610 comprises an inlet 612, 616, 620 and an outlet 614, 618, 622. The plurality of flow paths 606, 608, 610 may be coupled to a common heat transfer fluid source (not shown) configured to provide the heat transfer fluid, as described above with respect to FIG. 1. Alternatively, in some embodiments, a separate heat transfer fluid source may be coupled to each inlet 612, 616, 620 to provide a heat transfer fluid to each flow path 606, 608, 610 individually.
  • In some embodiments, the inner zone 602 may comprise other configurations of flow paths to facilitate temperature uniformity across the substrate support 108. For example, in some embodiments, the inner zone 602 may further comprise a plurality of zones positioned symmetrically, wherein each of the plurality of zones comprise more than one flow path coupled to a common inlet and outlet, such as in the embodiments discussed above with respect to FIG. 5.
  • In some embodiments, the outer zone 604 may comprise a plurality (three shown) of flow paths 624, 626, 628, wherein each of the plurality of flow paths 624, 626, 628 comprise an inlet 632, 636, 640 and outlet 630, 634, 638. In some embodiments, each of the plurality of flow paths 624, 626, 628 may be disposed adjacent to a corresponding flow path of the plurality of flow paths 606, 608, 610 of the inner zone 602. In such embodiments the plurality (three shown) of flow paths 624, 626, 628 in the outer zone 604 may provide a counter flow of heat transfer fluid with respect to the adjacent flow path of the plurality of flow paths 606, 608, 610 of the inner zone 602, allowing for a heat transfer from a hotter portion of the heat transfer fluid to a cooler portion of the heat transfer fluid, thus facilitating temperature uniformity between the outer zone 604 and inner zone 602. In some embodiments, a barrier 603 may be provided between the inner zone 602 and the outer zone 604 to facilitate the independent control over the temperature in each zone, and temperature non-uniformity between the zones. In some embodiments, the barrier 603 may be an insulator such as an air gap, for example, of about 1 mm to about 10 mm wide.
  • In embodiments where multiple zones of heat transfer fluid flow paths are provided, a valve (e.g., valve 139 depicted in FIG. 1) may be coupled to at least one, and in some embodiments, each of the plurality of flow paths to control a flow rate of the heat transfer fluid flowing through one or more of the flow paths. A controller may be coupled to each valve to control the operation thereof (e.g., controller 137 depicted in FIG. 1). The each valve may be controlled to independently provide a desired flow rate of heat transfer fluid through the flow paths in each zone. As such, a flow rate in a given zone may be increased or decreased with respect to the flow rate in any other zone. For example, a flow rate in an outer zone may be increased to remove more heat, or decreased to remove less heat, as desired to make a thermal profile of a substrate-facing surface of the showerhead 114 more uniform or controllably non-uniform (for example to control process results in thermally dependent processes).
  • In some embodiments, and as depicted in FIG. 7, the showerhead 114 may comprise two or more zones (four zones 702, 704, 706, 708 depicted in FIG. 7) arranged in a symmetrical pattern (a fourfold symmetrical pattern in FIG. 7), wherein each of the zones (e.g., 702, 704, 706, 708) includes at least one flow path (e.g., 726, 728, 730, 732) defining a recursive flow pattern in an azimuthal direction about the showerhead 114. In such embodiments, each of the at least one flow paths may comprise a substantially equivalent axial length and cross-sectional area, thus providing substantially equal fluid conductance and residence time. The recursive flow pattern may advantageously provide a symmetrical flow path having a more uniform conductance. As such, the pressure and flow rate within each of the at least one flow paths may be more uniform, resulting in an increased temperature uniformity across the substrate-facing surface of the showerhead 114.
  • In some embodiments, each of the at least one flow paths may comprise an inlet (e.g., 710, 712, 714, 716) and an outlet (e.g., 718, 720, 722, 724), wherein each of the inlets and outlets are coupled to a common inlet (e.g., 734) and a common outlet (e.g., 736). In such embodiments, the distance between each inlet and the common inlet and the distance between each outlet and the common outlet are substantially equivalent, to facilitate a substantially equivalent flow rate of heat transfer fluid, pressure difference, and residence time in each of the flow paths. By providing a common inlet and common outlet in the manner described, each of the flow paths may be provided with heat transfer fluid at the same rate, pressure, and the like. As such, the flow rate of the heat transfer fluid through each flow path may be substantially equal, thereby minimizing temperature non-uniformity associated with transient flow of heat transfer fluid.
  • FIG. 8 depicts another partial cross sectional top view of a showerhead in accordance with some embodiments of the present invention. As depicted in FIG. 8, a showerhead 114 may include two flow channels 140. The flow channels may be inversely symmetric about an axis 802 that passes through a central axis of the showerhead 114 (e.g., a diameter for circular showerheads). A first flow channel 804 may be provided between an inlet 806 and an outlet 808 disposed on a first half 810 of the showerhead 114. A second flow channel 812 may be provided between an inlet 814 and an outlet 816 disposed on a second half 818 of the showerhead 114. The second flow channel 812 may have a similar or identical shape as the first flow channel 804 and may be rotated 180 degrees with respect to the first flow channel 804. In some embodiments, the inlet and outlet of each flow channel may be disposed proximate an outer edge, radially, of the flow channel. The flow channel may then be routed from the inlet towards the center of the showerhead and back from the center out towards the edge of the showerhead to the outlet. Each flow channel 140 may be routed to provide a counter flow of the heat transfer fluid flowing therethrough during use to improve temperature uniformity. By providing similarly or identically shaped flow channels, the temperature profile of the substrate facing side of the showerhead 114 may additionally be made more azimuthally uniform. The dual-channel design reduces pressure differences between channels that facilitates providing a larger flow rate, hence providing further thermal profile uniformity improvement.
  • In each of the above embodiments, the number of zones and flow path direction may be varied to further facilitate temperature uniformity across the faceplate of the showerhead.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof.

Claims (20)

1. An apparatus for controlling thermal uniformity of a substrate-facing surface of a showerhead, comprising:
a showerhead having a substrate facing surface and one or more plenums for providing one or more process gases through a plurality of gas distribution holes formed through the substrate facing surface of the showerhead; and
a plurality of flow paths having a substantially equivalent fluid conductance disposed within the showerhead to flow a heat transfer fluid.
2. The apparatus of claim 1, further comprising:
a plurality of inlets, each respectively coupled to a first end of a respective one of the plurality of flow paths; and
a plurality of outlets, each respectively coupled to a second end of a respective one the plurality of flow paths.
3. The apparatus of claim 2, wherein the plurality of flow paths are symmetrically positioned within the showerhead.
4. The apparatus of claim 3, further comprising:
a heat transfer fluid inlet coupled to the plurality of inlets to provide in an inflow of heat transfer fluid to the plurality of inlets; and
a heat transfer fluid outlet coupled to the plurality of outlets to provide an outflow of heat transfer fluid from the plurality of outlets.
5. The apparatus of claim 3, wherein each of the plurality of flow paths comprise a recursive symmetric pattern.
6. The apparatus of claim 1, wherein the showerhead further comprises:
a first plate having the plurality of flow paths disposed at least partially therein; and
a second plate disposed adjacent to the first plate, the second plate having the one or more plenums at least partially formed therein.
7. The apparatus of claim 1, wherein the plurality of flow paths are arranged in a plurality of zones having radial symmetry with respect to a central axis of the showerhead, wherein each of the plurality of zones comprises at least two flow paths.
8. The apparatus of claim 7, wherein each of the plurality of zones further comprises:
an inlet coupled to the at least two flow paths; and
an outlet coupled to the at least two flow paths.
9. The apparatus of claim 8, wherein each of the at least two flow paths are symmetrical with respect to one another.
10. The apparatus of claim 1, wherein each of the plurality of flow paths are coupled to a common inlet and a common outlet.
11. The apparatus of claim 1, further comprising a heat transfer fluid source configured to provide the heat transfer fluid to the plurality of flow paths and to control a temperature and a flow rate of the heat transfer fluid.
12. The apparatus of claim 1, wherein the showerhead further comprises:
an inner portion having a first plurality of the plurality of flow paths disposed therein; and
an outer portion having a second plurality of the plurality of flow paths disposed therein, the outer portion disposed radially outward of the inner portion with respect to a center point of the showerhead.
13. The apparatus of claim 12, wherein each of the plurality of flow paths disposed in the outer portion of the showerhead is positioned adjacent to a respective each of the plurality of flow paths disposed in the inner portion of the showerhead.
14. The apparatus of claim 13, wherein each of the plurality of flow paths disposed in the outer portion of the showerhead is configured to provide a flow of heat transfer fluid in an opposite direction with respect to a direction of flow of heat transfer fluid of an adjacent one of the plurality of flow paths disposed in the inner portion of the showerhead.
15. The apparatus of claim 1, further comprising:
at least one valve respectively coupled to the at plurality of flow paths to control a flow rate of the heat transfer fluid.
16. The apparatus of claim 15, further comprising a controller coupled to at least one valve to control the operation thereof.
17. The apparatus of claim 1, wherein the showerhead further comprises at least one heating element to heat the showerhead.
18. The apparatus of claim 17, wherein the at least one heating element comprises a plurality of heating elements arranged in two or more zones.
19. An apparatus for controlling thermal uniformity of a substrate-facing surface of a showerhead, comprising:
a showerhead having a substrate facing surface and one or more plenums for providing one or more process gases through a plurality of gas distribution holes formed through the substrate facing surface of the showerhead; and
a flow path disposed within the showerhead and having an inlet and an outlet to flow a heat transfer fluid through the flow path, wherein the flow path comprises a first portion and a second portion, each portion having a substantially equivalent axial length, wherein the first portion is spaced about 2 mm to about 10 mm from the second portion, and wherein the first portion provides a flow of heat transfer fluid in a direction opposite a flow of heat transfer fluid of the second portion.
20. An apparatus for controlling thermal uniformity of a substrate-facing surface of a showerhead, comprising:
a showerhead having a substrate facing surface and one or more plenums for providing one or more process gases through a plurality of gas distribution holes formed through the substrate facing surface of the showerhead; and
a first flow path and a second flow path disposed within the showerhead, each having an inlet and an outlet to flow a heat transfer fluid through the respective flow path, wherein each flow path has a substantially equivalent axial length, and wherein the first flow path and the second flow path a inversely symmetrical about an axis that passes through a central axis of the showerhead.
US12/886,258 2010-01-27 2010-09-20 Apparatus for controlling temperature uniformity of a showerhead Abandoned US20110180233A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US12/886,258 US20110180233A1 (en) 2010-01-27 2010-09-20 Apparatus for controlling temperature uniformity of a showerhead
TW100100533A TW201144478A (en) 2010-01-27 2011-01-06 Apparatus for controlling temperature uniformity of a showerhead
PCT/US2011/022202 WO2011094143A2 (en) 2010-01-27 2011-01-24 Apparatus for controlling temperature uniformity of a showerhead

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US29867610P 2010-01-27 2010-01-27
US12/886,258 US20110180233A1 (en) 2010-01-27 2010-09-20 Apparatus for controlling temperature uniformity of a showerhead

Publications (1)

Publication Number Publication Date
US20110180233A1 true US20110180233A1 (en) 2011-07-28

Family

ID=44308077

Family Applications (1)

Application Number Title Priority Date Filing Date
US12/886,258 Abandoned US20110180233A1 (en) 2010-01-27 2010-09-20 Apparatus for controlling temperature uniformity of a showerhead

Country Status (3)

Country Link
US (1) US20110180233A1 (en)
TW (1) TW201144478A (en)
WO (1) WO2011094143A2 (en)

Cited By (306)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140083361A1 (en) * 2012-09-26 2014-03-27 Applied Materials, Inc. Controlling temperature in substrate processing systems
US20170304849A1 (en) * 2016-04-26 2017-10-26 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
US9892908B2 (en) * 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
WO2020023854A1 (en) * 2018-07-27 2020-01-30 Applied Materials, Inc. Gas distribution plate for thermal deposition
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714354B2 (en) * 2015-08-19 2020-07-14 Lam Research Corporation Self limiting lateral atomic layer etch
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10879054B2 (en) * 2017-11-20 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Pump assembly for creating vacuum in wafer processing chamber
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10954596B2 (en) * 2016-12-08 2021-03-23 Applied Materials, Inc. Temporal atomic layer deposition process chamber
US10954595B2 (en) * 2019-07-30 2021-03-23 Applied Materials, Inc. High power showerhead with recursive gas flow distribution
US20210098231A1 (en) * 2019-09-27 2021-04-01 Applied Materials, Inc. Monolithic modular microwave source with integrated process gas distribution
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11220747B2 (en) * 2018-10-29 2022-01-11 Applied Materials, Inc. Complementary pattern station designs
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US20220020612A1 (en) * 2020-07-19 2022-01-20 Applied Materials, Inc. Systems and methods for faceplate temperature control
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
WO2022066603A1 (en) * 2020-09-22 2022-03-31 Applied Materials, Inc. Showerhead assembly with recursive gas channels
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
CN114306984A (en) * 2020-10-09 2022-04-12 上海沃尔沃汽车研发有限公司 Fire extinguishing device and battery test equipment
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
CN114514794A (en) * 2019-09-27 2022-05-17 应用材料公司 Monolithic modular microwave source with integrated temperature control
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11434568B2 (en) * 2018-04-17 2022-09-06 Applied Materials, Inc. Heated ceramic faceplate
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742231B2 (en) * 2019-10-18 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Movable wafer holder for film deposition chamber having six degrees of freedom
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
KR20230141596A (en) 2022-03-31 2023-10-10 도쿄엘렉트론가부시키가이샤 Substrate processing apparatus
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040212947A1 (en) * 2003-04-22 2004-10-28 Applied Materials, Inc. Substrate support having heat transfer system
US7033444B1 (en) * 1999-06-21 2006-04-25 Tokyo Electron Limited Plasma processing apparatus, and electrode structure and table structure of processing apparatus
US20070039942A1 (en) * 2005-08-16 2007-02-22 Applied Materials, Inc. Active cooling substrate support
US20080035306A1 (en) * 2006-08-08 2008-02-14 White John M Heating and cooling of substrate support
US20080202425A1 (en) * 2007-01-29 2008-08-28 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US20080236495A1 (en) * 2007-03-27 2008-10-02 Structured Materials Inc. Showerhead for chemical vapor deposition (CVD) apparatus
US7436645B2 (en) * 2004-10-07 2008-10-14 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080041893A (en) * 2006-11-08 2008-05-14 주식회사 아토 A chuck for heating and cooling

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7033444B1 (en) * 1999-06-21 2006-04-25 Tokyo Electron Limited Plasma processing apparatus, and electrode structure and table structure of processing apparatus
US20040212947A1 (en) * 2003-04-22 2004-10-28 Applied Materials, Inc. Substrate support having heat transfer system
US7436645B2 (en) * 2004-10-07 2008-10-14 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US20070039942A1 (en) * 2005-08-16 2007-02-22 Applied Materials, Inc. Active cooling substrate support
US20080035306A1 (en) * 2006-08-08 2008-02-14 White John M Heating and cooling of substrate support
US20080202425A1 (en) * 2007-01-29 2008-08-28 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US20080236495A1 (en) * 2007-03-27 2008-10-02 Structured Materials Inc. Showerhead for chemical vapor deposition (CVD) apparatus

Cited By (396)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US9892908B2 (en) * 2011-10-28 2018-02-13 Asm America, Inc. Process feed management for semiconductor substrate processing
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10544508B2 (en) * 2012-09-26 2020-01-28 Applied Materials, Inc. Controlling temperature in substrate processing systems
KR102139230B1 (en) 2012-09-26 2020-07-29 어플라이드 머티어리얼스, 인코포레이티드 Controlling temperature in substrate processing systems
US20140083361A1 (en) * 2012-09-26 2014-03-27 Applied Materials, Inc. Controlling temperature in substrate processing systems
KR20150060860A (en) * 2012-09-26 2015-06-03 어플라이드 머티어리얼스, 인코포레이티드 Controlling temperature in substrate processing systems
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10714354B2 (en) * 2015-08-19 2020-07-14 Lam Research Corporation Self limiting lateral atomic layer etch
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US20170304849A1 (en) * 2016-04-26 2017-10-26 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
US10780447B2 (en) * 2016-04-26 2020-09-22 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10954596B2 (en) * 2016-12-08 2021-03-23 Applied Materials, Inc. Temporal atomic layer deposition process chamber
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10879054B2 (en) * 2017-11-20 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Pump assembly for creating vacuum in wafer processing chamber
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11434568B2 (en) * 2018-04-17 2022-09-06 Applied Materials, Inc. Heated ceramic faceplate
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11583816B2 (en) 2018-07-27 2023-02-21 Applied Materials, Inc. Gas distribution plate for thermal deposition
US11110425B2 (en) 2018-07-27 2021-09-07 Applied Materials, Inc. Gas distribution plate for thermal deposition
KR20210025688A (en) * 2018-07-27 2021-03-09 어플라이드 머티어리얼스, 인코포레이티드 Gas distribution plate for thermal evaporation
WO2020023854A1 (en) * 2018-07-27 2020-01-30 Applied Materials, Inc. Gas distribution plate for thermal deposition
KR102590931B1 (en) 2018-07-27 2023-10-19 어플라이드 머티어리얼스, 인코포레이티드 Gas distribution plate for thermal evaporation
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
TWI754180B (en) * 2018-10-29 2022-02-01 美商應用材料股份有限公司 Processing chamber and method of forming film
US11220747B2 (en) * 2018-10-29 2022-01-11 Applied Materials, Inc. Complementary pattern station designs
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US10954595B2 (en) * 2019-07-30 2021-03-23 Applied Materials, Inc. High power showerhead with recursive gas flow distribution
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN114514794A (en) * 2019-09-27 2022-05-17 应用材料公司 Monolithic modular microwave source with integrated temperature control
US11881384B2 (en) * 2019-09-27 2024-01-23 Applied Materials, Inc. Monolithic modular microwave source with integrated process gas distribution
US20210098231A1 (en) * 2019-09-27 2021-04-01 Applied Materials, Inc. Monolithic modular microwave source with integrated process gas distribution
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11742231B2 (en) * 2019-10-18 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Movable wafer holder for film deposition chamber having six degrees of freedom
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11697877B2 (en) * 2020-06-17 2023-07-11 Applied Materials, Inc. High temperature face plate for deposition application
US20220119950A1 (en) * 2020-06-17 2022-04-21 Applied Materials, Inc. High temperature face plate for deposition application
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US20220020612A1 (en) * 2020-07-19 2022-01-20 Applied Materials, Inc. Systems and methods for faceplate temperature control
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
WO2022066603A1 (en) * 2020-09-22 2022-03-31 Applied Materials, Inc. Showerhead assembly with recursive gas channels
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN114306984A (en) * 2020-10-09 2022-04-12 上海沃尔沃汽车研发有限公司 Fire extinguishing device and battery test equipment
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11976359B2 (en) 2020-12-29 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20230141596A (en) 2022-03-31 2023-10-10 도쿄엘렉트론가부시키가이샤 Substrate processing apparatus
US11976361B2 (en) 2022-04-06 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11972944B2 (en) 2022-10-21 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11970766B2 (en) 2023-01-17 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus

Also Published As

Publication number Publication date
TW201144478A (en) 2011-12-16
WO2011094143A2 (en) 2011-08-04
WO2011094143A3 (en) 2011-11-24

Similar Documents

Publication Publication Date Title
US20110180233A1 (en) Apparatus for controlling temperature uniformity of a showerhead
US10386126B2 (en) Apparatus for controlling temperature uniformity of a substrate
JP7393501B2 (en) Semiconductor processing chamber to improve precursor flow
US10062587B2 (en) Pedestal with multi-zone temperature control and multiple purge capabilities
US8633423B2 (en) Methods and apparatus for controlling substrate temperature in a process chamber
US10332772B2 (en) Multi-zone heated ESC with independent edge zones
US7436645B2 (en) Method and apparatus for controlling temperature of a substrate
US10780447B2 (en) Apparatus for controlling temperature uniformity of a showerhead
US20180142352A1 (en) Two zone flow cooling plate design with concentric or spiral channel for efficient gas distribution assembly cooling
US9947559B2 (en) Thermal management of edge ring in semiconductor processing
US20090159566A1 (en) Method and apparatus for controlling temperature of a substrate
TW201518538A (en) Pixelated cooling, temperature controlled substrate support assembly
US9248509B2 (en) Multi-zoned plasma processing electrostatic chuck with improved temperature uniformity
US20130276980A1 (en) Esc with cooling base
CN105870039B (en) Dual zone heater for plasma processing

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BERA, KALLOL;CARDUCCI, JAMES D.;NOORBAKHSH, HAMID;AND OTHERS;SIGNING DATES FROM 20110215 TO 20110302;REEL/FRAME:026012/0141

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION