WO2006096221A1 - Polymeres a faible indice de refraction utilises en tant que sous-couches dans des photoresists contenant du silicium - Google Patents

Polymeres a faible indice de refraction utilises en tant que sous-couches dans des photoresists contenant du silicium Download PDF

Info

Publication number
WO2006096221A1
WO2006096221A1 PCT/US2005/043210 US2005043210W WO2006096221A1 WO 2006096221 A1 WO2006096221 A1 WO 2006096221A1 US 2005043210 W US2005043210 W US 2005043210W WO 2006096221 A1 WO2006096221 A1 WO 2006096221A1
Authority
WO
WIPO (PCT)
Prior art keywords
composition
group
underlayer
polymer
moiety
Prior art date
Application number
PCT/US2005/043210
Other languages
English (en)
Inventor
Wu-Song Huang
Sean Burns
Mahmoud Khojasteh
Original Assignee
International Business Machines Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/013,971 external-priority patent/US7326523B2/en
Application filed by International Business Machines Corporation filed Critical International Business Machines Corporation
Priority to JP2007546713A priority Critical patent/JP5220418B2/ja
Priority to EP05857596A priority patent/EP1825325A4/fr
Priority to CN2005800431800A priority patent/CN101080669B/zh
Publication of WO2006096221A1 publication Critical patent/WO2006096221A1/fr

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement

Definitions

  • This invention relates to an underlayer composition for silicon- containing photoresists, especially silicon-containing multilayer resists. More particularly, this invention relates to an underlayer composition that comprises a vinyl or acrylate polymer comprising a naphthalene moiety or naphthol moiety.
  • the resolution capability of lithographic processes is generally a function of the wavelength of imaging radiation, the numerical aperture of the lens in the exposure tool and various resolution enhancing techniques used.
  • Thinner resist also provides larger focus latitude and higher resolution.
  • Thinning of a conventional single layer resist to improve resolution generally results in compromise of the etch resistance of the resist which is needed to transfer the desired image to the underlying material layer.
  • multilayer lithographic processes e.g., bilayer processes
  • a planarizing underlayer is used between the imaging resist layer (typically a silicon-containing resist) and the underlying material layer to be patterned by transfer from the patterned resist.
  • the underlayer receives the pattern from the patterned resist layer, and then the patterned underlayer acts as a mask for the etching processes needed to transfer the pattern to the underlying material.
  • Exemplary multilayer lithographic processes are described in U.S. Patent Nos. 5,985,524, 6,444,408, 6,653,048, and U.S. Reissue Patent No. RE38.282. These patents mention the use of polyacrylates as underlayers.
  • U.S. Patent No. 6,054,248 also discloses various polymers for use as underlayers.
  • underlayers While planarizing underlayer materials exist in the art, there is a continued desire for improved compositions, especially compositions useful in lithographic processes using imaging radiation having a wavelength less than 200 nm (e.g., 193 nm).
  • Known underlayers for l-line and 248 nm DUV multilayer lithographic applications are typically based on novolac or polyhydroxystyrene polymers.
  • U.S. Patent No. 6,340,734 discloses the use of diazonaphthoquinone (DNQ)/novolac or novolac resin as an underlayer material. These materials very strongly absorb 193 nm radiation, thus are not suitable for 193 nm lithographic applications.
  • DNQ diazonaphthoquinone
  • planarizing underlayer compositions should be sufficiently etchable with selectivity to the overlying photoresist (to yield a good profile in the etched underlayer) while being resistant to the etch process needed to pattern the underlying material layer. Additionally, the planarizing underlayer composition should have the desired optical characteristics (e.g., refractive index, optical density) such that the need for any additional antireflective layer is avoided. Examples of good refractive indexes for the underlayers are those that would provide the substrate reflectivity of about 1 % or less.
  • the planarizing underlayer composition should also have physical and chemical compatibility with the imaging resist layer to avoid unwanted interactions which may cause footing and/or scumming. It is also desired to reduce the number of separate ingredients in the planarizing underlayer composition in order to enhance the economic viability of multilayer lithographic processes.
  • U.S. Patent No. 6,818,381 describes compositions suitable for forming planarizing underlayers for multilayer lithographic processes, characterized by the presence of (A) a polymer containing: (i) cyclic ether moieties, (ii) saturated polycyclic moieties, and (iii) aromatic moieties for compositions not requiring a separate crosslinker, or (B) a polymer containing: (i) saturated polycyclic moieties, and (ii) aromatic moieties for compositions requiring a separate crosslinker.
  • the compositions provide outstanding optical, mechanical and etch selectivity properties, and are especially useful in lithographic processes using radiation less than 200 nm in wavelength to configure underlying material layers.
  • the teaching uses highly absorbing aromatic moieties which then can only be incorporated with small quantity in the polymer composition.
  • One drawback of lower ratio of aromatic moieties is that the resulting polymer would have lower etch resistance for patterning the material layer under the underlayer.
  • the other drawback is that it would be very difficult to get the right optical properties in the synthetic process, such as rendering the polymer either too high in absorption when too much aromatic moieties are incorporated, or too low in absorption when not enough aromatic moieties are incorporated.
  • U.S. Patent Application Pub. No. 2003/0073040 A 1 describes an underlayer film containing a polymer with a carbon content of 80 wt % or more.
  • the polymer is an acenaphthylene polymer or its copolymer, which provides a very rigid composition. It is very difficult to tune the n and k values with this rigid composition.
  • the invention is directed to a composition suitable for use as a planarizing underlayer in a multilayer lithographic process, the composition comprising a vinyl or acrylate polymer, the polymer comprising at least one substituted or unsubstituted naphthalene or naphthol moiety.
  • the invention is directed to a method of forming a patterned material feature on a substrate.
  • the method comprises: providing a material layer on a substrate; forming an underlayer on the material layer, the underlayer comprising a vinyl or acrylate polymer, the polymer comprising at least one substituted or unsubstituted naphthalene or naphthol moiety; forming a radiation-sensitive imaging layer on the underlayer; patternwise exposing the imaging layer to radiation, thereby creating a pattern of radiation-exposed regions in the imaging layer; selectively removing portions of the imaging layer and underlayer to expose portions of the material layer; and etching the exposed portions of the material layer, thereby forming the patterned material feature.
  • the invention is directed to a method of forming a patterned material feature on a substrate.
  • the method comprises: providing a material layer on a substrate; forming an underlayer on the material layer, the underlayer comprising a vinyl or acrylate polymer, the polymer comprising at least one substituted or unsubstituted naphthalene or naphthol moiety; forming an interlayer on the underlayer, the interlayer comprising a silicon- containing polymer; forming a radiation-sensitive imaging layer on the interlayer; patternwise exposing the imaging layer to radiation, thereby creating a pattern of radiation-exposed regions in the imaging layer; selectively removing portions of the imaging layer, interlayer and underlayer to expose portions of the material layer; and etching the exposed portions of the material layer, thereby forming the patterned material feature.
  • the present invention relates to underlayer compositions which are useful in multilayer lithographic processes.
  • the compositions of this invention provide outstanding optical properties, in lithographic structures containing the underlayers obtained from the compositions of the invention.
  • the invention includes methods of making such lithographic structures and methods of using such lithographic structures to pattern underlying material layers on a substrate.
  • this invention is directed to underlayer compositions comprising a vinyl or acrylate polymer, such as a methacrylate polymer.
  • the polymer comprises at least one substituted or unsubstituted naphthalene or naphthol moiety, including mixtures thereof.
  • the organic moiety mentioned above may be a substituted or unsubstituted hydrocarbon selected from the group consisting of a linear or branched alkyl, halogenated linear or branched alkyl, aryl, halogenated aryl, cyclic alkyl, and halogenated cyclic alkyl, and any combination thereof.
  • R 1 may also comprise an -OH group in the organic moiety and other reactive sites besides -OH group such as amino groups, imino groups, carboxylic acids, and mixtures thereof.
  • the polymer of the underlayer composition may further comprise a co-monomer with saturated carbon bonds.
  • co-monomers with saturated carbon bonds will reduce the k value of the polymer.
  • Suitable co-monomers include vinyl, acrylate (including methacrylate), maleic anhydride, cyclic olefin, vinylether, and mixtures thereof.
  • the co-monomers with saturated carbon bonds may also contain a reactive site selected from the group consisting of alcohols, amino groups, imino groups, carboxylic acids, and mixtures thereof.
  • the polymer of the underlayer composition may further comprise an aromatic co-monomer or co-monomers with double or triple bonds.
  • aromatic co-monomers are vinyl and acrylate monomers (including methacrylate monomers) containing substituted and unsubstituted phenyl, hydroxyphenyl, anthracene and hydroxyanthracene groups.
  • co-monomers with double or triple bonds include vinyl and acrylate monomers containing alkene, alkyne, cyano, and/or carbonitrile groups.
  • the underlayer compositions preferably have a k value of about 0.08- 0.8, more preferably about 0.12-0.5, and an n value of about 1.3-2.0, more preferably about 1.45-1.8.
  • the polymer preferably contains a fluorine component.
  • the fluorine may be present as a pentafluoroaryl group (e.g., as perfluorostyrene), a trifluoromethyl group (e.g., as a trifluoromethyacrylate) or in another form compatible with the other constituents of the planarizing layer composition and with the synthesis techniques used to form the polymer.
  • the underlayer compositions of the invention are preferably substantially free of silicon, especially where the imaging layer is a silicon- containing resist.
  • the polymers of the invention preferably have a weight average molecular weight, before any crosslinking reaction, of at least about 1000, more preferably a weight average molecular weight of about 5000-50000.
  • the underlayer composition may further comprise an acid generator for inducing crosslinking of the inventive polymers.
  • the acid generator is preferably an acid generator compound that liberates acid upon thermal treatment.
  • thermal acid generators may be suitably employed, such as 2,4,4,6-tetrabromocyclohexa-dienone, benzoin tosylate, 2- nitrobenzyl tosylate and other alkyl esters of organic sulfonic acids. Compounds that generate a sulfonic acid upon activation are generally suitable. Other suitable thermally activated acid generators are described in U.S. Patent Nos. 5,886,102 and 5,939,236.
  • a radiation-sensitive acid generator may be employed as an alternative to a thermally activated acid generator or in combination with a thermally activated acid generator.
  • suitable radiation-sensitive acid generators are described in U.S. Patent Nos. 5,886,102 and 5,939,236.
  • Preferred radiation-sensitive acid generators include: (trifluoromethyl- sulfonyloxy)-bicyclo[2.2.1]hept-5-ene-2,3-dicarboximide (“MDT”), onium salts, aromatic diazonium salts, sulfonium salts, diaryliodonium salts and sulfonic acid esters of N-hydroxyamides or -imides, as disclosed in U.S. Pat. No.
  • a PAG that produces a weaker acid such as dodecane sulfonate of N-hydroxy-naphthalimide (“DDSN") may be used.
  • the radiation- sensitive acid generator should be compatible with the other components of the planarizing underlayer composition. Where a radiation-sensitive acid generator is used, the cure (crosslinking) temperature of the composition may be reduced by application of appropriate radiation to induce acid generation which in turn catalyzes the crosslinking reaction. Even if a radiation-sensitive acid generator is used, it is preferred to thermally treat the composition to accelerate the crosslinking process (e.g., for wafers in a production line).
  • the crosslinking component is preferably a crosslinker that can be reacted with the inventive polymer in a manner which is catalyzed by generated acid and/or by heating.
  • the crosslinking component used in the underlayer compositions may be any suitable crosslinking agent which is otherwise compatible with the other components of the composition.
  • the crosslinking agents preferably act to crosslink the polymer component in the presence of a generated acid.
  • Suitable organic cross-linking agents include, but are not limited to: amine-containing compounds, epoxy- containing compounds, compounds containing at least two vinyl ether groups, allyl substituted aromatic compounds, compounds containing at least two or more diazonaphthoquinone sulfonic acid ester groups and combinations thereof.
  • Preferred crosslinking agents are glycoluril compounds such as tetramethoxymethyl glycoluril, methylpropyltetramethoxymethyl glycoluril, and methylphenyltetramethoxymethyl glycoluril, available under the POWDERLINK trademark from American Cyanamid Company.
  • Other preferred crosslinking agents include 2,6-bis(hydroxymethyl)-p-cresol and compounds having the following structures:
  • etherified amino resins for example methylated or butylated melamine resins (N- methoxymethyl- or N-butoxymethyl-melamine respectively) or methylated/butylated glycolurils, for example as can be found in Canadian Patent No. 1 ,204,547.
  • Other crosslinking agents such as bis-epoxies or bis- phenols (e.g., bisphenol-A) may also be used. Combinations of crosslinking agents may be used.
  • the crosslinking component may be part of the inventive polymer structure.
  • the polymer of the underlayer composition may further comprise a co-monomer containing a crosslinking moiety.
  • Suitable crosslinking moieties include: amine containing compounds, epoxy containing materials, compounds containing vinyl ether groups, allyl substituted aromatic compounds, compounds containing diazonaphthoquinone sulfonic acid ester groups and combinations thereof.
  • co-monomers containing epoxy type crosslinker are the cyclic ether moieties, and are preferably present in groups pending from monomers making up at least a portion of the backbone of the polymer.
  • the cyclic ether moieties are pendant from acrylate monomers (e.g., an acrylate or methacrylate) as part of an ester group. If desired, other components may be present in the ester group. Examples of cyclic ether moieties include trioxane, tetrahydrofuran, oxetane, oxepane, trithiane, tetrathiane, and epoxy moieties.
  • the underlayer compositions of the invention preferably contain (on a solids basis) (i) about 50-98 wt. % of the polymer, more preferably about 70- 96 wt. %, (ii) about 1 -50 wt. % of crosslinking component, more preferably about 3-25 wt. %, most preferably about 5-25 wt. %, and (iii) about 1 -20 wt. % acid generator, more preferably about 1 -15 wt. %.
  • the underlayer compositions of the invention may be used in combination with any desired resist material in the formation of a lithographic structure.
  • the resist is imageable with ultraviolet radiation (e.g., ⁇ 400 nm wavelength) or with electron beam radiation.
  • ultraviolet radiation e.g., ⁇ 400 nm wavelength
  • electron beam radiation examples of suitable resist materials are described in U.S. Patent Nos. 5,861 ,231 , 5,962,184 and 6,037,097.
  • Preferred resists for bilayer applications using 193 nm radiation are disclosed in U.S. Patent No. 6,444,408, the disclosure of which is incorporated herein by reference.
  • the underlayer compositions of the invention may contain a solvent prior to their application to the desired substrate.
  • the solvent may be any solvent conventionally used with resists which otherwise does not have any excessively adverse impact on the performance of the underlayer composition.
  • Preferred solvents are propylene glycol monomethyl ether acetate or cyclohexanone.
  • the amount of solvent in the composition for application to a substrate is preferably sufficient to achieve a solids content of about 5-20 wt. %. Higher solids content formulations will generally yield thicker coating layers.
  • the compositions of the invention may further contain minor amounts of auxiliary components (e.g., surfactants, dyes).
  • the underlayer compositions of the invention may be prepared by combining the polymer, acid generator, and any other desired ingredients using conventional methods.
  • the compositions of the invention advantageously may be formed into underlayers on a substrate by spin- coating followed by baking to achieve crosslinking and solvent removal.
  • the baking is preferably conducted at about 250 0 C or less, more preferably about 150-230 0 C.
  • the baking time may be varied depending on the layer thickness and bake temperature. At 215°C, a baking time of about two minutes is preferred.
  • the thickness of the underlayer composition of the invention may be varied depending on the underlying topography and the intended etch protocol (for etching the material layer to be patterned).
  • the thickness is preferably about 0.15-5.0 ⁇ m.
  • compositions of the invention are especially useful for lithographic processes used in the manufacture of integrated circuits on semiconductor substrates.
  • the compositions are especially useful for lithographic processes using 193 nm or shorter wavelength UV imaging radiation.
  • Semiconductor lithographic applications generally involve transfer of a pattern to a layer of material on the semiconductor substrate.
  • the material layer of the semiconductor substrate may be a metal conductor layer, a ceramic insulator layer, a semiconductor layer or other material depending on the stage of the manufacture process and the desired material set for the end product.
  • the composition of the invention is preferably applied directly over the material layer to be patterned, preferably by spin-coating.
  • the composition is then baked to remove solvent and to cure (crosslink) the composition.
  • a radiation-sensitive resist layer can then be applied (directly or indirectly) over the cured underlayer composition of the invention.
  • the resist is preferably a silicon-containing resist imageable with the desired wavelength of radiation.
  • the solvent-containing resist composition is applied using spin coating or other technique.
  • the substrate with the resist coating is then preferably heated (pre-exposure baked) to remove the solvent and improve the coherence of the resist layer.
  • the thickness of the applied layer is preferably as thin as possible provided that the thickness is substantially uniform and that the resist layer can withstand subsequent processing to transfer the lithographic pattern to the underlayer, such as by reactive ion etching.
  • the pre-exposure bake step is preferably conducted for about 10 seconds to 15 minutes, more preferably about 15 seconds to one minute.
  • the pre-exposure bake temperature may vary depending on the glass transition temperature of the resist.
  • the resist layer is then patternwise-exposed to the desired radiation (e.g., 193 nm ultraviolet radiation).
  • the desired radiation e.g., 193 nm ultraviolet radiation
  • scanning particle beams such as electron beam
  • patternwise exposure may be achieved by scanning the beam across the substrate and selectively applying the beam in the desired pattern.
  • wavelike radiation forms such as 193 nm ultraviolet (UV) radiation
  • the patternwise exposure is conducted through a mask which is placed over the resist layer.
  • the total exposure energy is preferably about 100 millijoules/cm 2 or less, more preferably about 50 millijoules cm 2 or less (e.g., 15-30 millijoules/cm 2 ).
  • the resist layer is typically baked to further complete the acid-catalyzed reaction and to enhance the contrast of the exposed pattern.
  • the post-exposure bake is preferably conducted at about 60-175 0 C, more preferably about 90-160 0 C.
  • the postexposure bake is preferably conducted for about 30 seconds to 5 minutes.
  • the resist structure with the desired pattern is obtained (developed) by contacting the resist layer with an alkaline solution which selectively dissolves the areas of the resist which were exposed to radiation.
  • alkaline solutions are aqueous solutions of tetramethyl ammonium hydroxide.
  • the pattern from the resist structure may then be transferred to the exposed portions of the underlayer of the invention by reactive ion etching or other suitable etch techniques known in the art.
  • the underlying material layer to be patterned may then be etched using an etchant appropriate to the material layer composition.
  • exposed portions of the material layer may be subjected to electroplating, metal deposition, ion implantation, or other semiconductor processing techniques. Once the desired pattern transfer has taken place, any remaining underlayer and resist may be removed using conventional stripping techniques.
  • compositions of the invention and resulting lithographic structures can be used to create patterned material layer structures such as metal wiring lines, holes for contacts or vias, insulation sections (e.g., damascene trenches or shallow trench isolation), trenches for capacitor structures, etc. as might be used in the design of integrated circuit devices.
  • patterned material layer structures such as metal wiring lines, holes for contacts or vias, insulation sections (e.g., damascene trenches or shallow trench isolation), trenches for capacitor structures, etc. as might be used in the design of integrated circuit devices.
  • the underlayer of this invention is first applied to the surface of the substrate, using a suitable deposition process such as spin-on coating.
  • the underlayer preferably has a thickness of about 80 to about 8000 nm.
  • a silicon containing polymer interlayer is applied to the upper surface of the underlayer utilizing a conventional deposition process such as spin-on coating, evaporation, chemical vapor deposition, plasma- assisted chemical vapor deposition, or physical vapor deposition.
  • the thickness of the interlayer is preferably about 10 to about 500 nm, more preferably about 20 to about 200 nm.
  • the interlayer may include polysiloxane, polysilane, silylated novolak, Si doped PMMA, silicon-polyimide, and/or polyvinylsilylsulfone.
  • Suitable interlayer materials are the silicon containing spin on ARC/hardmask materials described in U.S. Patent Nos. 6,420,088; 6,503,692; and 6,730,454.
  • the copolymer shown above was formulated with PGMEA casting solvent, commercial thermal acid generator (TAG) and commercially available crosslinking agent.
  • TAG commercial thermal acid generator
  • the TAG was CDX-2178 from King Industries, and the crosslinker was Powderlink 1174 from DayChem Co., each added at 5 wt% relative to the solids.
  • the TAG and crosslinking agent should be considered examples; many commercial embodiments can be used.
  • Films were spin cast on 200 mm silicon wafers on a TEL ACT8 lithography processing track. The solvent content and spin speed were adjusted to provide films of 200 nm thickness. The films were baked at 200 0 C for 90 seconds to crosslink the polymer film. An experimental 193 nm silicon containing bilayer resist (high activation energy) was then spin-coated on the film to provide a thickness of 150 nm. Lithography exposures were performed with a 193 nm 0.75 NA optical scanner, with conventional illumination and a partial coherence of 0.6. An attenuated phase shift test reticle was used with 120 nm contact hole features. Exposure dose was varied to find the proper anchor dose for these features and cross section SEMs were obtained.

Landscapes

  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Materials For Photolithography (AREA)

Abstract

L’invention décrit une nouvelle composition de sous-couche qui fait preuve d’une résistance élevée à la gravure et de propriétés optiques améliorées. Ladite composition comprend un polymère de vinyle ou d’acrylate, par exemple un polymère méthacrylate, le polymère comprenant au moins un groupement naphtalène ou naphtol substitué ou non substitué, y compris un mélange de ces groupements. Des exemples du polymère de l’invention incluent : formules (I), (II), (III), (IV), dans lesquelles chaque R1 est indépendamment un groupement organique ou un halogène ; chaque A est indépendamment une liaison simple ou un groupement organique ; R2 est l’hydrogène ou un groupe méthyle ; enfin X, Y et Z sont chacun un entier de 0 à 7 et Y + Z est inférieur ou égal à /. Le groupement organique susmentionné peut être un hydrocarbure substitué ou non substitué choisi dans le groupe formé d'un alkyle linéaire ou ramifié, d’un alkyle halogéné linéaire ou ramifié, d’un aryle, d’un aryle halogéné, d’un alkyle cyclique, d’un alkyle cyclique halogéné et d’une quelconque de leurs combinaison. Les compositions sont appropriées pour former la sous-couche d’aplanissement dans un procédé lithographique multicouche, notamment tricouche.
PCT/US2005/043210 2004-12-16 2005-11-30 Polymeres a faible indice de refraction utilises en tant que sous-couches dans des photoresists contenant du silicium WO2006096221A1 (fr)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2007546713A JP5220418B2 (ja) 2004-12-16 2005-11-30 シリコン含有フォトレジストの基層としての低屈折率ポリマー
EP05857596A EP1825325A4 (fr) 2004-12-16 2005-11-30 Polymeres a faible indice de refraction utilises en tant que sous-couches dans des photoresists contenant du silicium
CN2005800431800A CN101080669B (zh) 2004-12-16 2005-11-30 适合在多层光刻方法中用作平面化垫层的组合物及其应用方法

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US11/013,971 2004-12-16
US11/013,971 US7326523B2 (en) 2004-12-16 2004-12-16 Low refractive index polymers as underlayers for silicon-containing photoresists
US11/195,566 US7439302B2 (en) 2004-12-16 2005-08-02 Low refractive index polymers as underlayers for silicon-containing photoresists
US11/195,566 2005-08-02

Publications (1)

Publication Number Publication Date
WO2006096221A1 true WO2006096221A1 (fr) 2006-09-14

Family

ID=36953687

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2005/043210 WO2006096221A1 (fr) 2004-12-16 2005-11-30 Polymeres a faible indice de refraction utilises en tant que sous-couches dans des photoresists contenant du silicium

Country Status (2)

Country Link
EP (1) EP1825325A4 (fr)
WO (1) WO2006096221A1 (fr)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9193678B2 (en) 2009-03-02 2015-11-24 Oxford Advanced Surfaces Ltd Chemical agents capable of forming covalent 3-D networks

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4592993A (en) * 1980-11-05 1986-06-03 Nippon Electric Co., Ltd. Pattern forming and etching process using radiation sensitive negative resist
US5443690A (en) * 1993-05-12 1995-08-22 Fujitsu Limited Pattern formation material and pattern formation method

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3989149B2 (ja) * 1999-12-16 2007-10-10 富士フイルム株式会社 電子線またはx線用化学増幅系ネガ型レジスト組成物
KR100734249B1 (ko) * 2000-09-07 2007-07-02 삼성전자주식회사 축합환의 방향족 환을 포함하는 보호기를 가지는 감광성폴리머 및 이를 포함하는 레지스트 조성물
US20030215736A1 (en) * 2002-01-09 2003-11-20 Oberlander Joseph E. Negative-working photoimageable bottom antireflective coating
US7038328B2 (en) * 2002-10-15 2006-05-02 Brewer Science Inc. Anti-reflective compositions comprising triazine compounds

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4592993A (en) * 1980-11-05 1986-06-03 Nippon Electric Co., Ltd. Pattern forming and etching process using radiation sensitive negative resist
US5443690A (en) * 1993-05-12 1995-08-22 Fujitsu Limited Pattern formation material and pattern formation method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9193678B2 (en) 2009-03-02 2015-11-24 Oxford Advanced Surfaces Ltd Chemical agents capable of forming covalent 3-D networks

Also Published As

Publication number Publication date
EP1825325A4 (fr) 2010-05-26
EP1825325A1 (fr) 2007-08-29

Similar Documents

Publication Publication Date Title
US7439302B2 (en) Low refractive index polymers as underlayers for silicon-containing photoresists
US6927015B2 (en) Underlayer compositions for multilayer lithographic processes
US8263321B2 (en) Polymer having antireflective properties and high carbon content, hardmask composition including the same, and process for forming a patterned material layer
US7276327B2 (en) Silicon-containing compositions for spin-on arc/hardmask materials
US7981594B2 (en) Hardmask composition having antirelective properties and method of patterning material on susbstrate using the same
US7659051B2 (en) Polymer having antireflective properties, hardmask composition including the same, and process for forming a patterned material layer
US7655386B2 (en) Polymer having antireflective properties, hardmask composition including the same, process for forming a patterned material layer, and associated device
US8999624B2 (en) Developable bottom antireflective coating composition and pattern forming method using thereof
US20110117501A1 (en) Resist underlayer polymer, resist underlayer composition including the same, and method of patterning using the same
US7375172B2 (en) Underlayer compositions containing heterocyclic aromatic structures
KR20140144658A (ko) 하층막 재료 및 패턴 형성 방법
KR20140144657A (ko) 하층막 재료 및 패턴 형성 방법
JP2013156647A (ja) 反射防止コーティング組成物
TWI411628B (zh) 具有抗反射特性的底層組成物
US7638266B2 (en) Ultrathin polymeric photoacid generator layer and method of fabricating at least one of a device and a mask by using said layer
KR100865684B1 (ko) 고 내에칭성 반사방지 하드마스크 조성물, 패턴화된 재료형상의 제조방법 및 그 제조방법으로 제조되는 반도체집적회로 디바이스
EP1825325A1 (fr) Polymeres a faible indice de refraction utilises en tant que sous-couches dans des photoresists contenant du silicium
KR100836675B1 (ko) 반사방지 하드마스크 조성물, 이를 이용한 패턴화된 재료형상의 제조방법 및 반도체 집적회로 디바이스

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2007546713

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 200580043180.0

Country of ref document: CN

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2005857596

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2005857596

Country of ref document: EP