TWI776174B - Antireflective coating composition including photoacid generator, coated substrate and method of forming pattern - Google Patents

Antireflective coating composition including photoacid generator, coated substrate and method of forming pattern Download PDF

Info

Publication number
TWI776174B
TWI776174B TW109121364A TW109121364A TWI776174B TW I776174 B TWI776174 B TW I776174B TW 109121364 A TW109121364 A TW 109121364A TW 109121364 A TW109121364 A TW 109121364A TW I776174 B TWI776174 B TW I776174B
Authority
TW
Taiwan
Prior art keywords
substituted
unsubstituted
formula
alkyl
layer
Prior art date
Application number
TW109121364A
Other languages
Chinese (zh)
Other versions
TW202104466A (en
Inventor
李晶真
安載潤
沈載倫
林載峰
伊馬德 阿克德
金明烈
Original Assignee
美商羅門哈斯電子材料有限公司
南韓商羅門哈斯電子材料韓國公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商羅門哈斯電子材料有限公司, 南韓商羅門哈斯電子材料韓國公司 filed Critical 美商羅門哈斯電子材料有限公司
Publication of TW202104466A publication Critical patent/TW202104466A/en
Application granted granted Critical
Publication of TWI776174B publication Critical patent/TWI776174B/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G12/00Condensation polymers of aldehydes or ketones with only compounds containing hydrogen attached to nitrogen
    • C08G12/02Condensation polymers of aldehydes or ketones with only compounds containing hydrogen attached to nitrogen of aldehydes
    • C08G12/40Chemically modified polycondensates
    • C08G12/42Chemically modified polycondensates by etherifying
    • C08G12/424Chemically modified polycondensates by etherifying of polycondensates based on heterocyclic compounds
    • C08G12/425Chemically modified polycondensates by etherifying of polycondensates based on heterocyclic compounds based on triazines
    • C08G12/427Melamine
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G63/00Macromolecular compounds obtained by reactions forming a carboxylic ester link in the main chain of the macromolecule
    • C08G63/02Polyesters derived from hydroxycarboxylic acids or from polycarboxylic acids and polyhydroxy compounds
    • C08G63/12Polyesters derived from hydroxycarboxylic acids or from polycarboxylic acids and polyhydroxy compounds derived from polycarboxylic acids and polyhydroxy compounds
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D179/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing nitrogen, with or without oxygen, or carbon only, not provided for in groups C09D161/00 - C09D177/00
    • C09D179/04Polycondensates having nitrogen-containing heterocyclic rings in the main chain; Polyhydrazides; Polyamide acids or similar polyimide precursors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/0622Polycondensates containing six-membered rings, not condensed with other rings, with nitrogen atoms as the only ring hetero atoms
    • C08G73/0638Polycondensates containing six-membered rings, not condensed with other rings, with nitrogen atoms as the only ring hetero atoms with at least three nitrogen atoms in the ring
    • C08G73/065Preparatory processes
    • C08G73/0655Preparatory processes from polycyanurates

Abstract

An antireflective coating composition, including a polymer, a photoacid generator having a crosslinkable group, a compound capable of crosslinking the polymer and the photoacid generator, a thermal acid generator, and an organic solvent.

Description

包含光酸產生劑的抗反射塗料組成物、經塗覆的基底和形成圖案之方法 Antireflective coating composition comprising photoacid generator, coated substrate and method of forming a pattern

本揭露總體上關於與光致抗蝕劑應用一起使用的抗反射塗料組成物。具體地,本揭露提供了用於改進負性(negative tone)顯影中的圖案塌陷裕度(pattern collapse margin)的抗反射組成物。 The present disclosure generally relates to antireflective coating compositions for use with photoresist applications. Specifically, the present disclosure provides antireflection compositions for improving pattern collapse margin in negative tone development.

光致抗蝕劑係用於將圖像轉印到基底上的光敏組成物。在基底上形成光致抗蝕劑的塗層,並且然後通過光掩模暴露於光化輻射。光掩模具有對光化輻射不透明和透明的區域。當光致抗蝕劑塗層暴露於光化輻射時,在光致抗蝕劑塗層上發生光誘導的化學改性。其結果係,光掩模的圖案被轉印到光致抗蝕劑塗層上。此後,將光致抗蝕劑塗層顯影以形成能夠在基底上被選擇性地處理的圖案化圖像。 Photoresists are photosensitive compositions used to transfer images to substrates. A coating of photoresist is formed on the substrate and then exposed to actinic radiation through a photomask. The photomask has regions that are opaque and transparent to actinic radiation. Light-induced chemical modification of the photoresist coating occurs when the photoresist coating is exposed to actinic radiation. As a result, the pattern of the photomask is transferred to the photoresist coating. Thereafter, the photoresist coating is developed to form a patterned image that can be selectively processed on the substrate.

典型地,化學放大的負性光致抗蝕劑包含具有酸不穩定脫離基的樹脂和光酸產生劑(PAG)。當此類光致抗蝕劑暴露於化學輻射線時,光酸產生劑形成酸,並且如此形成的酸在暴露後的烘烤過程期間導致酸不穩定基團從樹脂上脫離。酸不穩定基團的除去產生了在暴露區與非暴露區之間對水性鹼性顯影劑或基於疏水性有機溶劑的顯影劑的溶解度特徵不同。抗蝕劑的暴露區使得可溶於水性鹼性顯影劑並且不溶於基於疏水性有機溶劑的顯影劑。在半導體器件的製造過程中,正性過程使用水性鹼性顯影劑並且僅在基底上留下光致抗蝕劑的非暴露區;而負性過程使用基於疏水性有機溶劑的顯影劑並且僅在基底上留下光致抗蝕劑的暴露區。Typically, chemically amplified negative photoresists contain a resin with acid labile leaving groups and a photoacid generator (PAG). When such photoresists are exposed to chemical radiation, the photoacid generator forms an acid, and the acid so formed causes the acid labile groups to be detached from the resin during the post-exposure bake process. Removal of acid labile groups results in a difference in solubility characteristics between exposed and non-exposed regions for aqueous alkaline developers or developers based on hydrophobic organic solvents. The exposed areas of the resist are made soluble in aqueous alkaline developers and insoluble in hydrophobic organic solvent based developers. In the fabrication of semiconductor devices, positive-tone processes use aqueous alkaline developers and leave only unexposed areas of photoresist on the substrate; while negative-tone processes use hydrophobic organic solvent-based developers and only An exposed area of photoresist is left on the substrate.

通常,光致抗蝕劑用於將微米或奈米級圖案印刷在諸如Si或GaAs的半導體基底上,該圖案被轉換成用於執行電路功能的電子傳導路徑(較佳的是微米或亞微米幾何形狀)的複合矩陣。為了實現此類目的,光致抗蝕劑處理和加工條件的細節非常重要。用於處理光致抗蝕劑的幾種操作相互依賴地起作用,但是獲得高解析度光致抗蝕劑圖像的最重要操作之一係輻射暴露步驟。Typically, photoresists are used to print micro- or nano-scale patterns on semiconductor substrates such as Si or GaAs, which are converted into electronic conducting paths (preferably micro- or sub-micron) for performing circuit functions. A composite matrix of geometric shapes). To achieve such goals, the details of photoresist processing and processing conditions are very important. Several operations for processing photoresist function interdependently, but one of the most important operations for obtaining high resolution photoresist images is the radiation exposure step.

在此類光暴露操作中,來自光致抗蝕劑塗層的反射輻射使圖案化特徵的解析度降級。例如,當暴露輻射在基底與光致抗蝕劑之間的介面上反射時,輻射到光致抗蝕劑塗層的輻射的強度發生空間變化,並且輻射朝有意不暴露的光致抗蝕劑區域散射。因此,在光致抗蝕劑暴露時的輻射反射導致在顯影時缺乏圖案均勻性,諸如印刷的線-間隔特徵中的不均勻線寬。另外,由於區域之間的散射輻射或反射輻射的量存在差異,所以解析度可能變得對基底形貌敏感。In such light exposure operations, reflected radiation from the photoresist coating degrades the resolution of the patterned features. For example, when the exposure radiation is reflected at the interface between the substrate and the photoresist, the intensity of the radiation radiated to the photoresist coating varies spatially, and the radiation is directed toward the photoresist that is intentionally not exposed area scattering. Consequently, radiation reflection upon photoresist exposure results in a lack of pattern uniformity upon development, such as uneven line widths in printed line-space features. Additionally, resolution may become sensitive to substrate topography due to differences in the amount of scattered or reflected radiation between regions.

為了解決上面描述的與反射有關的問題,在基底表面與光致抗蝕劑塗層之間塗覆另外的光吸收層,即抗反射塗層(參見美國專利號5,939,236、5,886,102、5,851,738、5,851,730等)。To address the reflection-related problems described above, an additional light-absorbing layer, an anti-reflection coating, is applied between the substrate surface and the photoresist coating (see US Pat. Nos. 5,939,236, 5,886,102, 5,851,738, 5,851,730, etc. ).

然而,在此類常規的抗反射塗層的情況下,當圖案具有小的臨界尺寸(40 nm或更小)時,光刻過程中的負性顯影(NTD)經常遭受圖案塌陷。由於在確保過程裕度上相當大的困難,這種現象已導致產品品質之劣化以及低產率。However, in the case of such conventional antireflection coatings, negative-tone development (NTD) during photolithography often suffers from pattern collapse when the patterns have small critical dimensions (40 nm or less). This phenomenon has resulted in deterioration of product quality and low yield due to considerable difficulty in ensuring process margins.

最近,NTD過程中的圖案塌陷裕度(PCM)已成為圖案尺度降低的ArF浸沒式刻印(ArF immersion lithography)中較高產率的最關鍵問題。NTD中的PCM顯著取決於底部抗反射塗層(BARC)膜的特性。特別地,酸性BARC表面可以藉由進一步增加光致抗蝕劑的去保護反應而強烈地增強圖案塌陷。作為BARC中的酸性催化劑,光酸產生劑可為BARC配製物中的良好酸度控制單元之一,因為它可以藉由僅在暴露區產生的酸來改進圖案塌陷。然而,此方法的主要缺點係由於被過程溶劑溶出,在光致抗蝕劑旋塗或減少的抗蝕劑消耗(RRC)過程期間在BARC表面上的PAG濃度降低。仍然需要能夠在負性顯影中改進圖案塌陷裕度之新抗反射塗料組成物。Recently, the pattern collapse margin (PCM) in the NTD process has become the most critical issue for higher yields in ArF immersion lithography with reduced pattern scale. PCM in NTDs depends significantly on the properties of the bottom antireflective coating (BARC) film. In particular, acidic BARC surfaces can strongly enhance pattern collapse by further increasing the photoresist deprotection reaction. As acid catalysts in BARCs, photoacid generators can be one of the good acidity control units in BARC formulations because it can improve pattern collapse by generating acid only in exposed areas. However, the main disadvantage of this method is the reduced PAG concentration on the BARC surface during the photoresist spin-coating or reduced resist consumption (RRC) process due to dissolution by the process solvent. There remains a need for new antireflective coating compositions capable of improving pattern collapse margins in negative tone development.

實施方式提供了一種抗反射塗料組成物,該抗反射塗料組成物包含聚合物、具有可交聯基團的光酸產生劑、能夠使該聚合物和該光酸產生劑交聯的化合物、熱酸產生劑、和有機溶劑。Embodiments provide an antireflective coating composition comprising a polymer, a photoacid generator having a crosslinkable group, a compound capable of crosslinking the polymer and the photoacid generator, a thermal Acid generators, and organic solvents.

另一個實施方式提供了一種經塗覆的基底,其包括 (a) 基底;和 (b) 佈置在該基底上的抗反射塗料組成物的層。Another embodiment provides a coated substrate comprising (a) a substrate; and (b) a layer of an antireflective coating composition disposed on the substrate.

又另一個實施方式提供了一種形成圖案之方法,其包括: (a) 在基底上施用將該抗反射塗料組成物的層; (b) 將所施用的抗反射塗料組成物固化以形成抗反射層; (c) 在該抗反射層上形成光致抗蝕劑層;以及 (d) 將該光致抗蝕劑層圖案化並且將該圖案從該圖案化的光致抗蝕劑層轉印到該抗反射層上。Yet another embodiment provides a method of forming a pattern, comprising: (a) applying a layer of the antireflective coating composition on a substrate; (b) curing the applied anti-reflective coating composition to form an anti-reflective layer; (c) forming a photoresist layer on the antireflection layer; and (d) patterning the photoresist layer and transferring the pattern from the patterned photoresist layer onto the antireflection layer.

現在將詳細參考示例性實施方式,其實例在本說明書中展示。就此而言,本發明之示例性實施方式可以具有不同的形式並且不應該被解釋為限制於在本文中闡述之描述。因此,下面僅藉由參考附圖來描述示例性實施方式,以解釋本說明書之多個方面。如本文所用,術語「和/或」包括一個或多個相關列出項的任何和全部組合。當諸如「......中的至少一個/種」的表述在要素列表之後時修飾整個要素列表並且不修飾列表中的單獨要素。Reference will now be made in detail to exemplary embodiments, examples of which are set forth in this specification. In this regard, exemplary embodiments of the present invention may take different forms and should not be construed as limited to the descriptions set forth herein. Accordingly, exemplary embodiments are merely described below, by referring to the figures, to explain aspects of the present specification. As used herein, the term "and/or" includes any and all combinations of one or more of the associated listed items. An expression such as "at least one of" when following a list of elements modifies the entire list of elements and does not modify individual elements in the list.

應當理解,當一個要素被稱為係「在」另一個要素「之上」時,它可以與另一個要素或可能存在於其間的插入要素直接接觸。相反,當一個要素被稱為係「直接在」另一個要素「之上」時,不存在插入要素。It will be understood that when an element is referred to as being "on" another element, it can be in direct contact with the other element or intervening elements that may be present therebetween. Conversely, when an element is said to be "directly on" another element, there is no intervening element.

應當理解,儘管術語第一、第二、第三等可以在本文中用於描述各種要素、部件、區域、層和/或部分,但該等要素、部件、區域、層和/或部分不應被該等術語限制。該等術語僅用於將一個要素、部件、區域、層或部分區分於另一個要素、部件、區域、層或部分。因此,以下所討論的第一要素、部件、區域、層或部分可在不背離本發明之實施方式的教導的情況下被稱為第二要素、部件、區域、層或部分。It will be understood that, although the terms first, second, third, etc. may be used herein to describe various elements, components, regions, layers and/or sections, these elements, components, regions, layers and/or sections should not be are limited by these terms. These terms are only used to distinguish one element, component, region, layer or section from another element, component, region, layer or section. Thus, a first element, component, region, layer or section discussed below could be termed a second element, component, region, layer or section without departing from the teachings of embodiments of the present invention.

本文所用的術語僅是為了描述具體實施方式的目的並且不旨在限制。除非上下文另有明確指示,否則如本文所用,單數形式「一個」、「一種」和「該」(the)還旨在包括複數形式。The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting. As used herein, the singular forms "a," "an," and "the" are intended to include the plural forms as well, unless the context clearly dictates otherwise.

應當進一步理解,當在本說明書中使用時,術語「包含(comprises)」和/或「包含(comprising)」,或「包括(includes)」和/或「包括(including)」指定所規定的特徵、區域、整數、步驟、操作、要素和/或部件的存在,但不排除一個或多個其他特徵、區域、整數、步驟、操作、要素、部件和/或其組的存在或添加。It should be further understood that when used in this specification, the terms "comprises" and/or "comprising", or "includes" and/or "including" designate the specified features The presence of , regions, integers, steps, operations, elements and/or components does not preclude the presence or addition of one or more other features, regions, integers, steps, operations, elements, components and/or groups thereof.

考慮到所討論的測量和與特定量的測量相關的誤差(即測量系統的限制),如本文所用的「約」包括規定值並且意指在如由熟悉該項技術者所確定的特定值的可接受偏差範圍內。例如,「約」可以意指在一個或多個標準差內,或者在規定值的 ± 30%、20%、10%、5%內。"About" as used herein includes the stated value and means within the range of the specific value as determined by those skilled in the art, taking into account the measurement in question and the errors associated with the measurement of the particular quantity (ie, limitations of the measurement system). within acceptable tolerances. For example, "about" can mean within one or more standard deviations, or within ±30%, 20%, 10%, 5% of the stated value.

除非另有定義,否則本文所用的所有術語(包括技術和科學術語)均具有與本發明所屬領域普通技術人員所通常理解的相同含義。應當進一步理解,術語(諸如在常用詞典中定義的那些)應被解釋為具有與其在相關領域和本揭露的上下文中的含義一致的含義,並且除非本文明確如此定義,否則將不在理想化或過於正式的意義上解釋。Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs. It is to be further understood that terms, such as those defined in common dictionaries, are to be construed to have meanings consistent with their meanings in the relevant art and the context of the present disclosure, and unless explicitly so defined herein, are not intended to be idealized or excessive explain in a formal sense.

如本文所用,當沒有另外提供定義時,術語「烴基」係指具有至少一個碳原子和至少一個氫原子的有機化合物,該有機化合物視需要在指示的地方被一個或多個取代基取代。As used herein, when no definition is otherwise provided, the term "hydrocarbyl" refers to an organic compound having at least one carbon atom and at least one hydrogen atom, optionally substituted with one or more substituents where indicated.

如本文所用,當沒有另外提供定義時,術語「烷基」係指衍生自直鏈或支鏈飽和脂族烴的基團,該基團具有指定數目的碳原子並且具有至少為1的化合價。As used herein, when no definition is otherwise provided, the term "alkyl" refers to a group derived from a straight or branched chain saturated aliphatic hydrocarbon having the specified number of carbon atoms and having a valence of at least one.

如本文所用,當沒有另外提供定義時,術語「羥烷基」係指被至少一個羥基(-OH)取代的烷基。As used herein, when no definition is otherwise provided, the term "hydroxyalkyl" refers to an alkyl group substituted with at least one hydroxyl group (-OH).

如本文所用,當沒有另外提供定義時,術語「烷氧基」係指「烷基-O-」,其中術語「烷基」具有與上面描述的「烷基」相同的含義。As used herein, when no definition is otherwise provided, the term "alkoxy" refers to "alkyl-O-," wherein the term "alkyl" has the same meaning as "alkyl" described above.

如本文所用,當沒有另外提供定義時,術語「羧酸基團」係指具有式「-C(=O)-OH」的基團。As used herein, when no definition is otherwise provided, the term "carboxylic acid group" refers to a group having the formula "-C(=O)-OH".

如本文所用,當沒有另外提供定義時,術語「環烷基」係指具有一個或多個飽和環的單價基團,其中所有環成員都是碳。As used herein, when no definition is otherwise provided, the term "cycloalkyl" refers to a monovalent group having one or more saturated rings, wherein all ring members are carbon.

如本文所用,當沒有另外提供定義時,術語「烯基」係指具有至少一個碳-碳雙鍵的直鏈或支鏈單價烴基團。As used herein, when no definition is otherwise provided, the term "alkenyl" refers to a straight or branched chain monovalent hydrocarbon group having at least one carbon-carbon double bond.

如本文所用,當沒有另外提供定義時,單獨或組合使用的術語「芳基」係指含有至少一個環並且具有指定數目的碳原子的芳族或雜芳族烴。術語「芳基」可以解釋為包含具有稠合到至少一個環烷基或雜環烷基環的芳族或雜芳族環的基團。「芳基」可以包含獨立地選自氮(N)、氧(O)、磷(P)和硫(S)的一個或多個雜原子。As used herein, when no definition is otherwise provided, the term "aryl," used alone or in combination, refers to an aromatic or heteroaromatic hydrocarbon containing at least one ring and having the specified number of carbon atoms. The term "aryl" can be interpreted to include a group having an aromatic or heteroaromatic ring fused to at least one cycloalkyl or heterocycloalkyl ring. "Aryl" may contain one or more heteroatoms independently selected from nitrogen (N), oxygen (O), phosphorus (P), and sulfur (S).

如本文所用,當沒有另外提供定義時,術語「芳氧基」係指「芳基-O-」,其中術語「芳基」具有與上面描述的「芳基」相同的含義。As used herein, when no definition is otherwise provided, the term "aryloxy" refers to "aryl-O-," wherein the term "aryl" has the same meaning as "aryl" described above.

如上所述,負性顯影(NTD)中的圖案塌陷裕度(PCM)顯著地取決於底部抗反射塗層(BARC)膜的特性。特別地,酸性BARC表面可以藉由光致抗蝕劑的去保護反應而強烈地增強圖案塌陷。作為酸性催化劑的先質的光酸產生劑可為BARC配製物中的良好酸度控制單元之一,因為它可以藉由在暴露區產生的酸來改進圖案塌陷。然而,在光致抗蝕劑旋塗期間在BARC表面上的PAG濃度降低,因為一部分PAG被過程溶劑沖洗掉。As mentioned above, the pattern collapse margin (PCM) in negative tone development (NTD) depends significantly on the properties of the bottom antireflective coating (BARC) film. In particular, acidic BARC surfaces can strongly enhance pattern collapse through photoresist deprotection reactions. A photoacid generator, which is a precursor to an acid catalyst, can be one of the good acidity control units in BARC formulations because it can improve pattern collapse by the acid generated in the exposed areas. However, the PAG concentration on the BARC surface decreased during photoresist spin coating because a portion of the PAG was washed away by the process solvent.

本發明之諸位發明人已發現,在抗反射組成物中可交聯PAG的實現可以藉由在聚合物烘烤步驟期間與BARC聚合物的交聯反應而提供在BARC表面上減少的PAG損失,並且藉由在暴露BARC區中從交聯PAG有效產生的強酸,所提供的抗反射層展現出大大改進的圖案塌陷。The inventors of the present invention have discovered that the realization of crosslinkable PAGs in antireflective compositions can provide reduced PAG losses on the BARC surface by crosslinking reactions with the BARC polymer during the polymer bake step, And with the strong acid efficiently generated from the cross-linked PAG in the exposed BARC region, the provided anti-reflection layer exhibits greatly improved pattern collapse.

因此,本發明之實施方式提供了一種抗反射塗料組成物,其包含聚合物、具有可交聯基團的光酸產生劑、能夠使該聚合物和該光酸產生劑交聯的化合物、熱酸產生劑、和有機溶劑。Accordingly, embodiments of the present invention provide an antireflective coating composition comprising a polymer, a photoacid generator having a crosslinkable group, a compound capable of crosslinking the polymer and the photoacid generator, a thermal Acid generators, and organic solvents.

該抗反射組成物包含具有可交聯基團的光酸產生劑。如本文所用,術語「可交聯基團」係指能夠使光酸產生劑與聚合物交聯的任何反應性基團。可交聯基團可為不飽和官能基,諸如能夠藉由自由基機理反應的碳-碳雙鍵或碳-碳三鍵。可交聯基團也可為含有諸如氮、氧、磷、硫或鹵素的雜原子的官能基。例如,可交聯基團可為羥基、烷氧基、胺基(其可為未經取代的或被至少一個烷基或至少一個芳基取代)、硫醇基、硫醚基、醛和酮中存在的羰基、羧酸基團或其鹽、磷酸基團或其鹽、磺酸基團或其鹽、羧酸酯基團、可為未經取代的或被至少一個烷基或至少一個芳基取代的醯胺基、磺酸酯基、或磷酸酯基,但不限於此。在實施方式中,可交聯基團可為羥基。The antireflection composition contains a photoacid generator having a crosslinkable group. As used herein, the term "crosslinkable group" refers to any reactive group capable of crosslinking a photoacid generator with a polymer. The crosslinkable group may be an unsaturated functional group such as a carbon-carbon double bond or a carbon-carbon triple bond capable of reacting by a free radical mechanism. The crosslinkable group may also be a functional group containing heteroatoms such as nitrogen, oxygen, phosphorus, sulfur or halogen. For example, the crosslinkable groups can be hydroxy, alkoxy, amine groups (which can be unsubstituted or substituted with at least one alkyl group or at least one aryl group), thiol groups, thioether groups, aldehydes, and ketones Carbonyl groups, carboxylic acid groups or their salts, phosphoric acid groups or their salts, sulfonic acid groups or their salts, carboxylate groups present in the group-substituted amide group, sulfonate group, or phosphate group, but not limited thereto. In embodiments, the crosslinkable group may be a hydroxyl group.

可交聯光酸產生劑可為鎓光酸產生劑,諸如鋶鹽或碘鎓鹽,各包含陽離子部分和陰離子部分。可交聯基團可以包含在鎓鹽的陽離子部分或陰離子部分中。當鎓鹽包含芳族基團時,可交聯基團可以直接或間接地附接至芳族基團。The crosslinkable photoacid generator may be an onium photoacid generator, such as a pernium salt or an iodonium salt, each comprising a cationic moiety and an anionic moiety. The crosslinkable group may be contained in the cationic portion or the anionic portion of the onium salt. When the onium salt contains an aromatic group, the crosslinkable group can be attached directly or indirectly to the aromatic group.

在實施方式中,光酸產生劑可為具有式G+ A- 之鎓鹽,其中G+ 可以具有式1並且A- 可為不可聚合的有機陰離子: 式1

Figure 109121364-A0305-02-0009-1
In an embodiment, the photoacid generator can be an onium salt of formula G + A- , wherein G + can be of formula 1 and A- can be a non - polymerizable organic anion: formula 1
Figure 109121364-A0305-02-0009-1

在式1中,z可為2或3,其中當Y係I時,z係2,或當Y係S時,z係3。 In Formula 1, z may be 2 or 3, wherein z is 2 when Y is I, or 3 when Y is S.

式1中的每個R1可以獨立地是經取代或未經取代的C1-30烷基、經取代或未經取代的多環或單環C3-30環烷基、經取代或未經取代的多環或單環C6-30芳基,其中當Y係S時,該R1之一可以視需要藉由單鍵或連接基團附接至一個相鄰的R1。式1中的至少一個R1係帶有羥基的多環或單環C6-30芳基,該羥基直接(藉由單鍵)或間接(藉由視需要包含選自O、S、N和P的至少一個雜原子的未經取代或經取代的C1-C30連接基團)與其附接。 Each R 1 in formula 1 can independently be substituted or unsubstituted C 1-30 alkyl, substituted or unsubstituted polycyclic or monocyclic C 3-30 cycloalkyl, substituted or unsubstituted Substituted polycyclic or monocyclic C6-30 aryl, wherein when Y is S, one of the R1 can optionally be attached to an adjacent R1 via a single bond or linking group. At least one R 1 in formula 1 is a polycyclic or monocyclic C 6-30 aryl group bearing a hydroxyl group directly (by a single bond) or indirectly (by optionally containing a group selected from O, S, N and An unsubstituted or substituted C 1 -C 30 linking group of at least one heteroatom of P) is attached thereto.

在實例中,G+可以由式2表示:

Figure 109121364-A0305-02-0009-2
In an instance, G + can be represented by Equation 2:
Figure 109121364-A0305-02-0009-2

在式2中,每個Ra可以獨立地是氫、鹵素、氰基、硝基、胺基、經取代或未經取代的C1-30烷基、經取代或未經取代的C2-30烯基、經取代或未經取代的C2-30炔基、經取代或未經取代的C1-C30烷氧基、經取代或未經取代的C3-C30環烷基、經取代或未經取代的C3-C30環烯基、經取代或未經取代的C6-C30芳基、經取代或未經取代的C6-C30芳氧基、經取代或未經取代的C6-C30硫芳基、經取代或未經取代的C7-C30芳基烷基,每個Rb可以獨立地是經取代或未經取代的C1-30烷基、經取代或未經取代的多環或單環C3-30環烷基、經取代或未經取代的多環或單環C6-30芳基,其中基團Rb可以視需要彼此藉由單鍵或連接基團附接,每個L可為單鍵、經取代或未經取代的C1 -C30 伸烷基、經取代或未經取代的C2 -C30 伸烯基、經取代或未經取代的C2 -C30 伸炔基、經取代或未經取代的C3 -C30 環伸烯基、經取代或未經取代的C3 -C30 環伸炔基、經取代或未經取代的C6 -C30 伸芳基、或經取代或未經取代的C6 -C30 雜伸芳基,在該基團中的每一個中至少一個不相鄰的-CH2 -基團視需要被-SO2 -、-C(=O)-、-O-、-S-、-SO-、-C(=O)O-、-OC(=O)-、-C(=O)NR-或-NRC(=O)-替代,其中R係氫或C1 -C10 烷基或其組合; n1係1至5的整數,並且 n2係0至4的整數, 條件係n1和n2之和不超過5。In Formula 2, each R a can be independently hydrogen, halogen, cyano, nitro, amino, substituted or unsubstituted C 1-30 alkyl, substituted or unsubstituted C 2- 30 alkenyl, substituted or unsubstituted C 2-30 alkynyl, substituted or unsubstituted C 1 -C 30 alkoxy, substituted or unsubstituted C 3 -C 30 cycloalkyl, Substituted or unsubstituted C 3 -C 30 cycloalkenyl, substituted or unsubstituted C 6 -C 30 aryl, substituted or unsubstituted C 6 -C 30 aryloxy, substituted or unsubstituted Unsubstituted C 6 -C 30 thioaryl, substituted or unsubstituted C 7 -C 30 arylalkyl, each R b can be independently substituted or unsubstituted C 1-30 alkane radical, substituted or unsubstituted polycyclic or monocyclic C3-30 cycloalkyl, substituted or unsubstituted polycyclic or monocyclic C6-30 aryl, wherein the groups R can be mutually as desired Attached via a single bond or linking group, each L can be a single bond, substituted or unsubstituted C 1 -C 30 alkylene, substituted or unsubstituted C 2 -C 30 alkenylene , substituted or unsubstituted C 2 -C 30 alkynylene, substituted or unsubstituted C 3 -C 30 cycloalkenylene, substituted or unsubstituted C 3 -C 30 cycloalkynylene , substituted or unsubstituted C 6 -C 30 arylidene, or substituted or unsubstituted C 6 -C 30 heteroaryl, in each of which at least one is not adjacent The -CH 2 - group is optionally -SO 2 -, -C(=O)-, -O-, -S-, -SO-, -C(=O)O-, -OC(=O)- , -C(=O)NR- or -NRC(=O)- substitution, wherein R is hydrogen or C 1 -C 10 alkyl or a combination thereof; n1 is an integer from 1 to 5, and n2 is an integer from 0 to 4 Integer, provided that the sum of n1 and n2 does not exceed 5.

在另一個實例中,G+ 可以由式3表示: 式3

Figure 02_image005
。In another example, G + can be represented by Equation 3: Equation 3
Figure 02_image005
.

在式3中, 每個Ra 可以獨立地是氫、鹵素、氰基、硝基、胺基、經取代或未經取代的C1-30 烷基、經取代或未經取代的C2-30 烯基、經取代或未經取代的C2-30 炔基、經取代或未經取代的C1 -C30 烷氧基、經取代或未經取代的C3 -C30 環烷基、經取代或未經取代的C3 -C30 環烯基、經取代或未經取代的C6 -C30 芳基、經取代或未經取代的C6 -C30 芳氧基、經取代或未經取代的C6 -C30 硫芳基、經取代或未經取代的C7 -C30 芳基烷基,其中基團Ra 視需要彼此藉由單鍵或連接基團附接, Rb 可為經取代或未經取代的C1-30 烷基、經取代或未經取代的多環或單環C3-30 環烷基、經取代或未經取代的多環或單環C6-30 芳基, 每個L可為單鍵、經取代或未經取代的C1 -C30 伸烷基、經取代或未經取代的C2 -C30 伸烯基、經取代或未經取代的C2 -C30 伸炔基、經取代或未經取代的C3 -C30 環伸烯基、經取代或未經取代的C3 -C30 環伸炔基、經取代或未經取代的C6 -C30 伸芳基、或經取代或未經取代的C6 -C30 雜伸芳基,在該基團中的每一個中至少一個不相鄰的-CH2 -基團視需要被-SO2 -、-C(=O)-、-O-、-S-、-SO-、-C(=O)O-、-OC(=O)-、-C(=O)NR-或-NRC(=O)-替代,其中R係氫或C1 -C10 烷基或其組合; 每個n1可為1至5的整數,並且 n2可為0至4的整數, 條件係每個n1和n2之和不超過5。In formula 3, each R a can be independently hydrogen, halogen, cyano, nitro, amino, substituted or unsubstituted C 1-30 alkyl, substituted or unsubstituted C 2- 30 alkenyl, substituted or unsubstituted C 2-30 alkynyl, substituted or unsubstituted C 1 -C 30 alkoxy, substituted or unsubstituted C 3 -C 30 cycloalkyl, Substituted or unsubstituted C 3 -C 30 cycloalkenyl, substituted or unsubstituted C 6 -C 30 aryl, substituted or unsubstituted C 6 -C 30 aryloxy, substituted or unsubstituted Unsubstituted C 6 -C 30 thioaryl, substituted or unsubstituted C 7 -C 30 arylalkyl, wherein the groups R a are optionally attached to each other by a single bond or a linking group, R b can be substituted or unsubstituted C 1-30 alkyl, substituted or unsubstituted polycyclic or monocyclic C 3-30 cycloalkyl, substituted or unsubstituted polycyclic or monocyclic C 6-30 aryl, each L can be a single bond, substituted or unsubstituted C 1 -C 30 alkylene, substituted or unsubstituted C 2 -C 30 alkenylene, substituted or unsubstituted Substituted C 2 -C 30 alkynylene, substituted or unsubstituted C 3 -C 30 cycloalkenylene, substituted or unsubstituted C 3 -C 30 cycloalkynylene, substituted or unsubstituted Substituted C6 - C30 arylidene, or substituted or unsubstituted C6 - C30 heteroarylidene, in each of which at least one non-adjacent -CH2- group The group can be -SO 2 -, -C(=O)-, -O-, -S-, -SO-, -C(=O)O-, -OC(=O)-, -C(= O) NR- or -NRC(=O)- substitution, wherein R is hydrogen or C 1 -C 10 alkyl or a combination thereof; each n1 can be an integer from 1 to 5, and n2 can be an integer from 0 to 4 , provided that the sum of each n1 and n2 does not exceed 5.

在又另一個實例中,G+ 可以由式4表示: 式4

Figure 02_image007
。In yet another example, G + can be represented by Equation 4: Equation 4
Figure 02_image007
.

在式4中, 每個Ra 可為氫、鹵素、氰基、硝基、胺基、經取代或未經取代的C1-30 烷基、經取代或未經取代的C2-30 烯基、經取代或未經取代的C2-30 炔基、經取代或未經取代的C1 -C30 烷氧基、經取代或未經取代的C3 -C30 環烷基、經取代或未經取代的C3 -C30 環烯基、經取代或未經取代的C6 -C30 芳基、經取代或未經取代的C6 -C30 芳氧基、經取代或未經取代的C6 -C30 硫芳基、經取代或未經取代的C7 -C30 芳基烷基, 每個L可為單鍵、經取代或未經取代的C1 -C30 伸烷基、經取代或未經取代的C2 -C30 伸烯基、經取代或未經取代的C2 -C30 伸炔基、經取代或未經取代的C3 -C30 環伸烯基、經取代或未經取代的C3 -C30 環伸炔基、經取代或未經取代的C6 -C30 伸芳基、或經取代或未經取代的C6 -C30 雜伸芳基,在該基團中的每一個中至少一個不相鄰的-CH2 -基團視需要被-SO2 -、-C(=O)-、-O-、-S-、-SO-、-C(=O)O-、-OC(=O)-、-C(=O)NR-或-NRC(=O)-替代,其中R係氫或C1 -C10 烷基或其組合; 每個n1可為1至5的整數,並且 n2可為0至4的整數, 條件係每個n1和n2之和不超過5。In Formula 4, each R a can be hydrogen, halogen, cyano, nitro, amino, substituted or unsubstituted C 1-30 alkyl, substituted or unsubstituted C 2-30 alkene base, substituted or unsubstituted C 2-30 alkynyl, substituted or unsubstituted C 1 -C 30 alkoxy, substituted or unsubstituted C 3 -C 30 cycloalkyl, substituted or unsubstituted or unsubstituted C 3 -C 30 cycloalkenyl, substituted or unsubstituted C 6 -C 30 aryl, substituted or unsubstituted C 6 -C 30 aryloxy, substituted or unsubstituted Substituted C 6 -C 30 thioaryl, substituted or unsubstituted C 7 -C 30 arylalkyl, each L can be a single bond, substituted or unsubstituted C 1 -C 30 alkane base, substituted or unsubstituted C 2 -C 30 alkenylene, substituted or unsubstituted C 2 -C 30 alkynylene, substituted or unsubstituted C 3 -C 30 cycloalkenylene , substituted or unsubstituted C 3 -C 30 cycloalkynylene, substituted or unsubstituted C 6 -C 30 aryl, or substituted or unsubstituted C 6 -C 30 heteroaryl groups, in each of which at least one non-adjacent -CH2- group is optionally replaced by -SO2- , -C(=O)-, -O-, -S-, -SO- , -C(=O)O-, -OC(=O)-, -C(=O)NR- or -NRC(=O)- replacement, wherein R is hydrogen or C 1 -C 10 alkyl or its Combinations; each n1 may be an integer from 1 to 5, and n2 may be an integer from 0 to 4, provided that the sum of each of n1 and n2 does not exceed 5.

不可聚合的有機陰離子A- 可為磺酸根陰離子。例如,A- 可為非氟化的磺酸根陰離子、部分氟化的磺酸根陰離子、或完全氟化的磺酸根陰離子。在實施方式中,A- 可以由式R-SO3 - 表示,其中R係直鏈或支鏈C1-C30烷基或直鏈或支鏈C1-C30雜烷基,其中每一個可以視需要包含至少一個氟原子。The non-polymerizable organic anion A - may be a sulfonate anion. For example, A- can be a non - fluorinated sulfonate anion, a partially fluorinated sulfonate anion, or a fully fluorinated sulfonate anion. In an embodiment, A- may be represented by the formula R - SO3- , wherein R is linear or branched C1-C30 alkyl or linear or branched C1-C30 heteroalkyl, each of which may optionally contain at least one fluorine atom.

在另一個實施方式中,A- 可以由式8表示: 式8 [A-(CHR1 )p ]k –(L)-(CH2 )m -(C(R2 )2 )n -SO3 - 其中在式8中, A係經取代或未經取代的、單環、多環、或稠合多環C5 或更大的脂環族基團,其視需要包含O、S、N、F、或包括前述至少一種的組合, R1 係H、單鍵、或經取代或未經取代的C1-30 烷基,其中當R1 係單鍵時,R1 與A的碳原子共價結合, 每個R2 獨立地是H、F、或C1-4 氟烷基,其中至少一個R2 不是氫, L係連接基團,該連接基團包含磺酸酯基團、磺醯胺基團、或含有C1-30 磺酸酯或磺醯胺的基團,並且 p係0至10的整數,k係1或2,m係0或更大的整數,並且n係1或更大的整數。In another embodiment , A- can be represented by Formula 8: Formula 8 [A-(CHR 1 ) p ] k -(L)-(CH 2 ) m -(C(R 2 ) 2 ) n -SO 3 -wherein in formula 8, A is a substituted or unsubstituted, monocyclic, polycyclic, or fused polycyclic C5 or larger alicyclic group, optionally containing O, S, N, F, or a combination comprising at least one of the foregoing, R 1 is H, a single bond, or a substituted or unsubstituted C 1-30 alkyl group, wherein when R 1 is a single bond, R 1 and the carbon atoms of A have a total of valence bond, each R 2 is independently H, F, or C 1-4 fluoroalkyl, wherein at least one R 2 is not hydrogen, L is a linking group, the linking group includes a sulfonate group, a sulfonyl group An amine group, or a group containing a C 1-30 sulfonate or sulfonamide, and p is an integer from 0 to 10, k is 1 or 2, m is an integer of 0 or greater, and n is 1 or larger integer.

在一些實施方式中,可交聯光酸產生劑可以由下式 (PA-1) 至 (PA-32) 之一表示:

Figure 02_image009
Figure 02_image011
Figure 02_image013
Figure 02_image015
Figure 02_image017
Figure 02_image019
Figure 02_image021
Figure 02_image023
Figure 02_image025
。In some embodiments, the crosslinkable photoacid generator can be represented by one of the following formulae (PA-1) to (PA-32):
Figure 02_image009
Figure 02_image011
Figure 02_image013
Figure 02_image015
Figure 02_image017
Figure 02_image019
Figure 02_image021
Figure 02_image023
Figure 02_image025
.

抗反射組成物中可交聯光酸產生劑的量可為基於抗反射組成物的總固體1重量%至15重量%。例如,抗反射組成物中可交聯光酸產生劑的量可為基於抗反射組成物的總固體1重量%至10重量%或基於抗反射組成物的總固體1重量%至5重量%,但不限於此。The amount of the crosslinkable photoacid generator in the antireflective composition may be 1% to 15% by weight based on the total solids of the antireflective composition. For example, the amount of the crosslinkable photoacid generator in the anti-reflection composition may be 1% to 10% by weight based on the total solids of the anti-reflection composition or 1% to 5% by weight based on the total solids of the anti-reflection composition, But not limited to this.

該抗反射組成物進一步包含能夠與光酸產生劑交聯的聚合物。聚合物可以包含氰尿素酸酯結構單元,該氰尿素酸酯結構單元包括藉由獨特的(不同的)羧基(例如,-COOH)和/或羧基酯(例如,-COOR,其中R不是氫,諸如C1 -C12 烷基)取代進行的對多個氰脲酸酯氮環原子的取代。氰尿素酸酯結構單元可以衍生自由式5表示的化合物: 式5

Figure 02_image027
。The antireflection composition further includes a polymer capable of being cross-linked with a photoacid generator. The polymer may comprise cyanurate structural units comprising cyanurate esters (e.g., -COOR, wherein R is not hydrogen, by unique (different) carboxyl groups (e.g., -COOH) and/or carboxyl esters (e.g., -COOR), Substitution of multiple cyanurate nitrogen ring atoms such as C1 -C12 alkyl) substitution. The cyanurate structural unit can be derived from a compound represented by Formula 5: Formula 5
Figure 02_image027
.

在式5中,R3 OOC(CX2 )m1 -、R2 -和R4 OOC(CX2 )m1 -中的至少兩個可以表示不同的酸或酯基。本文中基團R3 OOC(CX2 )m1 -、R2 -和R3 OOC(CX2 )m1 -中的至少兩個係不同的酸或酯基的陳述意指至少兩個基團將具有至少一個原子差。例如,如果m1的值不相等,則該基團將是不同的酸或酯基。如果基團R3 和R4 不相同(例如,R3 係-CH3 並且R4 係-H),則該基團將是不同的酸或酯基。如果R2 係酸並且R3 不是氫,則該基團不同。在許多情況下,該基團將相差兩個或更多個原子。In Formula 5, at least two of R 3 OOC(CX 2 ) m1 -, R 2 - and R 4 OOC(CX 2 ) m1 - may represent different acid or ester groups. The statement herein that at least two of the groups R 3 OOC(CX 2 ) m1 -, R 2 - and R 3 OOC(CX 2 ) m1 - are different acid or ester groups means that at least two groups will have at least one atomic difference. For example, if the values of m1 are not equal, the groups will be different acid or ester groups. If the groups R3 and R4 are not the same ( eg, R3 is -CH3 and R4 is -H ) , then the groups will be different acid or ester groups. The groups are different if R2 is an acid and R3 is not hydrogen. In many cases, the groups will differ by two or more atoms.

在式5中, R2 、R3 、R4 、和每個X可以獨立地是氫或非氫取代基,諸如經取代或未經取代的C1-30 烷基、經取代或未經取代的C2-30 烯基(諸如視需要經取代的烯丙基)、經取代或未經取代的C2-30 炔基(諸如視需要經取代的炔丙基)、經取代或未經取代的C2-30 烷醯基(諸如視需要經取代的乙醯基)、經取代或未經取代的C1 -C30 烷氧基(諸如視需要經取代的甲氧基、丙氧基、丁氧基)、經取代或未經取代的C1 -C30 硫烷基、經取代或未經取代的C1 -C30 烷基亞磺醯基、經取代或未經取代的C1 -C30 烷基磺醯基、-COOH、經取代或未經取代的C2 -C30 烷氧基羰基(包括與光酸基本上不反應的酯);經取代或未經取代的C7 -C30 烷基芳基(諸如視需要經取代的苄基)、經取代或未經取代的C6 -C30 芳基(諸如視需要經取代的苯基、萘基、苊基)、或經取代或未經取代的C3 -C30 雜脂環族或經取代或未經取代的C3 -C30 雜芳族基團(諸如視需要經取代的甲基鄰苯二甲醯亞胺、N-甲基-1,8-鄰苯二甲醯亞胺),並且 每個m1可以相同或不同並且可以獨立地是1至10的整數。In Formula 5, R 2 , R 3 , R 4 , and each X can independently be a hydrogen or non-hydrogen substituent, such as substituted or unsubstituted C 1-30 alkyl, substituted or unsubstituted C 2-30 alkenyl (such as optionally substituted allyl), substituted or unsubstituted C 2-30 alkynyl (such as optionally substituted propargyl), substituted or unsubstituted C 2-30 alkanoyl group (such as optionally substituted acetyl), substituted or unsubstituted C 1 -C 30 alkoxy (such as optionally substituted methoxy, propoxy, butoxy), substituted or unsubstituted C 1 -C 30 sulfanyl, substituted or unsubstituted C 1 -C 30 alkylsulfinyl, substituted or unsubstituted C 1 - C 30 alkylsulfonyl, -COOH, substituted or unsubstituted C 2 -C 30 alkoxycarbonyl (including esters substantially unreactive with photoacids); substituted or unsubstituted C 7 - C30 alkylaryl (such as optionally substituted benzyl), substituted or unsubstituted C6 - C30 aryl (such as optionally substituted phenyl, naphthyl, acenaphthyl), or Substituted or unsubstituted C 3 -C 30 heteroalicyclic or substituted or unsubstituted C 3 -C 30 heteroaromatic groups (such as optionally substituted methyl phthalimide, N-methyl-1,8-phthalimide), and each m1 may be the same or different and may independently be an integer from 1 to 10.

在實施方式中,氰尿素酸酯結構單元可以衍生自由式5a表示的化合物: 式5aIn an embodiment, the cyanurate structural unit can be derived from a compound represented by formula 5a: Equation 5a

Figure 02_image029
Figure 02_image029
.

在式5a中,R2、R3和R4與關於上式5描述的那些基團相同。 In Formula 5a, R 2 , R 3 and R 4 are the same as those described with respect to Formula 5 above.

在式5和5a中,R2可用於向聚合物中引入各種官能基,包括賦予所希望的刻印特性的那些,該刻印特性諸如光學特性、蝕刻速率、熱特性、在塗料溶劑中的溶解度和在不同基底表面上的塗覆特性。上式5和5a中的R2還可能影響用於獲得更線性和更高分子的塗料聚合物組成物的聚合過程。 In formulas 5 and 5a, R can be used to introduce various functional groups into the polymer, including those that impart desired imprinting properties such as optical properties, etch rate, thermal properties, solubility in coating solvents and Coating properties on different substrate surfaces. R2 in formulas 5 and 5a above may also affect the polymerization process used to obtain more linear and higher molecular coating polymer compositions.

該聚合物可以進一步包含衍生自由式6表示的化合物的氰尿素酸酯結構單元。 The polymer may further comprise a cyanurate structural unit derived from the compound represented by Formula 6.

Figure 109121364-A0305-02-0016-3
Figure 109121364-A0305-02-0016-3

在式6中,每個X'可以獨立地是氫或非氫取代基,諸如經取代或未經取代的C1-30烷基、經取代或未經取代的C2-30烯基(諸如視需要經取代的烯丙基)、經取代或未經取代的C2-30炔基(諸如視需要經取代的炔丙基)、經取代或未經取代的C2-30烷醯基(諸如視需要經取代的乙醯基)、經取代或未經取代的C1-C30烷氧基(諸如視需要經取代的甲氧基、丙氧基、丁氧基)、經取代或未經取代的C1-C30硫烷基、經取代或未經取代的C1-C30烷基亞磺醯基、經取代或未經取代的C1-C30烷基磺醯基、經取代或未經取代的C1-C30(烷基)接基(其包括諸如以下的基團:其中R'係H或C1-C30烷基的-COOR',包括與光酸基本上不反應的酯);經取代或未經取代的C7-C30烷基芳基(諸如視需要經取代的苄基)、經取代或未經取代的C6-C30芳基(諸如視需要經取代的苯基、萘基、苊基)、或經取代或未經取代的C3 -C30 雜脂環族或經取代或未經取代的C3 -C30 雜芳族基團(諸如視需要經取代的甲基鄰苯二甲醯亞胺、N-甲基-1,8-鄰苯二甲醯亞胺),並且 每個m2可以相同或不同並且每個可為整數,例如0、1、2、3或4,其中m2等於諸如1或2的正整數通常是較佳的。In Formula 6, each X' can independently be a hydrogen or non-hydrogen substituent, such as a substituted or unsubstituted C1-30 alkyl, substituted or unsubstituted C2-30 alkenyl (such as optionally substituted allyl), substituted or unsubstituted C 2-30 alkynyl (such as optionally substituted propargyl), substituted or unsubstituted C 2-30 alkynyl ( such as optionally substituted acetyl), substituted or unsubstituted C1 - C30 alkoxy (such as optionally substituted methoxy, propoxy, butoxy), substituted or unsubstituted Substituted C 1 -C 30 sulfanyl, substituted or unsubstituted C 1 -C 30 alkylsulfinyl, substituted or unsubstituted C 1 -C 30 alkylsulfonyl, Substituted or unsubstituted C 1 -C 30 (alkyl) linkages (which include groups such as the following: -COOR' wherein R' is H or C 1 -C 30 alkyl, including substantially unreacted ester); substituted or unsubstituted C7 - C30 alkylaryl (such as optionally substituted benzyl), substituted or unsubstituted C6 - C30 aryl (such as Requires substituted phenyl, naphthyl, acenaphthyl), or substituted or unsubstituted C3 - C30 heteroalicyclic or substituted or unsubstituted C3 - C30 heteroaromatic group ( such as optionally substituted methylphthalimide, N-methyl-1,8-phthalimide), and each m2 may be the same or different and each may be an integer, such as 0, 1, 2, 3 or 4, where m2 equals a positive integer such as 1 or 2 is generally preferred.

抗反射組成物中聚合物的量可為基於抗反射組成物的總固體75重量%至99重量%。例如,抗反射組成物中聚合物的量可為基於抗反射組成物的總固體85重量%至95重量%,但不限於此。The amount of polymer in the antireflective composition may be from 75% to 99% by weight based on the total solids of the antireflective composition. For example, the amount of the polymer in the anti-reflection composition may be 85 to 95 wt % based on the total solids of the anti-reflection composition, but is not limited thereto.

該組成物進一步包含可交聯化合物,該可交聯化合物沒有特別限制並且可為可藉由光和/或熱引發交聯反應的任何交聯物質。例如,可交聯化合物可為可藉由熱引發交聯反應的分子。當光酸產生劑在暴露於活化輻射線後釋放出酸時,將可交聯化合物固化、交聯或硬化。The composition further includes a crosslinkable compound, which is not particularly limited and may be any crosslinking substance that can initiate a crosslinking reaction by light and/or heat. For example, a crosslinkable compound can be a molecule that can thermally initiate a crosslinking reaction. The crosslinkable compound is cured, crosslinked or hardened when the photoacid generator releases the acid after exposure to activating radiation.

在實施方式中,可交聯化合物可以由式7表示In an embodiment, the crosslinkable compound may be represented by Formula 7

式7

Figure 02_image033
。Formula 7
Figure 02_image033
.

在式7中, 每個X',每個X'',每個R5 、R6 和R7 可以獨立地是氫、鹵素、羥基、經取代或未經取代的C1-30 烷基、經取代或未經取代的C1 -C30 烷氧基、經取代或未經取代的C3 -C30 環烷基、經取代或未經取代的C7 -C30 芳基烷基,並且 每個m2和每個m3可以獨立地是1至10的整數。In Formula 7, each X', each X'', each R 5 , R 6 and R 7 can independently be hydrogen, halogen, hydroxy, substituted or unsubstituted C 1-30 alkyl, substituted or unsubstituted C 1 -C 30 alkoxy, substituted or unsubstituted C 3 -C 30 cycloalkyl, substituted or unsubstituted C 7 -C 30 arylalkyl, and Each m2 and each m3 can independently be an integer from 1 to 10.

抗反射組成物中可交聯化合物的量可為基於抗反射組成物的總固體按重量計0重量%至15重量%。例如,抗反射組成物中可交聯化合物的量可為基於抗反射組成物的總固體0重量%至10重量%或基於抗反射組成物的總固體0重量%至5重量%,但不限於此。The amount of the crosslinkable compound in the antireflective composition may be from 0% to 15% by weight based on the total solids of the antireflective composition. For example, the amount of the crosslinkable compound in the anti-reflection composition may be from 0% to 10% by weight based on the total solids of the anti-reflection composition or from 0% to 5% by weight based on the total solids of the anti-reflection composition, but is not limited to this.

當聚合物與可交聯化合物反應時,形成交聯的聚合物。在實施方式中,交聯的聚合物可以包含衍生自由式5表示的化合物的結構單元和衍生自由式6表示的化合物的結構單元。在另一個實施方式中,交聯的聚合物可以包含衍生自由式5表示的化合物的結構單元和衍生自由式7表示的化合物的結構單元。在又另一個實施方式中,交聯的聚合物可以包含衍生自由式5表示的化合物的結構單元、衍生自由式6表示的化合物的結構單元、和衍生自由式7表示的化合物的結構單元。When a polymer is reacted with a crosslinkable compound, a crosslinked polymer is formed. In an embodiment, the crosslinked polymer may include a structural unit derived from the compound represented by Formula 5 and a structural unit derived from the compound represented by Formula 6 . In another embodiment, the crosslinked polymer may comprise a structural unit derived from the compound represented by Formula 5 and a structural unit derived from the compound represented by Formula 7. In yet another embodiment, the crosslinked polymer may comprise a structural unit derived from the compound represented by Formula 5, a structural unit derived from the compound represented by Formula 6, and a structural unit derived from the compound represented by Formula 7.

交聯的聚合物可以由下式 (P-A) 至 (P-R) 之一表示:

Figure 02_image035
Figure 02_image037
Figure 02_image039
Figure 02_image041
Figure 02_image043
Figure 02_image045
The crosslinked polymer can be represented by one of the following formulae (PA) to (PR):
Figure 02_image035
Figure 02_image037
Figure 02_image039
Figure 02_image041
Figure 02_image043
Figure 02_image045

美國專利公開號2011/0033801 A1和美國專利公開號2019/0129305 A1中也提供了包含交聯的氰尿素酸酯結構單元的聚合物的實例,將該專利的內容藉由引用以其整體併入本文。Examples of polymers comprising crosslinked cyanurate structural units are also provided in US Patent Publication No. 2011/0033801 A1 and US Patent Publication No. 2019/0129305 A1, the contents of which are incorporated by reference in their entirety This article.

抗反射組成物進一步包含熱酸產生劑。在抗反射塗料組成物層的固化過程中,熱酸產生劑促進或改進交聯反應。熱酸產生劑可為離子的或基本上中性的熱酸產生劑。The antireflection composition further contains a thermal acid generator. During curing of the antireflective coating composition layer, the thermal acid generator promotes or improves the crosslinking reaction. The thermal acid generator may be an ionic or substantially neutral thermal acid generator.

在實施方式中,熱酸產生劑可為芳磺酸產生劑,例如,由式9表示的苯磺酸產生劑: 式9

Figure 02_image047
。In embodiments, the thermal acid generator may be an aromatic sulfonic acid generator, eg, a benzenesulfonic acid generator represented by Formula 9: Formula 9
Figure 02_image047
.

在式9中, 每個R1獨立地是非氫取代基,諸如羥基、氰基、鹵素(F、Cl、Br或I)、胺基、視需要經取代的烷基、視需要經取代的雜烷基、視需要經取代的碳環或視需要經取代的雜芳基;並且M+係陽離子。 In Formula 9, each R is independently a non-hydrogen substituent such as hydroxyl, cyano, halogen (F, Cl, Br or I), amine, optionally substituted alkyl, optionally substituted hetero alkyl, optionally substituted carbocyclic, or optionally substituted heteroaryl; and M + series cations.

在另一個實施方式中,熱酸產生劑可為由式10表示的吡啶鎓酸產生劑:

Figure 109121364-A0305-02-0020-4
In another embodiment, the thermal acid generator may be a pyridinium acid generator represented by Formula 10:
Figure 109121364-A0305-02-0020-4

在式10中,R1係非氫取代基,諸如視需要經取代的烷基、視需要經取代的雜烷基、視需要經取代的碳環或視需要經取代的雜芳基;每個R2獨立地是非氫取代基,諸如羥基、氰基、鹵素(F、Cl、Br或I)、胺基、視需要經取代的烷基、視需要經取代的雜烷基、視需要經取代的碳環或視需要經取代的雜芳基;並且n係0(不存在R2基團,吡啶基環被取代)、1、2、3、4或5,並且更典型地,n係0、1、2或3。 In Formula 10, R 1 is a non-hydrogen substituent such as optionally substituted alkyl, optionally substituted heteroalkyl, optionally substituted carbocycle, or optionally substituted heteroaryl; each R is independently a non- hydrogen substituent such as hydroxy, cyano, halogen (F, Cl, Br or I), amine, optionally substituted alkyl, optionally substituted heteroalkyl, optionally substituted carbocyclic or optionally substituted heteroaryl; and n is 0 (no R group is present, the pyridyl ring is substituted), 1, 2 , 3, 4, or 5, and more typically, n is 0 , 1, 2, or 3.

抗反射組成物中一種或多種熱酸產生劑的量可為基於抗反射組成物的總固體0.1至10重量百分比。例如,抗反射組成物中一種或多種熱酸產生劑的量可為基於抗反射組成物的總固體約0.5重量%至2重量%。 The amount of the one or more thermal acid generators in the antireflective composition may be from 0.1 to 10 weight percent based on the total solids of the antireflective composition. For example, the amount of one or more thermal acid generators in the antireflective composition may be from about 0.5% to 2% by weight based on the total solids of the antireflective composition.

抗反射組成物可以進一步包含溶劑。溶劑可為典型用於電子行業的有機溶劑,諸如丙二醇甲基醚(PGME)、2-羥基異丁酸甲基酯(HBM)、丙二醇甲基醚乙酸酯(PGMEA)、3-甲氧基丙酸甲酯(MMP)、乳酸乙酯、乙酸正丁酯、苯甲醚、N-甲基吡咯啶酮、γ-丁內酯、乙氧基苯、丙酸苄酯、苯甲酸苄酯、碳酸丙烯酯、二甲苯、均三甲苯、異丙苯、檸檬烯、及其混合物。可以使用有機溶劑的混合物,諸如包含苯甲醚、乙氧基苯、PGME、HBM、PGMEA、GBL、MMP、乙酸正丁酯、丙酸苄酯和苯甲酸苄酯中的一種或多種與一種或多種另外的有機溶劑的組合的混合物,例如包含苯甲醚、乙氧基苯、PGME、HBM、PGMEA、GBL、MMP、乙酸正丁酯、丙酸苄酯、二甲苯、均三甲苯、異丙苯、檸檬烯、和苯甲酸苄酯中的兩種或更多種的混合物。當使用溶劑混合物時,溶劑的比率通常不是關鍵的,並且可以從99 : 1至1 : 99的重量/重量(w/w)變化,條件係溶劑混合物能夠溶解組成物的組分。熟悉該項技術者將認識到,如可根據希望,可以藉由除去一部分有機溶劑或藉由添加更多有機溶劑來調節有機溶劑中組分的濃度。The antireflection composition may further contain a solvent. The solvent may be an organic solvent typically used in the electronics industry, such as propylene glycol methyl ether (PGME), 2-hydroxyisobutyric acid methyl ester (HBM), propylene glycol methyl ether acetate (PGMEA), 3-methoxy Methyl propionate (MMP), ethyl lactate, n-butyl acetate, anisole, N -methylpyrrolidone, γ-butyrolactone, ethoxybenzene, benzyl propionate, benzyl benzoate, Propylene carbonate, xylene, mesitylene, cumene, limonene, and mixtures thereof. Mixtures of organic solvents, such as one or more of anisole, ethoxybenzene, PGME, HBM, PGMEA, GBL, MMP, n-butyl acetate, benzyl propionate, and benzyl benzoate, with one or more of the group consisting of Mixtures of combinations of various additional organic solvents, for example comprising anisole, ethoxybenzene, PGME, HBM, PGMEA, GBL, MMP, n-butyl acetate, benzyl propionate, xylene, mesitylene, isopropyl A mixture of two or more of benzene, limonene, and benzyl benzoate. When a solvent mixture is used, the ratio of solvent is generally not critical and can vary from 99:1 to 1:99 weight/weight (w/w), provided that the solvent mixture is capable of dissolving the components of the composition. Those skilled in the art will recognize that the concentrations of components in the organic solvent can be adjusted by removing a portion of the organic solvent or by adding more organic solvent, if desired.

組成物的溶劑組分典型地以基於抗反射組成物的總固體50至99.9重量%,例如55至99.9重量%、60至99.9重量%、65至99.9重量%、70至99.9重量%、75至99.9重量%、80至99.9重量%、85至99.9重量%、90至99.9重量%、或95至99重量%的量存在。The solvent component of the composition is typically 50 to 99.9 wt% based on the total solids of the antireflective composition, such as 55 to 99.9 wt%, 60 to 99.9 wt%, 65 to 99.9 wt%, 70 to 99.9 wt%, 75 to It is present in an amount of 99.9% by weight, 80 to 99.9% by weight, 85 to 99.9% by weight, 90 to 99.9% by weight, or 95 to 99% by weight.

抗反射組成物可以包含一種或多種視需要的添加劑,包括例如表面活性劑和抗氧化劑。典型的表面活性劑包括展現出兩親性質的那些,意味著它們可以同時既係親水性的又係疏水性的。兩親性表面活性劑具有一個或多個親水性頭基(其對於水具有強的親和力)以及親有機性並且排斥水的長疏水尾。合適的表面活性劑可為離子的(即,陰離子的、陽離子的)或非離子的。表面活性劑的進一步實例包括矽酮表面活性劑、聚(氧化烯)表面活性劑、和含氟化合物表面活性劑。合適的非離子表面活性劑包括但不限於辛基和壬基苯酚乙氧基化物,諸如TRITON® X-114、X-100、X-45、X-15,以及支鏈二級醇乙氧基化物,諸如TERGITOL™ TMN-6(陶氏化學公司(The Dow Chemical Company),美國密西根州米德蘭)和PF-656(歐諾瓦解決方案公司(Omnova Solutions),美國俄亥俄州比奇伍德)。還進一步示例性的表面活性劑包括醇(一級醇和二級醇)乙氧基化物、胺乙氧基化物、葡糖苷、葡糖胺、聚乙二醇、聚(乙二醇-共-丙二醇)、或在由糖果製造商出版公司(Manufacturers Confectioners Publishing Co.)出版的Glen Rock, N. J的2000年北美版的McCutcheon's Emulsifiers and Detergents [麥卡琴乳化劑和清潔劑] 中公開的其他表面活性劑。作為炔二醇衍生物的非離子表面活性劑也可為合適的。此類表面活性劑可商購於賓夕法尼亞州亞蘭敦的空氣化工產品有限公司(Air Products and Chemicals, Inc.)並且以商品名SURFYNOL®和DYNOL®出售。另外合適的表面活性劑包括其他聚合物化合物,諸如三嵌段EO-PO-EO共聚物PLURONIC® 25R2、L121、L123、L31、L81、L101和P123(巴斯夫公司(BASF, Inc.))。如果使用的話,此類表面活性劑和其他視需要的添加劑典型地以基於抗反射組成物的總固體諸如0.01重量%至10重量%的少量存在於抗反射組成物中。The antireflective composition may contain one or more optional additives including, for example, surfactants and antioxidants. Typical surfactants include those that exhibit amphiphilic properties, meaning that they can be both hydrophilic and hydrophobic at the same time. Amphiphilic surfactants have one or more hydrophilic head groups, which have a strong affinity for water, and a long hydrophobic tail that is organic and repels water. Suitable surfactants can be ionic (ie, anionic, cationic) or nonionic. Further examples of surfactants include silicone surfactants, poly(oxyalkylene) surfactants, and fluorochemical surfactants. Suitable nonionic surfactants include, but are not limited to, octyl and nonylphenol ethoxylates, such as TRITON® X-114, X-100, X-45, X-15, and branched secondary alcohol ethoxylates compounds such as TERGITOL™ TMN-6 (The Dow Chemical Company, Midland, MI, USA) and PF-656 (Omnova Solutions, Beachwood, OH, USA) ). Still further exemplary surfactants include alcohol (primary and secondary) ethoxylates, amine ethoxylates, glucosides, glucosamine, polyethylene glycol, poly(ethylene glycol-co-propylene glycol) , or other surface actives disclosed in McCutcheon's Emulsifiers and Detergents , 2000 North American edition of Glen Rock, N.J, published by Manufacturers Confectioners Publishing Co. agent. Nonionic surfactants that are acetylenic glycol derivatives may also be suitable. Such surfactants are commercially available from Air Products and Chemicals, Inc. of Allentown, Pennsylvania and are sold under the tradenames SURFYNOL® and DYNOL®. Additional suitable surfactants include other polymeric compounds such as the triblock EO-PO-EO copolymers PLURONIC® 25R2, L121, L123, L31, L81, L101 and P123 (BASF, Inc.). If used, such surfactants and other optional additives are typically present in the antireflective composition in small amounts, such as 0.01 wt% to 10 wt%, based on the total solids of the antireflective composition.

可以將抗氧化劑添加到抗反射組成物中以防止或最小化抗反射組成物中有機材料的氧化。合適的抗氧化劑包括例如,基於苯酚的抗氧化劑、由有機酸衍生物構成的抗氧化劑、含硫抗氧化劑、基於磷的抗氧化劑、基於胺的抗氧化劑、由胺-醛縮合物構成的抗氧化劑和由胺-酮縮合物構成的抗氧化劑。基於苯酚的抗氧化劑的實例包括經取代的苯酚,諸如1-氧-3-甲基-4-異丙基苯、2,6-二-三級丁基苯酚、2,6-二-三級丁基-4-乙基苯酚、2,6-二-三級丁基-4-甲基苯酚、4-羥基甲基-2,6-二-三級丁基苯酚、丁基羥基苯甲醚、2-(1-甲基環己基)-4,6-二甲基苯酚、2,4-二甲基-6-三級丁基苯酚、2-甲基-4,6-二壬基苯酚、2,6-二-三級丁基-α-二甲基胺基-對甲酚、6-(4-羥基-3,5-二-三級丁基苯胺基)2,4-雙辛基-硫代-1,3,5-三𠯤、正十八烷基-3-(4'-羥基-3',5'-二-三級丁基苯基)丙酸酯、辛基化苯酚、經芳烷基取代的苯酚、烷基化對甲酚和受阻酚;雙酚、三酚和多酚,諸如4,4'-二羥基二苯基、亞甲基雙(二甲基-4,6-苯酚)、2,2'-亞甲基-雙-(4-甲基-6-三級丁基苯酚)、2,2'-亞甲基-雙-(4-甲基-6-環己基苯酚)、2,2'-亞甲基-雙-(4-乙基-6-三級丁基苯酚)、4,4'-亞甲基-雙-(2,6-二-三級丁基苯酚)、2,2'-亞甲基-雙-(6-α-甲基-苄基-對甲酚)、亞甲基交聯的多價烷基苯酚、4,4'-伸丁基雙-(3-甲基-6-三級丁基苯酚)、1,1-雙-(4-羥基苯基)-環己烷、2,2'-二羥基-3,3'-二-(α-甲基環己基)-5,5'-二甲基二苯基甲烷、烷基化雙酚、受阻雙酚、1,3,5-三甲基-2,4,6-三(3,5-二-三級丁基-4-羥基苄基)苯、三-(2-甲基-4-羥基-5-三級丁基苯基)丁烷、和四-[亞甲基-3-(3',5'-二-三級丁基-4'-羥基苯基)丙酸酯]甲烷。合適的抗氧化劑係可商購的,例如,Irganox™抗氧化劑(汽巴特種化學品公司(Ciba Specialty Chemicals Corp.))。如果使用的話,抗氧化劑典型地以基於抗反射組成物的總固體0.01重量%至10重量%的量存在於抗反射組成物中。Antioxidants can be added to the antireflective composition to prevent or minimize oxidation of organic materials in the antireflective composition. Suitable antioxidants include, for example, phenol-based antioxidants, antioxidants composed of organic acid derivatives, sulfur-containing antioxidants, phosphorus-based antioxidants, amine-based antioxidants, antioxidants composed of amine-aldehyde condensates and antioxidants composed of amine-ketone condensates. Examples of phenol-based antioxidants include substituted phenols such as 1-oxo-3-methyl-4-isopropylbenzene, 2,6-di-tertiarybutylphenol, 2,6-di-tertiary Butyl-4-ethylphenol, 2,6-di-tertiary-butyl-4-methylphenol, 4-hydroxymethyl-2,6-di-tertiary-butylphenol, butylhydroxyanisole , 2-(1-methylcyclohexyl)-4,6-dimethylphenol, 2,4-dimethyl-6-tert-butylphenol, 2-methyl-4,6-dinonylphenol , 2,6-di-tertiary butyl-α-dimethylamino-p-cresol, 6-(4-hydroxy-3,5-di-tertiary butylanilino) 2,4-bisoctyl yl-thio-1,3,5-tris-1,3-, n-octadecyl-3-(4'-hydroxy-3',5'-di-tertiarybutylphenyl)propionate, octylated Phenols, aralkyl-substituted phenols, alkylated p-cresols and hindered phenols; bisphenols, triphenols and polyphenols such as 4,4'-dihydroxydiphenyl, methylenebis(dimethyl- 4,6-phenol), 2,2'-methylene-bis-(4-methyl-6-tert-butylphenol), 2,2'-methylene-bis-(4-methyl- 6-Cyclohexylphenol), 2,2'-methylene-bis-(4-ethyl-6-tertiary butylphenol), 4,4'-methylene-bis-(2,6-di -tertiary butylphenol), 2,2'-methylene-bis-(6-α-methyl-benzyl-p-cresol), methylene cross-linked polyvalent alkylphenol, 4,4 '-butylene-bis-(3-methyl-6-tertiary-butylphenol), 1,1-bis-(4-hydroxyphenyl)-cyclohexane, 2,2'-dihydroxy-3, 3'-bis-(α-methylcyclohexyl)-5,5'-dimethyldiphenylmethane, alkylated bisphenols, hindered bisphenols, 1,3,5-trimethyl-2,4 , 6-tris(3,5-di-tertiarybutyl-4-hydroxybenzyl)benzene, tris-(2-methyl-4-hydroxy-5-tertiarybutylphenyl)butane, and tetra -[Methylene-3-(3',5'-di-tert-butyl-4'-hydroxyphenyl)propionate]methane. Suitable antioxidants are commercially available, eg, Irganox™ antioxidants (Ciba Specialty Chemicals Corp.). Antioxidants, if used, are typically present in the antireflective composition in an amount of 0.01% to 10% by weight based on the total solids of the antireflective composition.

本文揭露的抗反射塗料組成物可以用於形成包含該抗反射塗料組成物的膜,其中在基底上的膜構成經塗覆的基底。此種經塗覆的基底可以包括:(a) 在其表面上具有待圖案化的一層或多層的基底;(b) 佈置在基底上的該抗反射塗料組成物的層。該經塗覆的基底可以進一步包括:(c) 佈置在該抗反射塗料組成物的層上或佈置在一個或多個待圖案化的層上的該光致抗蝕劑組成物的層。例如,可以使用小於248 nm並且特別是193 nm的波長的紫外輻射或者藉由使用EUV進行圖案化。可圖案化的膜因此可以包含光酸產生劑。The antireflective coating compositions disclosed herein can be used to form films comprising the antireflective coating compositions, wherein the film on the substrate constitutes the coated substrate. Such a coated substrate may comprise: (a) a substrate having on its surface one or more layers to be patterned; (b) a layer of the antireflective coating composition disposed on the substrate. The coated substrate may further comprise: (c) a layer of the photoresist composition disposed on the layer of the antireflective coating composition or on one or more layers to be patterned. For example, UV radiation of wavelengths less than 248 nm, and in particular 193 nm, or by using EUV can be used for patterning. The patternable film can thus contain a photoacid generator.

用於藉由負性顯影形成圖案之方法可以包括以下步驟:(a) 在基底上施用將該抗反射塗料組成物的層;(b) 將所施用的抗反射塗料組成物固化以形成抗反射層;(c) 在該抗反射層上形成光致抗蝕劑層;以及 (d) 將該光致抗蝕劑層圖案化並且將該圖案從該圖案化的光致抗蝕劑層轉印到該抗反射層上。將該光致抗蝕劑層圖案化並且將該圖案從該圖案化的光致抗蝕劑層轉印到該抗反射層上可以包括:(d)(1) 將該光致抗蝕劑層和該抗反射層暴露於活化輻射,以及 (d)(2) 將經暴露的光致抗蝕劑層和抗反射層用有機溶劑顯影劑顯影。A method for forming a pattern by negative tone development may comprise the steps of: (a) applying a layer of the anti-reflective coating composition on a substrate; (b) curing the applied anti-reflective coating composition to form an anti-reflective coating layer; (c) forming a photoresist layer on the antireflection layer; and (d) patterning the photoresist layer and transferring the pattern from the patterned photoresist layer onto the antireflection layer. Patterning the photoresist layer and transferring the pattern from the patterned photoresist layer to the antireflective layer may include: (d)(1) the photoresist layer and exposing the antireflective layer to activating radiation, and (d)(2) developing the exposed photoresist layer and antireflective layer with an organic solvent developer.

根據該方法,首先在基底上形成抗反射塗料組成物的層。抗反射塗料組成物的含量與上面描述的相同,並且抗反射塗料組成物可以藉由將合適量的包括有機聚合物、光酸產生劑交聯劑等的源材料混合來製備。According to this method, a layer of an antireflective coating composition is first formed on a substrate. The content of the antireflective coating composition is the same as described above, and the antireflective coating composition can be prepared by mixing appropriate amounts of source materials including organic polymers, photoacid generators, crosslinking agents, and the like.

可以藉由諸如旋塗等任何常規手段來施用抗反射塗料組成物。可以將抗反射塗料組成物施用在基底上,其中乾燥層厚度為2.0 nm至300.0 nm,例如,5.0 nm至30.0 nm。The antireflective coating composition can be applied by any conventional means such as spin coating. The antireflective coating composition can be applied to the substrate with a dry layer thickness of 2.0 nm to 300.0 nm, eg, 5.0 nm to 30.0 nm.

可以將所施用的抗反射塗料組成物層固化。固化條件將隨抗反射塗料組成物的組分而變化。固化條件可為例如80°C至250°C持續0.1至40分鐘。固化條件可以使抗反射塗料組成物塗層基本上不溶於光致抗蝕劑溶劑以及鹼性水性顯影劑溶液。The applied antireflective coating composition layer can be cured. Curing conditions will vary with the components of the antireflective coating composition. Curing conditions may be, for example, 80°C to 250°C for 0.1 to 40 minutes. The curing conditions are such that the antireflective coating composition coating is substantially insoluble in photoresist solvents and alkaline aqueous developer solutions.

抗反射塗料組成物層可以以單層或多層的形式形成。例如,在形成該抗反射塗料組成物層之前,在基底上形成與該抗反射塗料組成物層不同的第二抗反射塗料組成物層,並且在該第二抗反射塗料組成物層上形成該抗反射塗料組成物層。The antireflection coating composition layer may be formed in the form of a single layer or multiple layers. For example, before forming the anti-reflective coating composition layer, a second anti-reflective coating composition layer different from the anti-reflective coating composition layer is formed on the substrate, and the second anti-reflective coating composition layer is formed on the second anti-reflective coating composition layer. Anti-reflective coating composition layer.

該抗反射塗料組成物層的形成可以防止當光致抗蝕劑組成物層暴露於輻射時由於反射入射線而由基底引起的其圖案品質之劣化,並且該形成特別地改進了圖案中的線寬(CD)並且防止在暴露過程中由於光致抗蝕劑組成物層的解封(de-blocking)的徹底激活而導致的圖案塌陷。另外,此類塗料還可以改進焦深(depth of focus)、暴露寬容度和線寬均勻性。The formation of the anti-reflection coating composition layer can prevent the degradation of the pattern quality of the photoresist composition layer caused by the reflection of incident rays caused by the substrate when the photoresist composition layer is exposed to radiation, and the formation particularly improves the lines in the pattern Wide (CD) and prevent pattern collapse due to thorough activation of de-blocking of the photoresist composition layer during exposure. Additionally, such coatings can improve depth of focus, exposure latitude, and linewidth uniformity.

該基底可以包括一個或多個層。該基底中含有的層可為鋁、銅、鉬、鉭、鈦、鎢或其合金的一個或多個導電層;氮化物或矽化物層;摻雜的非晶矽或摻雜的多晶矽;介電層,諸如氧化矽、氮化矽、氮氧化矽或金屬氧化物的層;半導體層,諸如單晶矽;玻璃層;石英層;以及其組合或混合物,但不限於此。The substrate may include one or more layers. The layers contained in the substrate can be one or more conductive layers of aluminum, copper, molybdenum, tantalum, titanium, tungsten, or alloys thereof; nitride or silicide layers; doped amorphous silicon or doped polysilicon; Electrical layers, such as layers of silicon oxide, silicon nitride, silicon oxynitride, or metal oxides; semiconductor layers, such as single crystal silicon; glass layers; quartz layers; and combinations or mixtures thereof, but are not limited thereto.

另外,可以藉由各種技術將該基底中含有的層蝕刻以形成圖案,該各種技術例如化學氣相沈積(CVD),諸如電漿增強CVD、低壓CVD或外延生長;物理氣相沈積(PVD),諸如濺射或蒸鍍;電鍍等。Additionally, the layers contained in the substrate may be etched to form patterns by various techniques such as chemical vapor deposition (CVD), such as plasma enhanced CVD, low pressure CVD or epitaxial growth; physical vapor deposition (PVD) , such as sputtering or evaporation; electroplating, etc.

基底可以包括硬掩模層。可能希望使用硬掩模層,例如在非常薄的抗蝕劑層的情況下,其中待蝕刻的層需要顯著的蝕刻深度或者其中特定的蝕刻劑具有差的抗蝕劑選擇性。在使用硬掩模層的情況下,可以將待形成的抗蝕劑圖案轉印到硬掩模層上,並且可以用作用於蝕刻下面的層的掩模。The substrate may include a hard mask layer. It may be desirable to use a hard mask layer, for example in the case of very thin resist layers, where the layer to be etched requires a significant etch depth or where a particular etchant has poor resist selectivity. In the case of using a hard mask layer, the resist pattern to be formed can be transferred onto the hard mask layer and can be used as a mask for etching the underlying layers.

用於硬掩模的典型材料包括例如鎢、鈦、氮化鈦、氧化鈦、氧化鋯、氧化鋁、氧氮化鋁、氧化鉿、非晶碳、有機聚合物、氧氮化矽、氮化矽和矽有機混合材料,但不限於此。可以藉由例如CVD、PVD或旋塗技術來形成硬掩模層。硬掩模層可以包括單層或多個不同材料的層。Typical materials for hardmasks include, for example, tungsten, titanium, titanium nitride, titanium oxide, zirconium oxide, aluminum oxide, aluminum oxynitride, hafnium oxide, amorphous carbon, organic polymers, silicon oxynitride, nitride Silicon and silicon-organic hybrid materials, but not limited thereto. The hard mask layer can be formed by techniques such as CVD, PVD or spin coating. The hard mask layer may comprise a single layer or multiple layers of different materials.

然後在抗反射塗料組成物層上形成光致抗蝕劑組成物層。光致抗蝕劑組成物可以包含基質聚合物、光酸產生劑和溶劑。A photoresist composition layer is then formed on the antireflective coating composition layer. The photoresist composition may contain a matrix polymer, a photoacid generator, and a solvent.

基質聚合物可以包含至少一個具有酸可裂解保護基團的單元。酸可裂解保護基團可為例如,含有與基質聚合物的酯的羧基氧共價連接的三級非環狀烷基碳(例如,三級丁基)或三級脂環族碳(例如,甲基金剛烷基)的縮醛基團或酯基團。The matrix polymer may comprise at least one unit having an acid-cleavable protecting group. The acid-cleavable protecting group can be, for example, a tertiary acyclic alkyl carbon (eg, tertiary butyl) or a tertiary cycloaliphatic carbon (eg, methyladamantyl) acetal group or ester group.

可以包含在基質聚合物中的合適單元可為例如,衍生自(烷基)丙烯酸脂的單元,例如衍生自酸可裂解的(烷基)丙烯酸脂的單元。其具體實例包括衍生自丙烯酸三級丁酯、甲基丙烯酸三級丁酯、丙烯酸甲基金剛烷基酯、甲基丙烯酸甲基金剛烷基酯、丙烯酸乙基金剛烷基酯、甲基丙烯酸乙基葑基酯等的單元。Suitable units that may be included in the matrix polymer may be, for example, units derived from (alkyl)acrylates, such as units derived from acid-cleavable (alkyl)acrylates. Specific examples thereof include those derived from tertiary butyl acrylate, tertiary butyl methacrylate, methyl adamantyl acrylate, methyl adamantyl methacrylate, ethyl adamantyl acrylate, ethyl adamantyl methacrylate. Units such as fendonyl esters.

可以包含在基質聚合物中的合適單元的另一個實例可為衍生自諸如視需要經取代的降莰烯(norbornene)的非芳族環狀烯烴(環內雙鍵)的單元。可以包含在基質聚合物中的合適單元的仍另一個實例可為衍生自酸酐的單元,例如馬來酸酐、衣康酸酐等。Another example of suitable units that may be included in the matrix polymer may be units derived from non-aromatic cyclic olefins (intracyclic double bonds) such as optionally substituted norbornene. Yet another example of suitable units that may be included in the matrix polymer may be units derived from acid anhydrides, such as maleic anhydride, itaconic anhydride, and the like.

另外,基質聚合物可以包含含有諸如氧和硫的雜原子的單元,並且例如,雜環單元可以與基質聚合物的主鏈稠合。基質聚合物可以以兩種或更多種的混合物的形式使用。基質聚合物可為可商購的或由熟悉該項技術者製備。Additionally, the matrix polymer may contain units containing heteroatoms such as oxygen and sulfur, and for example, the heterocyclic units may be fused to the backbone of the matrix polymer. The matrix polymers can be used as a mixture of two or more. Matrix polymers may be commercially available or prepared by those skilled in the art.

光致抗蝕劑組成物的基質聚合物以足以使光致抗蝕劑的暴露塗層可用合適的溶液顯影的量例如基於抗反射組成物的總固體50重量%至95重量%來使用。基質聚合物的重量平均分子量(Mw )可為小於100,000道耳頓,例如5,000至100,000道耳頓,例如5,000至15,000道耳頓。The matrix polymer of the photoresist composition is used in an amount sufficient to render the exposed coating of the photoresist developable with a suitable solution, eg, 50% to 95% by weight based on the total solids of the antireflective composition. The weight average molecular weight ( Mw ) of the matrix polymer may be less than 100,000 Daltons, such as 5,000 to 100,000 Daltons, such as 5,000 to 15,000 Daltons.

該光致抗蝕劑組成物可以進一步包含以足以在暴露活化輻射後在該組成物的塗層中產生潛像的量使用的光活性材料,並且可以包含光酸產生劑。合適的光酸產生劑可為與在抗反射塗料組成物中所說明的相同種類的光酸產生劑。The photoresist composition may further comprise a photoactive material used in an amount sufficient to produce a latent image in the coating of the composition upon exposure to activating radiation, and may comprise a photoacid generator. Suitable photoacid generators may be the same kinds of photoacid generators as described in the antireflective coating composition.

光致抗蝕劑組成物可以包含溶劑,例如二醇醚,諸如2-甲氧基乙基醚、乙二醇單甲基醚和丙二醇單甲基醚;丙二醇單甲基醚乙酸酯;乳酸酯,諸如乳酸乙酯和乳酸甲酯;丙酸酯,諸如丙酸甲酯、丙酸乙酯、乙基乙氧基丙酸酯和甲基-2-羥基異丁酸酯;甲基溶纖劑乙酸酯;芳族烴,諸如甲苯和二甲苯;酮,諸如丙酮、甲基乙基酮、環己酮和2-庚酮。此類溶劑可以單獨使用或以兩種或更多種溶劑的組合使用。The photoresist composition may contain solvents such as glycol ethers such as 2-methoxyethyl ether, ethylene glycol monomethyl ether, and propylene glycol monomethyl ether; propylene glycol monomethyl ether acetate; milk acid esters such as ethyl lactate and methyl lactate; propionate esters such as methyl propionate, ethyl propionate, ethyl ethoxy propionate and methyl-2-hydroxyisobutyrate; methyl soluble Cellulose acetate; aromatic hydrocarbons such as toluene and xylene; ketones such as acetone, methyl ethyl ketone, cyclohexanone and 2-heptanone. Such solvents may be used alone or in combination of two or more solvents.

可以藉由旋塗、浸漬、輥塗或其他常規塗覆技術將光致抗蝕劑組成物施用到抗反射塗料組成物層上。例如,可以使用旋塗。對於旋塗,可以基於所用的特定塗覆設備、溶液的黏度、塗覆工具的速度和旋轉允許的時間量來調節塗料溶液的固體含量以提供所希望的膜厚度。The photoresist composition can be applied to the antireflective coating composition layer by spin coating, dipping, roller coating, or other conventional coating techniques. For example, spin coating can be used. For spin coating, the solids content of the coating solution can be adjusted to provide the desired film thickness based on the specific coating equipment used, the viscosity of the solution, the speed of the coating tool, and the amount of time allowed for rotation.

光致抗蝕劑組成物層的厚度可為例如50 nm至300 nm。The thickness of the photoresist composition layer may be, for example, 50 nm to 300 nm.

接下來,可以將光致抗蝕劑組成物層軟烘烤以最小化該層中的溶劑含量,從而形成無黏性的塗層並且改進該層與基底的黏附性。軟烘烤可以在熱板上或在烘箱中進行。軟烘烤的溫度和時間將取決於光致抗蝕劑的特定材料和厚度。例如,典型的軟烘烤在90°C至150°C的溫度下進行約30秒至90秒。Next, the photoresist composition layer can be soft baked to minimize solvent content in the layer, thereby forming a tack-free coating and improving the adhesion of the layer to the substrate. Soft baking can be done on a hot plate or in an oven. The temperature and time of the soft bake will depend on the specific material and thickness of the photoresist. For example, a typical soft bake is performed at a temperature of 90°C to 150°C for about 30 seconds to 90 seconds.

另外,可以在光致抗蝕劑組成物層上形成外塗層(overcoating layer)。可以形成外塗層用於均勻的抗蝕劑圖案、在抗蝕劑的暴露過程期間降低反射率、改進的焦深和暴露寬容度以及缺陷減少。可以藉由旋塗技術使用外塗料組成物來形成外塗層。可以基於所用的特定塗覆設備、溶液的黏度、塗覆工具的速度和旋轉允許的時間量來調節塗料溶液的固體含量以提供所希望的膜厚度。外塗層的厚度可為例如200埃米(Å)至1,000 Å。In addition, an overcoating layer may be formed on the photoresist composition layer. Overcoats can be formed for uniform resist patterns, reduced reflectivity during the exposure process of the resist, improved depth of focus and exposure latitude, and defect reduction. The overcoat layer may be formed using the overcoat composition by spin coating techniques. The solids content of the coating solution can be adjusted to provide the desired film thickness based on the particular coating equipment used, the viscosity of the solution, the speed of the coating tool, and the amount of time allowed for rotation. The thickness of the overcoat can be, for example, 200 angstroms (Å) to 1,000 Å.

可以將外塗層軟烘烤以最小化層中的溶劑含量。軟烘烤可以在熱板上或在烘箱中進行。典型的軟烘烤在80°C至120°C的溫度下進行約30秒至90秒。The overcoat can be soft baked to minimize solvent content in the layer. Soft baking can be done on a hot plate or in an oven. A typical soft bake is performed at a temperature of 80°C to 120°C for about 30 seconds to 90 seconds.

然後將光致抗蝕劑組成物層通過光掩模暴露於活化輻射以在暴露區域與非暴露區域之間產生溶解度差異。光掩模具有光學透明的區域和光學不透明的區域。The photoresist composition layer is then exposed to activating radiation through a photomask to create a difference in solubility between exposed and non-exposed areas. The photomask has optically transparent regions and optically opaque regions.

暴露波長可為例如400 nm或更小、300 nm或更小、200 nm或更小,例如248 nm(例如,KrF準分子雷射)或193 nm(例如,ArF準分子雷射)。暴露能量典型地是約10至80毫焦耳/平方釐米(mJ/cm2 ),取決於暴露裝置和光敏組成物的組分。The exposure wavelength can be, for example, 400 nm or less, 300 nm or less, 200 nm or less, such as 248 nm (eg, KrF excimer laser) or 193 nm (eg, ArF excimer laser). The exposure energy is typically about 10 to 80 millijoules per square centimeter (mJ/cm 2 ), depending on the exposure device and the components of the photosensitive composition.

在光致抗蝕劑組成物層的暴露步驟後,進行暴露後烘烤(PEB)。PEB可以在熱板上或在烘箱中進行。PEB條件可以隨光致抗蝕劑組成物層的組分和厚度而變化。例如,典型的PEB在80°C至150°C的溫度下進行約30秒至90秒。因此,由於光暴露區域與非暴露區域之間的溶解度差異,在光致抗蝕劑組成物層中產生潛像。After the exposure step of the photoresist composition layer, a post exposure bake (PEB) is performed. PEB can be done on a hot plate or in an oven. PEB conditions can vary with the composition and thickness of the photoresist composition layer. For example, a typical PEB is performed at a temperature of 80°C to 150°C for about 30 seconds to 90 seconds. Therefore, a latent image is generated in the photoresist composition layer due to the solubility difference between the light-exposed area and the non-exposed area.

然後將外塗層和暴露光致抗蝕劑組成物層顯影以除去非暴露區域,從而形成抗蝕劑圖案。顯影劑典型地是有機顯影劑,例如,選自酮、酯、醚、醯胺、烴及其混合物的溶劑。合適的酮的實例包括丙酮、2-己酮、5-甲基-2-己酮、2-庚酮、4-庚酮、1-辛酮、2-辛酮、1-壬酮、2-壬酮、二異丁基酮、己酮、甲基環己酮、苯基丙酮、甲基乙基酮和甲基異丁基酮。合適的酯的實例包括乙酸甲酯、乙酸丁酯、乙酸乙酯、乙酸異丙酯、乙酸戊酯、丙二醇單甲基醚乙酸酯、乙二醇單乙基醚乙酸酯、二乙二醇單丁基醚乙酸酯、二乙二醇單乙基醚乙酸酯、乙基-3-乙氧基丙酸酯、3-甲氧基乙酸丁酯、3-甲基-3-甲氧基乙酸丁酯、甲酸甲酯、甲酸乙酯、甲酸丁酯、甲酸丙酯、乳酸乙酯、乳酸丁酯和乳酸丙酯。合適的醚的實例包括二㗁𠮿、四氫呋喃和二醇醚(例如,乙二醇單甲基醚、丙二醇單甲基醚、乙二醇單乙基醚、丙二醇單乙基醚、二乙二醇單甲基醚、三乙二醇單乙基醚和甲氧基甲基丁醇)。合適的醯胺的實例包括N -甲基-2-吡咯啶酮、N ,N -二甲基乙醯胺和N ,N -二甲基甲醯胺。合適的烴的實例包括芳族烴溶劑(例如,甲苯和二甲苯)。The overcoat layer and exposed photoresist composition layer are then developed to remove non-exposed areas, thereby forming a resist pattern. The developer is typically an organic developer, eg, a solvent selected from the group consisting of ketones, esters, ethers, amides, hydrocarbons, and mixtures thereof. Examples of suitable ketones include acetone, 2-hexanone, 5-methyl-2-hexanone, 2-heptanone, 4-heptanone, 1-octanone, 2-octanone, 1-nonanone, 2- Nonyl ketone, diisobutyl ketone, hexanone, methyl cyclohexanone, phenyl acetone, methyl ethyl ketone and methyl isobutyl ketone. Examples of suitable esters include methyl acetate, butyl acetate, ethyl acetate, isopropyl acetate, amyl acetate, propylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, diethylene glycol Alcohol monobutyl ether acetate, diethylene glycol monoethyl ether acetate, ethyl-3-ethoxypropionate, 3-methoxybutyl acetate, 3-methyl-3-methyl Butyl oxyacetate, methyl formate, ethyl formate, butyl formate, propyl formate, ethyl lactate, butyl lactate and propyl lactate. Examples of suitable ethers include diethyl ether, tetrahydrofuran, and glycol ethers (eg, ethylene glycol monomethyl ether, propylene glycol monomethyl ether, ethylene glycol monoethyl ether, propylene glycol monoethyl ether, diethylene glycol monomethyl ether, triethylene glycol monoethyl ether and methoxymethyl butanol). Examples of suitable amides include N -methyl-2-pyrrolidone, N , N -dimethylacetamide and N , N -dimethylformamide. Examples of suitable hydrocarbons include aromatic hydrocarbon solvents (eg, toluene and xylene).

顯影劑可以含有可用於光致抗蝕劑組成物的溶劑,例如2-庚酮、乙酸丁酯(例如,乙酸正丁酯)。顯影劑可以含有該等溶劑的混合物,或者與上面描述的那些不同的溶劑混合或與水混合的上面列出的溶劑中的一種或多種。例如,顯影劑可以含有第一有機溶劑和第二有機溶劑的混合物。第一有機溶劑的具體實例係C4 -C9 酮;羥烷基酯,諸如2-羥基異丁酸甲酯、乳酸乙酯;和直鏈或支鏈C5 -C6 烷氧基烷基乙酸酯,諸如丙二醇單甲基醚乙酸酯,例如2-庚酮或5-甲基-2-己酮。第二有機溶劑的實例係直鏈或支鏈C6 -C8 烷基酯,諸如乙酸正丁酯、乙酸正戊酯、丙酸正丁酯、乙酸正己酯、丁酸正丁酯和丁酸異丁酯;和直鏈或支鏈C8 -C9 酮,諸如4-辛酮、2,5-二甲基-4-己酮、2,6-二甲基-4-庚酮,例如乙酸正丁酯、丙酸正丁酯或2,6-二甲基-4-庚酮。第一有機溶劑和第二有機溶劑的組合的實例包括2-庚酮/丙酸正丁酯、環己酮/丙酸正丁酯、PGMEA/丙酸正丁酯、5-甲基-2-己酮/丙酸正丁酯、2-庚酮/2,6-二甲基-4-庚酮、2-庚酮/乙酸正丁酯。在該等組合中,可以較佳的是2-庚酮/乙酸正丁酯或2-庚酮/丙酸正丁酯。The developer may contain solvents useful in photoresist compositions, such as 2-heptanone, butyl acetate (eg, n-butyl acetate). The developer may contain a mixture of these solvents, or one or more of the above-listed solvents mixed with a different solvent than those described above or mixed with water. For example, the developer may contain a mixture of the first organic solvent and the second organic solvent. Specific examples of the first organic solvent are C4 - C9 ketones; hydroxyalkyl esters such as methyl 2-hydroxyisobutyrate, ethyl lactate; and linear or branched C5 - C6 alkoxyalkyl groups Acetates, such as propylene glycol monomethyl ether acetate, eg 2-heptanone or 5-methyl-2-hexanone. Examples of second organic solvents are linear or branched C6 - C8 alkyl esters such as n-butyl acetate, n-pentyl acetate, n-butyl propionate, n-hexyl acetate, n-butyl butyrate and butyric acid isobutyl esters; and linear or branched C8- C9 ketones, such as 4 -octanone, 2,5-dimethyl-4-hexanone, 2,6-dimethyl-4-heptanone, eg n-Butyl acetate, n-butyl propionate or 2,6-dimethyl-4-heptanone. Examples of combinations of the first organic solvent and the second organic solvent include 2-heptanone/n-butyl propionate, cyclohexanone/n-butyl propionate, PGMEA/n-butyl propionate, 5-methyl-2- Hexanone/n-butyl propionate, 2-heptanone/2,6-dimethyl-4-heptanone, 2-heptanone/n-butyl acetate. Among these combinations, 2-heptanone/n-butyl acetate or 2-heptanone/n-butyl propionate may be preferred.

溶劑可以以90至100重量%,例如大於95重量%、大於98重量%、大於99重量%或100重量%的量存在於顯影劑中。The solvent may be present in the developer in an amount from 90 to 100% by weight, eg, greater than 95% by weight, greater than 98% by weight, greater than 99% by weight, or 100% by weight.

顯影劑還可以包含視需要的添加劑,例如表面活性劑等。此類視需要的添加劑典型地將以較小的濃度(例如約0.01至5重量%)存在。The developer may also contain optional additives such as surfactants and the like. Such optional additives will typically be present in minor concentrations (eg, about 0.01 to 5% by weight).

可以藉由已知技術,例如旋塗或浸塗(puddle coating),將顯影劑施用在光致抗蝕劑組成物層上。顯影時間為有效除去光致抗蝕劑的非暴露區域的時間段。例如,顯影在室溫下進行5至30秒。The developer can be applied to the photoresist composition layer by known techniques, such as spin coating or puddle coating. The development time is the period of time effective to remove the non-exposed areas of the photoresist. For example, development is performed at room temperature for 5 to 30 seconds.

可以藉由在100°C至150°C的溫度下進行幾分鐘另外的烘烤來將顯影光致抗蝕劑組成物層進一步固化。The developed photoresist composition layer can be further cured by an additional bake at a temperature of 100°C to 150°C for several minutes.

顯影基底可以具有除去了光致抗蝕劑的基底區域,並且可以以選擇性的方式處理基底區域。例如,可以使用相關領域中眾所周知之方法將除去了光致抗蝕劑的基底區域化學蝕刻或鍍覆。氫氟酸蝕刻溶液和諸如氧電漿蝕刻劑的電漿氣體蝕刻劑可以用作蝕刻劑。例如,可以除去抗反射塗料組成物層並且可以使用電漿氣體蝕刻劑來蝕刻基底。The developed substrate can have the substrate regions from which the photoresist has been removed, and the substrate regions can be treated in a selective manner. For example, the substrate regions from which the photoresist has been removed can be chemically etched or plated using methods well known in the relevant art. Hydrofluoric acid etching solutions and plasma gas etchants such as oxygen plasma etchants can be used as etchants. For example, the antireflective coating composition layer can be removed and the substrate can be etched using a plasma gas etchant.

在下文中,參考實例更詳細地說明本揭露。然而,該等實例係示例性的,並且本揭露不限於此。 實例 聚合物的合成 Hereinafter, the present disclosure is explained in more detail with reference to examples. However, these examples are exemplary, and the present disclosure is not limited thereto. Synthesis of Example Polymers

實例Example 11

為3頸100 mL圓底燒瓶配備熱電偶、迪安-斯達克分水器、冷凝器和加熱油浴。將三(2-羥基乙基)異氰脲酸酯(30.4 g,116.5 mmol),三(2-羧乙基)異氰脲酸酯(20.1 g,58.2 mmol)、正丁醇(20.0 g,270.0 mmol)、對甲苯磺酸(0.5 g,2.8 mmol)和苯甲醚(34 g)稱重到燒瓶中。將反應混合物在攪拌下加熱至150°C持續3 h,冷卻至室溫,並且用HBM(160 g)稀釋。將混合溶液(40 g)、對甲苯磺酸(0.07 g,0.35 mmol)和1,3,4,6-四(甲氧基甲基)四氫咪唑并[4,5-d]咪唑-2,5(1H ,3H )-二酮(3.6 g,7.4 mmol)稱重並且在攪拌下加熱至50°C持續4 h。將溶液用三乙胺(0.4 mL)淬滅並且冷卻至室溫。將混合物溶液用IPA/庚烷沈澱,過濾,並且在40°C下真空乾燥24 h。 可交聯光酸產生劑的合成 Equip a 3-neck 100 mL round-bottom flask with a thermocouple, Dean-Stark trap, condenser, and heated oil bath. Tris(2-hydroxyethyl)isocyanurate (30.4 g, 116.5 mmol), tris(2-carboxyethyl)isocyanurate (20.1 g, 58.2 mmol), n-butanol (20.0 g, 270.0 mmol), p-toluenesulfonic acid (0.5 g, 2.8 mmol) and anisole (34 g) were weighed into a flask. The reaction mixture was heated to 150 °C with stirring for 3 h, cooled to room temperature, and diluted with HBM (160 g). The mixed solution (40 g), p-toluenesulfonic acid (0.07 g, 0.35 mmol) and 1,3,4,6-tetrakis(methoxymethyl)tetrahydroimidazo[4,5-d]imidazole-2 ,5( 1H , 3H )-dione (3.6 g, 7.4 mmol) was weighed and heated to 50 °C with stirring for 4 h. The solution was quenched with triethylamine (0.4 mL) and cooled to room temperature. The mixture solution was precipitated with IPA/heptane, filtered, and dried under vacuum at 40 °C for 24 h. Synthesis of Crosslinkable Photoacid Generators

實例 2. (4-羥基苯基)二苯基鋶三氟甲磺酸鹽。 Example 2. (4-Hydroxyphenyl)diphenylperylium triflate.

將三氟甲磺酸鈉(25.0 g,1.0當量)和(4-羥基苯基)二苯基碘化鋶(47.2 g,0.8當量)溶解在二氯甲烷(360 g)和去離子水(360 g)中,並且在室溫下攪拌過夜。將有機層用去離子水(360 g × 5)洗滌,並且蒸發溶劑。從異-丙醇/庚烷中沈澱出粗產物,並且將其在真空下乾燥。Sodium trifluoromethanesulfonate (25.0 g, 1.0 equiv) and (4-hydroxyphenyl)diphenyl perionium iodide (47.2 g, 0.8 equiv) were dissolved in dichloromethane (360 g) and deionized water (360 g) g) and stirred overnight at room temperature. The organic layer was washed with deionized water (360 g x 5) and the solvent was evaporated. The crude product was precipitated from iso-propanol/heptane and dried under vacuum.

實例 3. (4-羥基苯基)二苯基鋶1,1,2,2,3,3,4,4,4-九氟丁-1-磺酸鹽。 Example 3. (4-Hydroxyphenyl)diphenyl perionium 1,1,2,2,3,3,4,4,4-nonafluorobutan-1-sulfonate.

將1,1,2,2,3,3,4,4,4-九氟丁-1-磺酸鋰(10.0 g,1.0當量)和(4-羥基苯基)二苯基碘化鋶(10.6 g,0.8當量)溶解在二氯甲烷(85 g)和去離子水(85 g)中,並且在室溫下攪拌過夜。將有機層用去離子水(85 g × 5)洗滌,並且蒸發溶劑。從異丙醇/庚烷中沈澱出粗產物,並且將其在真空下乾燥。Lithium 1,1,2,2,3,3,4,4,4-nonafluorobutan-1-sulfonate (10.0 g, 1.0 equiv) and (4-hydroxyphenyl)diphenyl periodide ( 10.6 g, 0.8 equiv) was dissolved in dichloromethane (85 g) and deionized water (85 g) and stirred at room temperature overnight. The organic layer was washed with deionized water (85 g x 5), and the solvent was evaporated. The crude product was precipitated from isopropanol/heptane and dried under vacuum.

實例 4. (4-(2-羥基乙氧基)苯基)二苯基鋶三氟甲磺酸鹽。 Example 4. (4-(2-Hydroxyethoxy)phenyl)diphenylperylium triflate.

(1) 將(4-羥基苯基)二苯基碘化鋶(4.0 g,1.0當量)、碳酸鉀(1.6 g,1.1當量)和碘化鉀(0.4 g,0.25當量)溶解在DMF(103 g)中並且添加2-(2-氯乙氧基)四氫-2H -哌喃(1.8 g,1.1當量)。將混合物在100°C下攪拌16 h。將有機層用去離子水洗滌。從庚烷/二氯甲烷中沈澱出混合物,並且將其在真空下乾燥以獲得4.8 g(91%)固體。(1) (4-Hydroxyphenyl)diphenyl perionium iodide (4.0 g, 1.0 equiv), potassium carbonate (1.6 g, 1.1 equiv) and potassium iodide (0.4 g, 0.25 equiv) were dissolved in DMF (103 g) and 2-(2-chloroethoxy)tetrahydro- 2H -pyran (1.8 g, 1.1 equiv) was added. The mixture was stirred at 100 °C for 16 h. The organic layer was washed with deionized water. The mixture was precipitated from heptane/dichloromethane and dried under vacuum to obtain 4.8 g (91%) of solid.

(2) 將三氟甲磺酸鉀(2.00 g,1.8當量)和二苯基(4-(2-((四氫-2H -哌喃-2-基)氧基)乙氧基)苯基)碘化鋶(3.06 g,1當量)溶解在氯仿(100 g)和去離子水(30 g)中,並且在室溫下攪拌過夜。將有機層用去離子水(30 g × 2)洗滌,並且在減壓下蒸發溶劑。獲得3.0 g(94%)的黏性液體產物。(2) Potassium trifluoromethanesulfonate (2.00 g, 1.8 equiv) and diphenyl(4-(2-((tetrahydro- 2H -pyran-2-yl)oxy)ethoxy)benzene (3.06 g, 1 equiv.) was dissolved in chloroform (100 g) and deionized water (30 g) and stirred at room temperature overnight. The organic layer was washed with deionized water (30 g × 2), and the solvent was evaporated under reduced pressure. 3.0 g (94%) of viscous liquid product was obtained.

(3) 將二苯基(4-(2-((四氫-2H -哌喃-2-基)氧基)乙氧基)苯基)鋶三氟甲磺酸鹽(3.1 g,1.0當量)溶解在甲醇(65 g)中並且添加4 N氯化氫溶液(3 mL)。將混合物在室溫下攪拌16 h。在減壓下蒸發溶劑。獲得2.3 g(88 %)的黏性液體產物。(3) Diphenyl(4-(2-((tetrahydro- 2H -pyran-2-yl)oxy)ethoxy)phenyl)perylene trifluoromethanesulfonate (3.1 g, 1.0 equiv.) was dissolved in methanol (65 g) and 4 N hydrogen chloride solution (3 mL) was added. The mixture was stirred at room temperature for 16 h. The solvent was evaporated under reduced pressure. 2.3 g (88 %) of viscous liquid product was obtained.

實例 5. (4-(2-羥基乙氧基)苯基)二苯基鋶1,1,2,2,3,3,4,4,4-九氟丁-1-磺酸鹽。 Example 5. (4-(2-Hydroxyethoxy)phenyl)diphenyl perionium 1,1,2,2,3,3,4,4,4-nonafluorobutan-1-sulfonate.

(1) 在100°C加熱下將2-(3-氯乙氧基)四氫-2H -哌喃(4.5 g,1.0當量)、碳酸鉀(4.4 g,1.3當量)和碘化鉀(1.0 g,0.3當量)添加到(4-羥基苯基)二苯基碘化鋶(10.0 g,1.0當量)在114 g DMF中的溶液中。將溶液冷卻至室溫並且過濾以獲得溶液。將粗產物從二氯甲烷/庚烷中重結晶,並且在真空下乾燥以獲得13 g黏性淺褐色粉末(99%)。(1) 2-(3-Chloroethoxy)tetrahydro- 2H -pyran (4.5 g, 1.0 equiv), potassium carbonate (4.4 g, 1.3 equiv) and potassium iodide (1.0 g) were heated at 100°C , 0.3 equiv) was added to a solution of (4-hydroxyphenyl)diphenylperium iodide (10.0 g, 1.0 equiv) in 114 g DMF. The solution was cooled to room temperature and filtered to obtain a solution. The crude product was recrystallized from dichloromethane/heptane and dried under vacuum to obtain 13 g of viscous light brown powder (99%).

(2) 將九氟1-丁烷磺酸鋰(4.0 g,1.4當量)和二苯基(4-(2-((四氫-2H -哌喃-2-基)氧基)乙氧基)苯基)碘化鋶(5.0 g,1.0當量)溶解在二氯甲烷(133 g)和去離子水(50 g)中,並且將混合物在室溫下攪拌過夜。將有機層用去離子水(40 g × 4)洗滌,並且蒸發溶劑以獲得6.4 g(97%)褐色固體。(2) Lithium nonafluoro-1-butanesulfonate (4.0 g, 1.4 equiv) and diphenyl(4-(2-((tetrahydro- 2H -pyran-2-yl)oxy)ethoxy (5.0 g, 1.0 equiv.) was dissolved in dichloromethane (133 g) and deionized water (50 g), and the mixture was stirred at room temperature overnight. The organic layer was washed with deionized water (40 g x 4), and the solvent was evaporated to obtain 6.4 g (97%) of a brown solid.

(3) 將二苯基(4-(2-((四氫-2H -哌喃-2-基)氧基)乙氧基)苯基)鋶九氟丁磺酸鹽(6.4 g)和在二㗁𠮿(1.5 mL)中的4 N鹽酸溶解在甲醇(51 g)中,並且將反應混合物在室溫下攪拌過夜。將混合物蒸發,並且將溶液用二氯甲烷(66 g)稀釋。將有機層用飽和碳酸氫鈉溶液(66 g × 1)和去離子水(40 g × 3)洗滌。從二氯甲烷/庚烷中沈澱出粗產物,並且將其在真空下乾燥以獲得4.4 g(78%)黏性液體。(3) Diphenyl(4-(2-((tetrahydro- 2H -pyran-2-yl)oxy)ethoxy)phenyl)nonafluorobutanesulfonate (6.4 g) and 4 N hydrochloric acid in bismuth (1.5 mL) was dissolved in methanol (51 g), and the reaction mixture was stirred at room temperature overnight. The mixture was evaporated and the solution was diluted with dichloromethane (66 g). The organic layer was washed with saturated sodium bicarbonate solution (66 g × 1) and deionized water (40 g × 3). The crude product was precipitated from dichloromethane/heptane and dried under vacuum to obtain 4.4 g (78%) of a viscous liquid.

實例 6. (4-(3-羥基丙氧基)苯基)二苯基鋶三氟甲磺酸鹽。 Example 6. (4-(3-Hydroxypropoxy)phenyl)diphenylperylium triflate.

(1) 將(4-羥基苯基)二苯基碘化鋶(10.2 g,1.0當量)、碳酸鉀(4.5 g,1.3當量)和碘化鉀(2.1 g,0.5當量)溶解在DMF(103 g)中,並且添加2-(2-氯乙氧基)四氫-2H -哌喃(4.9 g,1.1當量)。將混合物在100°C下攪拌16 h。將混合物冷卻至室溫並且通過矽藻土過濾,並且在減壓下蒸發溶劑。從庚烷/二氯甲烷中沈澱出混合物,並且將其在真空下乾燥以獲得12.9 g(94%),呈固體。(1) (4-Hydroxyphenyl)diphenyl perionium iodide (10.2 g, 1.0 equiv), potassium carbonate (4.5 g, 1.3 equiv) and potassium iodide (2.1 g, 0.5 equiv) were dissolved in DMF (103 g) and 2-(2-chloroethoxy)tetrahydro- 2H -pyran (4.9 g, 1.1 equiv) was added. The mixture was stirred at 100 °C for 16 h. The mixture was cooled to room temperature and filtered through celite, and the solvent was evaporated under reduced pressure. The mixture was precipitated from heptane/dichloromethane and dried under vacuum to give 12.9 g (94%) as a solid.

(2) 將三氟甲磺酸鉀(2.8 g,1.4當量)和二苯基(4-(3-((四氫-2H -哌喃-2-基)氧基)丙氧基)苯基)鋶三氟甲磺酸鹽(6.1 g,1.0當量)溶解在氯仿(100 g)和去離子水(30 g)中,並且在室溫下攪拌過夜。將有機層用去離子水(30 g × 2)洗滌,並且在減壓下蒸發溶劑。獲得6.1 g(97 %)的黏性液體產物。(2) Potassium trifluoromethanesulfonate (2.8 g, 1.4 equiv) and diphenyl(4-(3-((tetrahydro- 2H -pyran-2-yl)oxy)propoxy)benzene (6.1 g, 1.0 equiv.) was dissolved in chloroform (100 g) and deionized water (30 g) and stirred at room temperature overnight. The organic layer was washed with deionized water (30 g × 2), and the solvent was evaporated under reduced pressure. 6.1 g (97 %) of viscous liquid product was obtained.

(3) 將二苯基(4-(3-((四氫-2H -哌喃-2-基)氧基)丙氧基)苯基)鋶三氟甲磺酸鹽(5.8 g,1.0當量)溶解在甲醇(60 g)中並且添加4 N氯化氫溶液(3 mL)。將混合物在24°C下攪拌16 h。將混合物在減壓下蒸發並且在真空下乾燥以獲得4.2 g(84%)產物。(3) Diphenyl(4-(3-((tetrahydro- 2H -pyran-2-yl)oxy)propoxy)phenyl)perylene trifluoromethanesulfonate (5.8 g, 1.0 equiv) was dissolved in methanol (60 g) and 4 N hydrogen chloride solution (3 mL) was added. The mixture was stirred at 24 °C for 16 h. The mixture was evaporated under reduced pressure and dried under vacuum to obtain 4.2 g (84%) of product.

實例 7. (4-(3-羥基丙氧基)苯基)二苯基鋶1,1,2,2,3,3,4,4,4-九氟丁-1-磺酸鹽。 Example 7. (4-(3-Hydroxypropoxy)phenyl)diphenylperylium 1,1,2,2,3,3,4,4,4-nonafluorobutan-1-sulfonate.

(1) 將(4-羥基苯基)二苯基碘化鋶(10.2 g,1.0當量)、碳酸鉀(4.5 g,1.3當量)和碘化鉀(2.1 g,0.5當量)溶解在DMF(103 g)中,並且添加2-(2-氯乙氧基)四氫-2H -哌喃(4.9 g,1.1當量)。將混合物在100°C下攪拌16 h。反應完成後,將混合物冷卻至室溫,通過矽藻土過濾,並且在減壓下蒸發溶劑。從庚烷/二氯甲烷中沈澱出混合物,並且將其在真空下乾燥以獲得12.9 g(94 %)固體。(1) (4-Hydroxyphenyl)diphenyl perionium iodide (10.2 g, 1.0 equiv), potassium carbonate (4.5 g, 1.3 equiv) and potassium iodide (2.1 g, 0.5 equiv) were dissolved in DMF (103 g) and 2-(2-chloroethoxy)tetrahydro- 2H -pyran (4.9 g, 1.1 equiv) was added. The mixture was stirred at 100 °C for 16 h. After the reaction was completed, the mixture was cooled to room temperature, filtered through celite, and the solvent was evaporated under reduced pressure. The mixture was precipitated from heptane/dichloromethane and dried under vacuum to obtain 12.9 g (94 %) solid.

(2) 將九氟丁-1-磺酸鋰(3.6 g,1.3當量)和二苯基(4-(3-((四氫-2H -哌喃-2-基)氧基)丙氧基)苯基)鋶三氟甲磺酸鹽(5.0 g,1當量)溶解在二氯甲烷(100 g)和去離子水(50 g)中,並且將反應混合物在室溫下攪拌過夜。反應後,將有機層用去離子水(30 g × 2)洗滌,在減壓下蒸發溶劑。獲得6.1 g(94%)的黏性液體產物。(2) Lithium nonafluorobutan-1-sulfonate (3.6 g, 1.3 equiv) and diphenyl(4-(3-((tetrahydro- 2H -pyran-2-yl)oxy)propoxy (5.0 g, 1 equiv.) was dissolved in dichloromethane (100 g) and deionized water (50 g), and the reaction mixture was stirred at room temperature overnight. After the reaction, the organic layer was washed with deionized water (30 g × 2), and the solvent was evaporated under reduced pressure. 6.1 g (94%) of viscous liquid product was obtained.

(3) 將二苯基(4-(3-((四氫-2H -哌喃-2-基)氧基)丙氧基)苯基)鋶九氟丁-1-磺酸鹽(6.2 g,1.0當量)溶解在甲醇(60 g)中,並且添加4 N氯化氫溶液(3 mL)。將混合物在24°C下攪拌16 h。反應完成後,在減壓下蒸發溶劑。獲得4.5 g(84%)產物。 抗反射組成物的製備 (3) Diphenyl(4-(3-((tetrahydro- 2H -pyran-2-yl)oxy)propoxy)phenyl)nonafluorobutan-1-sulfonate (6.2 g, 1.0 equiv) was dissolved in methanol (60 g) and 4 N hydrogen chloride solution (3 mL) was added. The mixture was stirred at 24 °C for 16 h. After the reaction was completed, the solvent was evaporated under reduced pressure. Obtained 4.5 g (84%) of product. Preparation of anti-reflection compositions

實例Example 88

將0.65 g根據實例1製備的聚合物、0.10 g四甲氧基甲基甘脲、0.01 g 2,4,6-三甲基吡啶鎓對甲苯磺酸鹽、和0.02 g根據實例2製備的可交聯PAG溶解在99.22 g HBM溶劑中。0.65 g of the polymer prepared according to Example 1, 0.10 g of tetramethoxymethyl glycoluril, 0.01 g of 2,4,6-trimethylpyridinium p-toluenesulfonate, and 0.02 g of tetramethoxymethyl glycoluril prepared according to Example 2 were combined. The cross-linked PAG was dissolved in 99.22 g HBM solvent.

實例Example 99

將0.65 g根據實例1製備的聚合物、0.10 g四甲氧基甲基甘脲、0.01 g 2,4,6-三甲基吡啶鎓對甲苯磺酸鹽、和0.02 g根據實例3製備的可交聯PAG溶解在99.22 g HBM溶劑中。0.65 g of the polymer prepared according to Example 1, 0.10 g of tetramethoxymethyl glycoluril, 0.01 g of 2,4,6-trimethylpyridinium p-toluenesulfonate, and 0.02 g of tetramethoxymethyl glycoluril prepared according to Example 3 were combined. The cross-linked PAG was dissolved in 99.22 g HBM solvent.

實例Example 1010

將0.73 g根據實例1製備的聚合物、0.02 g四甲氧基甲基甘脲、0.01 g 2,4,6-三甲基吡啶鎓對甲苯磺酸鹽、和0.02 g根據實例4製備的可交聯PAG溶解在99.22 g HBM溶劑中。0.73 g of the polymer prepared according to Example 1, 0.02 g of tetramethoxymethyl glycoluril, 0.01 g of 2,4,6-trimethylpyridinium p-toluenesulfonate, and 0.02 g of tetramethoxymethyl glycoluril prepared according to Example 4 were combined. The cross-linked PAG was dissolved in 99.22 g HBM solvent.

實例example 1111

將0.72 g根據實例1製備的聚合物、0.02 g四甲氧基甲基甘脲、0.01 g 2,4,6-三甲基吡啶鎓對甲苯磺酸鹽、和0.02 g根據實例5製備的可交聯PAG溶解在99.22 g HBM溶劑中。0.72 g of the polymer prepared according to Example 1, 0.02 g of tetramethoxymethyl glycoluril, 0.01 g of 2,4,6-trimethylpyridinium p-toluenesulfonate, and 0.02 g of tetramethoxymethyl glycoluril prepared according to Example 5 were combined. The cross-linked PAG was dissolved in 99.22 g HBM solvent.

實例example 1212

將0.73 g根據實例1製備的聚合物、0.02 g四甲氧基甲基甘脲、0.01 g 2,4,6-三甲基吡啶鎓對甲苯磺酸鹽、和0.02 g根據實例6製備的可交聯PAG溶解在99.22 g HBM溶劑中。0.73 g of the polymer prepared according to Example 1, 0.02 g of tetramethoxymethyl glycoluril, 0.01 g of 2,4,6-trimethylpyridinium p-toluenesulfonate, and 0.02 g of tetramethoxymethyl glycoluril prepared according to Example 6 were combined. The cross-linked PAG was dissolved in 99.22 g HBM solvent.

實例example 1313

將0.72 g根據實例1製備的聚合物、0.02 g四甲氧基甲基甘脲、0.01 g 2,4,6-三甲基吡啶鎓對甲苯磺酸鹽、和0.02 g根據實例7製備的可交聯PAG溶解在99.22 g HBM溶劑中。0.72 g of polymer prepared according to Example 1, 0.02 g of tetramethoxymethyl glycoluril, 0.01 g of 2,4,6-trimethylpyridinium p-toluenesulfonate, and 0.02 g of tetramethoxymethyl glycoluril prepared according to Example 7 were combined. The cross-linked PAG was dissolved in 99.22 g HBM solvent.

對比實例Comparative example 11

將0.73 g根據實例1製備的聚合物、0.02 g四甲氧基甲基甘脲、0.01 g 2,4,6-三甲基吡啶鎓對甲苯磺酸鹽、和0.02 g三苯基鋶1,1,2,2,3,3,4,4,4-九氟丁-1-磺酸鹽溶解在99.22 g HBM溶劑中。 抗反射組成物的刻印評估 0.73 g of the polymer prepared according to Example 1, 0.02 g of tetramethoxymethyl glycoluril, 0.01 g of 2,4,6-trimethylpyridinium p-toluenesulfonate, and 0.02 g of triphenyl perionium 1, 1,2,2,3,3,4,4,4-Nonafluorobutan-1-sulfonate was dissolved in 99.22 g HBM solvent. Imprint Evaluation of Anti-Reflection Compositions

1.1. 厚度測量Thickness measurement

在TEL MARK軌道上用BARC材料塗覆200 mm裸矽晶圓,其中以1500 RPM進行塗覆,並且在205°C下固化60秒。在Opti-Probe工具上進行厚度測量來檢查經塗覆的晶圓。A 200 mm bare silicon wafer was coated with BARC material on a TEL MARK track where coating was performed at 1500 RPM and cured at 205°C for 60 seconds. Coated wafers were inspected by thickness measurements on the Opti-Probe tool.

2.2. 膜剝離測試Film peel test

將BARC材料旋塗在8英吋矽晶圓中並且藉由MARK軌道在205°C下烘烤60秒(步驟1)。將經塗覆的晶圓暴露於30 mL PGMEA : HBM(比率50 wt% : 50 wt%)中90秒,旋轉乾燥以形成薄膜並且在110°C下固化60秒(步驟2)。藉由Opti-probe對初始塗覆的膜(步驟1)和烘烤後的膜(步驟2)進行膜厚度測量。將剝離量確定為步驟1厚度與步驟2厚度之差。The BARC material was spin-coated in an 8-inch silicon wafer and baked at 205°C for 60 seconds by a MARK track (step 1). The coated wafers were exposed to 30 mL of PGMEA : HBM (ratio 50 wt % : 50 wt %) for 90 sec, spin-dried to form a thin film and cured at 110° C. for 60 sec (step 2). Film thickness measurements were performed by Opti-probe on the as-coated film (step 1) and the baked film (step 2). The amount of peeling was determined as the difference between the step 1 thickness and the step 2 thickness.

33 .. 接觸角測量Contact angle measurement

藉由在8英吋矽晶圓上以1,500 rpm的旋轉速率旋塗來形成BARC材料。將膜在熱板上在205°C下固化60秒以除去殘餘溶劑。使用JDSA-100動態接觸角測角儀確定水接觸角,並且將一滴水(3 ul)直接放置在BARC基底上以測量9個點。The BARC material was formed by spin coating on an 8-inch silicon wafer at a spin rate of 1,500 rpm. The films were cured on a hot plate at 205°C for 60 seconds to remove residual solvent. The water contact angle was determined using a JDSA-100 dynamic contact angle goniometer, and a drop of water (3 ul) was placed directly on the BARC substrate to measure 9 points.

4.4. 起始測試start test

在TEL MARK軌道上用BARC材料塗覆200 mm裸矽晶圓,其中以1,500 RPM進行塗覆,並且在60°C、70°C、80°C、90°C、100°C、110°C、120°C的各溫度下固化60秒。將各經塗覆的晶圓暴露於30 mL PGMEA : HBM(比率50 wt% : 50 wt%)中90秒,旋轉乾燥以形成薄膜並且在110°C下固化60秒。藉由Opti-probe在烘烤後的膜上測量膜厚度。藉由代表交聯反應起點的增加的膜厚度來確定起始溫度。Coating 200 mm bare silicon wafers with BARC material on TEL MARK track where coating is done at 1,500 RPM and at 60°C, 70°C, 80°C, 90°C, 100°C, 110°C , 120°C for 60 seconds at each temperature. Each coated wafer was exposed to 30 mL of PGMEA:HBM (ratio 50 wt%:50 wt%) for 90 seconds, spin-dried to form a thin film and cured at 110°C for 60 seconds. Film thickness was measured on the baked film by Opti-probe. The onset temperature was determined by increasing film thickness representing the onset of the crosslinking reaction.

5.5. 刻印評估Imprint evaluation

在TEL Lithius 300 mm晶圓軌道上用 AR™ 46(杜邦公司(Dupont))塗覆300 mm裸矽晶圓,並且在205°C下固化60秒以形成650 Å第一BARC層。將用於刻印評估的BARC材料塗覆在第一BARC層上並且在205°C下固化60秒以形成190 Å第二BARC層。將杜邦ArF-i NTD光致抗蝕劑塗覆在BARC層堆疊上並且在100°C下軟烘烤60秒以形成900 Å的厚度。在NIKON 610C浸沒式掃描器上以1.3 NA、0.80/0.64內/外σ、環形照射,通過光掩模暴露晶圓以形成46/94 nm線/間隔圖案。將晶圓在90°C下進行暴露後烘烤(PEB)持續60秒。將晶圓用乙酸正丁酯(NBA)顯影劑顯影並且旋轉乾燥以形成光致抗蝕劑圖案。在CD-SEM工具上檢查圖案化晶圓,並且刻印分析結果提供在表2中。A 300 mm bare silicon wafer was coated with AR™ 46 (Dupont) on a TEL Lithius 300 mm wafer track and cured at 205°C for 60 seconds to form a 650 Å first BARC layer. The BARC material for imprint evaluation was coated on the first BARC layer and cured at 205°C for 60 s to form a 190 Å second BARC layer. DuPont ArF-i NTD photoresist was coated on the BARC layer stack and soft baked at 100 °C for 60 s to form a thickness of 900 Å. On a NIKON 610C immersion scanner at 1.3 NA, 0.80/0.64 inner/outer σ, annular illumination, the wafer was exposed through a photomask to form a 46/94 nm line/space pattern. The wafers were subjected to a post-exposure bake (PEB) at 90 °C for 60 s. The wafer was developed with n-butyl acetate (NBA) developer and spin-dried to form a photoresist pattern. The patterned wafers were inspected on a CD-SEM tool and the imprint analysis results are provided in Table 2.

與具有可比較的起始和接觸角性能的對比實例1相比,新配製的可交聯PAG(實例8-13)顯示出藉由與BARC聚合物的交聯反應而改進的溶劑剝離損失(表1)。Compared to Comparative Example 1 with comparable onset and contact angle properties, the freshly formulated crosslinkable PAGs (Examples 8-13) showed improved solvent stripping losses by crosslinking reaction with BARC polymers ( Table 1).

[ 表1].   有機溶劑膜剝離損失 DIW接觸角 起始溫度 實例8 -2.0 Å 70° 80°C 實例9 -2.1 Å 71° 80°C 實例10 -1.8 Å 69° 90°C 實例11 -1.2 Å 70° 90°C 實例12 -1.6 Å 69° 80°C 實例13 -1.1 Å 69° 90°C 對比實例1 -5.6 Å 68° 80°C [ Table 1]. organic solvent film peeling loss DIW contact angle starting temperature Example 8 -2.0 Å 70° 80°C Example 9 -2.1 Å 71° 80°C Example 10 -1.8 Å 69° 90°C Example 11 -1.2 Å 70° 90°C Example 12 -1.6 Å 69° 80°C Example 13 -1.1 Å 69° 90°C Comparative Example 1 -5.6 Å 68° 80°C

與對比實例1相比,含有可交聯PAG(實例8-13)的新的BARC配製物顯示出藉由有效的酸產生與交聯反應而改進的EL和更寬的FL裕度性能(表2)。Compared to Comparative Example 1, the new BARC formulations containing cross-linkable PAGs (Examples 8-13) showed improved EL and wider FL margin performance through efficient acid generation and cross-linking reactions (Table 1). 2).

[ 表2].   EoP [mJ/ cm2 ] EL [nm/%劑量] EL PCM [溝槽CD,nm] FL裕度 [nm] 實例8 66.8 0.62 47.2 200 實例9 66.2 0.61 50.01 200 實例10 66.0 0.64 48.3 200 實例11 66.9 0.63 50.1 200 實例12 66.5 0.65 48.0 180 實例13 66.3 0.66 51.0 220 對比實例1 66.4 0.62 47.3 180 *EoP:最佳暴露,EL:暴露寬容度,FL:聚焦寬容度,PCM:圖案塌陷裕度 [ Table 2]. EoP [mJ/cm 2 ] EL [nm/% dose] EL PCM [groove CD, nm] FL margin [nm] Example 8 66.8 0.62 47.2 200 Example 9 66.2 0.61 50.01 200 Example 10 66.0 0.64 48.3 200 Example 11 66.9 0.63 50.1 200 Example 12 66.5 0.65 48.0 180 Example 13 66.3 0.66 51.0 220 Comparative Example 1 66.4 0.62 47.3 180 *EoP: Optimum exposure, EL: Exposure latitude, FL: Focus latitude, PCM: Pattern collapse margin

雖然已經結合目前被認為係實際的示例性實施方式描述了本揭露,但是應當理解,本發明不限於所揭露的實施方式,而且相反地,旨在覆蓋包括在所附請求項的精神和範圍內的各種修改和等同佈置。While the present disclosure has been described in connection with what are presently considered to be practical exemplary embodiments, it is to be understood that this invention is not limited to the disclosed embodiments, but on the contrary is intended to cover the spirit and scope included in the appended claims various modifications and equivalent arrangements.

none

none

none

Claims (10)

一種抗反射塗料組成物,其包含:聚合物,其中,該聚合物包含氰尿素酸酯結構單元,包含可交聯基團的光酸產生劑,能夠使該聚合物和該光酸產生劑交聯的化合物,熱酸產生劑,和有機溶劑,其中,該光酸產生劑係具有式G+A-之鎓鹽,其中G+具有式1並且A-係不可聚合的有機陰離子:
Figure 109121364-A0305-02-0038-5
其中在式1中,每個R1獨立地是經取代或未經取代的C1-30烷基、經取代或未經取代的多環或單環C3-30環烷基、經取代或未經取代的多環或單環C6-30芳基,其中當Y係S時,該R1之一視需要藉由單鍵或連接基團附接至一個相鄰的R1,條件係至少一個R1係帶有羥基的多環或單環C6-30芳基,該羥基藉由單鍵或視需要包含選自O、S、N和P的至少一個雜原子的未經取代或經取代的C1-C30連接基團與其附接,並且z係2或3,其中當Y係I時,z係2,或當Y係S時,z係3。
An anti-reflection coating composition, comprising: a polymer, wherein the polymer comprises a cyanurate structural unit, a photoacid generator comprising a crosslinkable group capable of crosslinking the polymer and the photoacid generator A combined compound, a thermal acid generator, and an organic solvent, wherein the photoacid generator is an onium salt of formula G + A- , wherein G + is of formula 1 and A- is a non - polymerizable organic anion:
Figure 109121364-A0305-02-0038-5
wherein in Formula 1 , each R is independently substituted or unsubstituted C 1-30 alkyl, substituted or unsubstituted polycyclic or monocyclic C 3-30 cycloalkyl, substituted or Unsubstituted polycyclic or monocyclic C 6-30 aryl, wherein when Y is S, one of the R 1 is optionally attached to an adjacent R 1 by a single bond or a linking group, provided that At least one R 1 is a polycyclic or monocyclic C 6-30 aryl group bearing a hydroxyl group via a single bond or an unsubstituted or optionally containing at least one heteroatom selected from O, S, N and P. A substituted C1 - C30 linking group is attached thereto, and z is 2 or 3, wherein when Y is I, z is 2, or when Y is S, z is 3.
如請求項1所述之抗反射塗料組成物,其中,G+由式2表示:
Figure 109121364-A0305-02-0038-6
其中在式2中,每個Ra獨立地是氫、鹵素、氰基、硝基、胺基、經取代或未經取代的C1-30烷基、經取代或未經取代的C2-30烯基、經取代或未經取代的C2-30炔基、經取代或未經取代的C1-C30烷氧基、經取代或未經取代的C3-C30環烷基、經取代或未經取代的C3-C30環烯基、經取代或未經取代的C6-C30芳基、經取代或未經取代的C6-C30芳氧基、經取代或未經取代的C6-C30硫芳基、經取代或未經取代的C7-C30芳基烷基,每個Rb係經取代或未經取代的C1-30烷基、經取代或未經取代的多環或單環C3-30環烷基、經取代或未經取代的多環或單環C6-30芳基,其中基團Rb視需要彼此藉由單鍵或連接基團附接,每個L係單鍵、經取代或未經取代的C1-C30伸烷基、經取代或未經取代的C2-C30伸烯基、經取代或未經取代的C2-C30伸炔基、經取代或未經取代的C3-C30環伸烯基、經取代或未經取代的C3-C30環伸炔基、經取代或未經取代的C6-C30伸芳基、或經取代或未經取代的C6-C30雜伸芳基,在該基團中的每一個中至少一個不相鄰的-CH2-基團視需要被-SO2-、-C(=O)-、-O-、-S-、-SO-、-C(=O)O-、-OC(=O)-、-C(=O)NR-或-NRC(=O)-替代,其中R係氫或C1-C10烷基或其組合;n1係1至5的整數,並且n2係0至4的整數,條件係n1和n2之和不超過5。
The anti-reflection coating composition of claim 1, wherein G + is represented by formula 2:
Figure 109121364-A0305-02-0038-6
wherein in Formula 2, each R is independently hydrogen, halogen, cyano, nitro, amino, substituted or unsubstituted C 1-30 alkyl, substituted or unsubstituted C 2- 30 alkenyl, substituted or unsubstituted C 2-30 alkynyl, substituted or unsubstituted C 1 -C 30 alkoxy, substituted or unsubstituted C 3 -C 30 cycloalkyl, Substituted or unsubstituted C 3 -C 30 cycloalkenyl, substituted or unsubstituted C 6 -C 30 aryl, substituted or unsubstituted C 6 -C 30 aryloxy, substituted or unsubstituted Unsubstituted C 6 -C 30 thioaryl, substituted or unsubstituted C 7 -C 30 arylalkyl, each R b is substituted or unsubstituted C 1-30 alkyl, substituted or unsubstituted Substituted or unsubstituted polycyclic or monocyclic C 3-30 cycloalkyl, substituted or unsubstituted polycyclic or monocyclic C 6-30 aryl, wherein the groups R b are optionally linked to each other by a single bond Or a linking group is attached, each L is a single bond, substituted or unsubstituted C 1 -C 30 alkylene, substituted or unsubstituted C 2 -C 30 alkenylene, substituted or unsubstituted Substituted C 2 -C 30 alkynylene, substituted or unsubstituted C 3 -C 30 cycloalkenylene, substituted or unsubstituted C 3 -C 30 cycloalkynylene, substituted or unsubstituted Substituted C6 - C30 arylidene, or substituted or unsubstituted C6 - C30 heteroarylidene, in each of which at least one non-adjacent -CH2- group The group can be -SO 2 -, -C(=O)-, -O-, -S-, -SO-, -C(=O)O-, -OC(=O)-, -C(= O) NR- or -NRC(=O)- substitution, where R is hydrogen or C1 - C10 alkyl or a combination thereof; n1 is an integer from 1 to 5, and n2 is an integer from 0 to 4, with the condition n1 The sum of n2 does not exceed 5.
如請求項1所述之抗反射塗料組成物,其中,G+由式3表示:
Figure 109121364-A0305-02-0039-7
其中在式3中,每個Ra係氫、鹵素、氰基、硝基、胺基、經取代或未經取代的C1-30烷基、經取代或未經取代的C2-30烯基、經取代或未經取代的C2-30炔基、經取代或未經取代的C1-C30烷氧基、經取代或未經取代的C3-C30環烷基、經取代或未經取代的C3-C30環烯基、經取代或未經取代的C6-C30芳基、經取代或未經取代的C6-C30芳氧基、經取代或未經取代的C6-C30硫芳基、經取代或未經取代的C7-C30芳基烷基,其中基團Ra視需要彼此藉由單鍵或連接基團附接,Rb獨立地是經取代或未經取代的C1-30烷基、經取代或未經取代的多環或單環C3-30環烷基、經取代或未經取代的多環或單環C6-30芳基,每個L係單鍵、經取代或未經取代的C1-C30伸烷基、經取代或未經取代的C2-C30伸烯基、經取代或未經取代的C2-C30伸炔基、經取代或未經取代的C3-C30環伸烯基、經取代或未經取代的C3-C30環伸炔基、經取代或未經取代的C6-C30伸芳基、或經取代或未經取代的C6-C30雜伸芳基,在該基團中的每一個中至少一個不相鄰的-CH2-基團視需要被-SO2-、-C(=O)-、-O-、-S-、-SO-、-C(=O)O-、-OC(=O)-、-C(=O)NR-或-NRC(=O)-替代,其中R係氫或C1-C10烷基或其組合;n1係1至5的整數,每個n2係0至4的整數,並且條件係每個n1和n2之和不超過5。
The anti-reflection coating composition of claim 1, wherein G + is represented by formula 3:
Figure 109121364-A0305-02-0039-7
wherein in formula 3, each R is hydrogen, halogen, cyano, nitro, amino, substituted or unsubstituted C 1-30 alkyl, substituted or unsubstituted C 2-30 alkene base, substituted or unsubstituted C 2-30 alkynyl, substituted or unsubstituted C 1 -C 30 alkoxy, substituted or unsubstituted C 3 -C 30 cycloalkyl, substituted or unsubstituted or unsubstituted C 3 -C 30 cycloalkenyl, substituted or unsubstituted C 6 -C 30 aryl, substituted or unsubstituted C 6 -C 30 aryloxy, substituted or unsubstituted Substituted C 6 -C 30 thioaryl, substituted or unsubstituted C 7 -C 30 arylalkyl, wherein the groups R a are optionally attached to each other by a single bond or linking group, R b independently is substituted or unsubstituted C 1-30 alkyl, substituted or unsubstituted polycyclic or monocyclic C 3-30 cycloalkyl, substituted or unsubstituted polycyclic or monocyclic C 6 -30 aryl, each L is a single bond, substituted or unsubstituted C 1 -C 30 alkylene, substituted or unsubstituted C 2 -C 30 alkenylene, substituted or unsubstituted C 2 -C 30 alkynylene, substituted or unsubstituted C 3 -C 30 cycloalkenylene, substituted or unsubstituted C 3 -C 30 cyclo alkynylene, substituted or unsubstituted C 6 -C 30 arylidene, or substituted or unsubstituted C 6 -C 30 heteroaryl, in each of which at least one non-adjacent -CH 2 - group is considered Need to be -SO 2 -, -C(=O)-, -O-, -S-, -SO-, -C(=O)O-, -OC(=O)-, -C(=O) NR- or -NRC(=O)- substitution, wherein R is hydrogen or C 1 -C 10 alkyl or a combination thereof; n1 is an integer from 1 to 5, each n2 is an integer from 0 to 4, and the conditions are each The sum of n1 and n2 does not exceed 5.
如請求項1所述之抗反射塗料組成物,其中,G+由式4表示:
Figure 109121364-A0305-02-0040-8
其中在式4中,每個Ra係氫、鹵素、氰基、硝基、胺基、經取代或未經取代的C1-30烷基、經取代或未經取代的C2-30烯基、經取代或未經取代的C2-30炔基、經取代或未經取代的C1-C30烷氧基、經取代或未經取代的C3-C30環烷基、經取代或未經取代的C3-C30環烯基、經取代或未經取代的C6-C30芳基、經取代或未經取代的C6-C30芳氧基、經取代或未經取代的C6-C30硫芳基、經取代或未經取代的C7-C30芳基烷基,每個L係單鍵、經取代或未經取代的C1-C30伸烷基、經取代或未經取代的C2-C30伸烯基、經取代或未經取代的C2-C30伸炔基、經取代或未經取代的C3-C30環伸烯基、經取代或未經取代的C3-C30環伸炔基、經取代或未經取代的C6-C30伸芳基、或經取代或未經取代的C6-C30雜伸芳基,在該基團中的每一個中至少一個不相鄰的-CH2-基團視需要被-SO2-、-C(=O)-、-O-、-S-、-SO-、-C(=O)O-、-OC(=O)-、-C(=O)NR-或-NRC(=O)-替代,其中R係氫或C1-C10烷基或其組合;n1係1至5的整數,每個n2係0至4的整數,並且條件係每個n1和n2之和不超過5。
The anti-reflection coating composition of claim 1, wherein G + is represented by formula 4:
Figure 109121364-A0305-02-0040-8
wherein in Formula 4, each R is hydrogen, halogen, cyano, nitro, amino, substituted or unsubstituted C 1-30 alkyl, substituted or unsubstituted C 2-30 alkene base, substituted or unsubstituted C 2-30 alkynyl, substituted or unsubstituted C 1 -C 30 alkoxy, substituted or unsubstituted C 3 -C 30 cycloalkyl, substituted or unsubstituted or unsubstituted C 3 -C 30 cycloalkenyl, substituted or unsubstituted C 6 -C 30 aryl, substituted or unsubstituted C 6 -C 30 aryloxy, substituted or unsubstituted Substituted C 6 -C 30 thioaryl, substituted or unsubstituted C 7 -C 30 arylalkyl, each L is a single bond, substituted or unsubstituted C 1 -C 30 alkylene , substituted or unsubstituted C 2 -C 30 alkenylene, substituted or unsubstituted C 2 -C 30 alkynylene, substituted or unsubstituted C 3 -C 30 cycloalkenylene, Substituted or unsubstituted C 3 -C 30 cycloalkynylene, substituted or unsubstituted C 6 -C 30 aryl, or substituted or unsubstituted C 6 -C 30 heteroaryl , at least one non-adjacent -CH 2 - group in each of the groups is optionally replaced by -SO 2 -, -C(=O)-, -O-, -S-, -SO-, -C(=O)O-, -OC(=O)-, -C(=O)NR- or -NRC(=O)- substitution, where R is hydrogen or C 1 -C 10 alkyl or a combination thereof ; n1 is an integer from 1 to 5, each n2 is an integer from 0 to 4, and the condition is that the sum of each n1 and n2 does not exceed 5.
如請求項1所述之抗反射塗料組成物,其中,該氰尿素酸酯結構單元係衍生自由式5表示的化合物:
Figure 109121364-A0305-02-0041-9
其中在式5中, R2、R3、R4、和每個X獨立地是氫、經取代或未經取代的C1-30烷基、經取代或未經取代的C2-30烯基、經取代或未經取代的C2-30炔基、經取代或未經取代的C2-30烷醯基、經取代或未經取代的C1-C30烷氧基、經取代或未經取代的C1-C30硫烷基、經取代或未經取代的C1-C30烷基亞磺醯基、經取代或未經取代的C1-C30烷基磺醯基、-COOH、經取代或未經取代的C2-C30烷氧基羰基、經取代或未經取代的C7-C30烷基芳基、經取代或未經取代的C6-C30芳基、經取代或未經取代的C3-C30雜脂環族、或經取代或未經取代的C3-C30雜芳族基團,並且每個m1獨立地是1至10的整數。
The antireflection coating composition according to claim 1, wherein the cyanurate structural unit is derived from a compound represented by formula 5:
Figure 109121364-A0305-02-0041-9
wherein in Formula 5, R 2 , R 3 , R 4 , and each X are independently hydrogen, substituted or unsubstituted C 1-30 alkyl, substituted or unsubstituted C 2-30 alkene base, substituted or unsubstituted C 2-30 alkynyl, substituted or unsubstituted C 2-30 alkanol, substituted or unsubstituted C 1 -C 30 alkoxy, substituted or unsubstituted Unsubstituted C 1 -C 30 sulfanyl, substituted or unsubstituted C 1 -C 30 alkylsulfinyl, substituted or unsubstituted C 1 -C 30 alkylsulfonyl, -COOH, substituted or unsubstituted C 2 -C 30 alkoxycarbonyl, substituted or unsubstituted C 7 -C 30 alkylaryl, substituted or unsubstituted C 6 -C 30 aryl group, substituted or unsubstituted C3 - C30 heteroalicyclic, or substituted or unsubstituted C3 - C30 heteroaromatic group, and each m1 is independently an integer from 1 to 10 .
如請求項1所述之抗反射塗料組成物,其中,該氰尿素酸酯結構單元係衍生自由式6表示的化合物:
Figure 109121364-A0305-02-0042-10
其中在式6中,每個X'獨立地是氫、經取代或未經取代的C1-30烷基、經取代或未經取代的C2-30烯基、經取代或未經取代的C2-30炔基、經取代或未經取代的C2-30烷醯基、經取代或未經取代的C1-C30烷氧基、經取代或未經取代的C1-C30硫烷基、經取代或未經取代的C1-C30烷基亞磺醯基、經取代或未經取代的C1-C30烷基磺醯基、-COOH、經取代或未經取代的C2-C30烷氧基羰基、經取代或未經取代的C7-C30烷基芳基、經取代或未經取代的C6-C30芳基、經取代或未經取代的C3-C30雜脂環族、或經取代或未經取代的C3-C30雜芳族基團,並且每個m2獨立地是1至10的整數。
The antireflection coating composition according to claim 1, wherein the cyanurate structural unit is derived from a compound represented by formula 6:
Figure 109121364-A0305-02-0042-10
wherein in Formula 6, each X' is independently hydrogen, substituted or unsubstituted C 1-30 alkyl, substituted or unsubstituted C 2-30 alkenyl, substituted or unsubstituted C 2-30 alkynyl, substituted or unsubstituted C 2-30 alkanol, substituted or unsubstituted C 1 -C 30 alkoxy, substituted or unsubstituted C 1 -C 30 Sulfanyl, substituted or unsubstituted C 1 -C 30 alkylsulfinyl, substituted or unsubstituted C 1 -C 30 alkyl sulfonyl, -COOH, substituted or unsubstituted C 2 -C 30 alkoxycarbonyl, substituted or unsubstituted C 7 -C 30 alkylaryl, substituted or unsubstituted C 6 -C 30 aryl, substituted or unsubstituted C3 - C30 heteroalicyclic, or substituted or unsubstituted C3 - C30 heteroaromatic, and each m2 is independently an integer from 1 to 10.
如請求項1所述之抗反射塗料組成物,其中,該能夠使該光酸產生劑和該聚合物交聯的化合物由式7表示:
Figure 109121364-A0305-02-0043-11
其中在式7中,每個X',每個X",每個R5、R6和R7獨立地是氫、鹵素、羥基、經取代或未經取代的C1-30烷基、經取代或未經取代的C1-C30烷氧基、經取代或未經取代的C3-C30環烷基、經取代或未經取代的C7-C30芳基烷基,並且每個m2和每個m3獨立地是2至10的整數。
The antireflection coating composition of claim 1, wherein the compound capable of crosslinking the photoacid generator and the polymer is represented by Formula 7:
Figure 109121364-A0305-02-0043-11
wherein in formula 7, each X', each X", each R 5 , R 6 and R 7 are independently hydrogen, halogen, hydroxy, substituted or unsubstituted C 1-30 alkyl, Substituted or unsubstituted C 1 -C 30 alkoxy, substituted or unsubstituted C 3 -C 30 cycloalkyl, substituted or unsubstituted C 7 -C 30 arylalkyl, and each The m2 and each m3 are independently an integer from 2 to 10.
一種經塗覆的基底,其包括(a)基底;和(b)佈置在該基底上的如請求項1至7中任一項所述之抗反射塗料組成物的層。 A coated substrate comprising (a) a substrate; and (b) a layer of the antireflective coating composition of any one of claims 1 to 7 disposed on the substrate. 一種形成圖案之方法,其包括:(a)在基底上施用如請求項1至7中任一項所述之抗反射塗料組成物的層;(b)將所施用的抗反射塗料組成物固化以形成抗反射層;(c)在該抗反射層上形成光致抗蝕劑層;以及(d)將該光致抗蝕劑層圖案化並且將該圖案從該圖案化的光致抗蝕劑層轉印到該抗反射層上。 A method for forming a pattern, comprising: (a) applying a layer of the anti-reflection coating composition as described in any one of claims 1 to 7 on a substrate; (b) curing the applied anti-reflection coating composition to form an antireflection layer; (c) forming a photoresist layer on the antireflection layer; and (d) patterning the photoresist layer and removing the pattern from the patterned photoresist The agent layer is transferred onto the anti-reflection layer. 如請求項9所述之方法,其中,將該光致抗蝕劑層圖案化並且將該圖案從該圖案化的光致抗蝕劑層轉印到該抗反射層上包括:(d)(1)將該光致抗蝕劑層和該抗反射層暴露於活化輻射,以及(d)(2)將經暴露的光致抗蝕劑層和抗反射層用有機溶劑顯影劑顯影。 The method of claim 9, wherein patterning the photoresist layer and transferring the pattern from the patterned photoresist layer to the antireflective layer comprises: (d)( 1) exposing the photoresist layer and the antireflection layer to activating radiation, and (d) (2) developing the exposed photoresist layer and antireflection layer with an organic solvent developer.
TW109121364A 2019-07-22 2020-06-23 Antireflective coating composition including photoacid generator, coated substrate and method of forming pattern TWI776174B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/517,950 2019-07-22
US16/517,950 US11269252B2 (en) 2019-07-22 2019-07-22 Method for forming pattern using antireflective coating composition including photoacid generator

Publications (2)

Publication Number Publication Date
TW202104466A TW202104466A (en) 2021-02-01
TWI776174B true TWI776174B (en) 2022-09-01

Family

ID=74189983

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109121364A TWI776174B (en) 2019-07-22 2020-06-23 Antireflective coating composition including photoacid generator, coated substrate and method of forming pattern

Country Status (5)

Country Link
US (1) US11269252B2 (en)
JP (1) JP7171655B2 (en)
KR (2) KR20210011327A (en)
CN (1) CN112285998A (en)
TW (1) TWI776174B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220397827A1 (en) 2021-05-28 2022-12-15 Rohm And Haas Electronic Materials Korea Ltd. Composition for photoresist underlayer

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103869623A (en) * 2008-11-12 2014-06-18 Az电子材料美国公司 Coating composition
CN105223774A (en) * 2013-12-30 2016-01-06 罗门哈斯电子材料韩国有限公司 Adopt the pattern formation method comprising the antireflection coating composition of light acid producing agent
TW201914992A (en) * 2017-09-21 2019-04-16 南韓商羅門哈斯電子材料韓國公司 Antireflective compositions with thermal acid generators

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165697A (en) 1991-11-15 2000-12-26 Shipley Company, L.L.C. Antihalation compositions
US5886102A (en) 1996-06-11 1999-03-23 Shipley Company, L.L.C. Antireflective coating compositions
US5939236A (en) 1997-02-07 1999-08-17 Shipley Company, L.L.C. Antireflective coating compositions comprising photoacid generators
JP3901997B2 (en) * 2001-11-27 2007-04-04 富士通株式会社 Resist material, resist pattern and manufacturing method thereof, and semiconductor device and manufacturing method thereof
US9244352B2 (en) 2009-05-20 2016-01-26 Rohm And Haas Electronic Materials, Llc Coating compositions for use with an overcoated photoresist
JP5624872B2 (en) * 2010-12-20 2014-11-12 富士フイルム株式会社 Actinic ray-sensitive or radiation-sensitive resin composition, and resist film and pattern forming method using the composition
US8623589B2 (en) * 2011-06-06 2014-01-07 Az Electronic Materials Usa Corp. Bottom antireflective coating compositions and processes thereof
US8697336B2 (en) * 2011-12-15 2014-04-15 Az Electronic Materials Usa Corp. Composition for forming a developable bottom antireflective coating
US8900797B2 (en) * 2012-09-26 2014-12-02 Az Electronic Materials (Luxembourg) S.A.R.L. Developable bottom anti-reflective coating
KR102255221B1 (en) * 2013-12-27 2021-05-24 롬엔드하스전자재료코리아유한회사 Organic bottom antireflective coating composition for nanolithography
US11092894B2 (en) * 2014-12-31 2021-08-17 Rohm And Haas Electronic Materials Korea Ltd. Method for forming pattern using anti-reflective coating composition comprising photoacid generator
US11262656B2 (en) * 2016-03-31 2022-03-01 Rohm And Haas Electronic Materials Korea Ltd. Coating compositions for use with an overcoated photoresist
US10203602B2 (en) * 2016-09-30 2019-02-12 Rohm And Haas Electronic Materials Korea Ltd. Coating compositions for use with an overcoated photoresist
US11500291B2 (en) 2017-10-31 2022-11-15 Rohm And Haas Electronic Materials Korea Ltd. Underlying coating compositions for use with photoresists

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103869623A (en) * 2008-11-12 2014-06-18 Az电子材料美国公司 Coating composition
CN105223774A (en) * 2013-12-30 2016-01-06 罗门哈斯电子材料韩国有限公司 Adopt the pattern formation method comprising the antireflection coating composition of light acid producing agent
TW201914992A (en) * 2017-09-21 2019-04-16 南韓商羅門哈斯電子材料韓國公司 Antireflective compositions with thermal acid generators

Also Published As

Publication number Publication date
CN112285998A (en) 2021-01-29
US20210026242A1 (en) 2021-01-28
JP2021018429A (en) 2021-02-15
KR20230146503A (en) 2023-10-19
JP7171655B2 (en) 2022-11-15
US11269252B2 (en) 2022-03-08
TW202104466A (en) 2021-02-01
KR20210011327A (en) 2021-02-01

Similar Documents

Publication Publication Date Title
US9696629B2 (en) Photoresist pattern trimming compositions and methods
KR100826104B1 (en) High etch resistant hardmask composition having antireflective property and process of producing patterned materials by using the same
TW201639927A (en) Metal hardmask composition and processes for forming fine patterns on semiconductor substrates
CN104914672B (en) Bottom anti-reflection composition based on molecular glass containing polyhydroxy structure and application thereof
JP4831324B2 (en) Resist underlayer film forming composition containing sulfone
JP5913461B2 (en) Photoresist composition, coated substrate, and method of manufacturing electronic device
TW201616224A (en) Negative-tone resist compositions and multifunctional polymers therein
KR102484086B1 (en) Coating composition for photoresist underlayer
WO2014129393A1 (en) Active light sensitive or radiation sensitive resin composition, active light sensitive or radiation sensitive film, and pattern forming method
JPWO2019066000A1 (en) Lithography compositions, patterning methods, and compounds
JP7454618B2 (en) Photoresist Underlayer Composition
TWI411628B (en) Underlayer composition having anti-reflective property
KR20230146503A (en) Method for forming pattern using antireflective coating composition including photoacid generator
JP7204803B2 (en) Polymer, underlying coating composition containing same, and patterning method
KR20130012916A (en) Chemical amplification resist composition, and resist film, resist-coated mask blank, resist pattern forming method and photomask each using the composition
TWI443121B (en) Aromatic ring-containing compound for resist underlayer, resist underlayer composition including same, and method of patterning device using same
TWI491986B (en) Composition for hardmask, method of forming patterns using the same, and semiconductor integrated circuit device including the patterns
JP7189217B2 (en) Negative lift-off resist composition comprising alkali-soluble resin and cross-linking agent, and method for producing metal film pattern on substrate
TW201940967A (en) Composition, method for forming resist pattern and method for forming insulating film
WO2023132263A1 (en) Resist underlayer film formation composition, resist pattern formation method, formation method for resist underlayer film pattern, and pattern formation method
US20240061338A1 (en) Resist underlayer composition and method of forming patterns using the composition
JP2024061788A (en) Coated underlayer for overcoat photoresist
KR20230009399A (en) Compound and its preparation method, acid generator, composition, resist film, underlayer film, pattern formation method, and optical article
WO2023085414A1 (en) Polycyclic aromatic hydrocarbon-based photo-curable resin composition
JP2010276924A (en) Actinic-ray or radiation-sensitive resin composition and method of forming pattern using the same

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent