TWI543273B - Bonded semiconductor structures formed by methods of forming bonded semiconductor structures in 3d integration processes using recoverable substrates - Google Patents

Bonded semiconductor structures formed by methods of forming bonded semiconductor structures in 3d integration processes using recoverable substrates Download PDF

Info

Publication number
TWI543273B
TWI543273B TW101125167A TW101125167A TWI543273B TW I543273 B TWI543273 B TW I543273B TW 101125167 A TW101125167 A TW 101125167A TW 101125167 A TW101125167 A TW 101125167A TW I543273 B TWI543273 B TW I543273B
Authority
TW
Taiwan
Prior art keywords
substrate
semiconductor
processed
semiconductor structures
bonded
Prior art date
Application number
TW101125167A
Other languages
Chinese (zh)
Other versions
TW201308447A (en
Inventor
璧顏 阮
瑪麗姆 沙達卡
Original Assignee
索泰克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/206,280 external-priority patent/US8617925B2/en
Priority claimed from FR1157422A external-priority patent/FR2979167B1/en
Application filed by 索泰克公司 filed Critical 索泰克公司
Publication of TW201308447A publication Critical patent/TW201308447A/en
Application granted granted Critical
Publication of TWI543273B publication Critical patent/TWI543273B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05009Bonding area integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05025Disposition the internal layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80003Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/80006Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80053Bonding environment
    • H01L2224/80095Temperature settings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/95001Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips involving a temporary auxiliary member not forming part of the bonding apparatus, e.g. removable or sacrificial coating, film or substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06572Auxiliary carrier between devices, the carrier having an electrical connection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12041LED
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12043Photo diode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/146Mixed devices
    • H01L2924/1461MEMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3511Warping

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

在三度空間集積製程中使用可回收底材形成之接合半導體構造 Bonded semiconductor construction using a recyclable substrate in a three-dimensional spatial accumulation process

本發明與利用三度空間集積(three-dimensional integration)技術形成鍵結半導體結構之方法及應用此等方法所形成之鍵結半導體結構有關。 The present invention relates to a method of forming a bonded semiconductor structure using a three-dimensional integration technique and a bonded semiconductor structure formed using the same.

兩個或更多個半導體結構的三度空間集積(3D integration)可替微電子應用帶來許多好處。舉例而言,微電子組件的三度空間集積可以改進電性能及功率消耗,同時減少元件所佔面積。相關資料可參見諸如P.Garrou等人所編之《The Handbook of 3D Integration》(Wiley-VCH出版,2008年)。 The three dimensional integration of two or more semiconductor structures can bring many benefits to microelectronic applications. For example, a three-dimensional spatial accumulation of microelectronic components can improve electrical performance and power consumption while reducing the area occupied by components. For related information, see The Handbook of 3D Integration, edited by P. Garrou et al. (Wiley-VCH Publishing, 2008).

半導體結構的三度空間集積可以透過以下方式或該些方式之組合而達到:將一半導體晶粒附著至其他的一個或多個半導體晶粒(亦即晶粒對晶粒(D2D)),將一半導體晶粒附著至一個或多個半導體晶圓(亦即晶粒對晶圓(D2W)),以及將一半導體晶圓附著至其他的一個或多個半導體晶圓(亦即晶圓對晶圓(W2W))。 The three-dimensional spatial accumulation of the semiconductor structure can be achieved by attaching a semiconductor die to other one or more semiconductor dies (ie, die-to-die (D2D)), A semiconductor die is attached to one or more semiconductor wafers (ie, die-to-wafer (D2W)), and a semiconductor wafer is attached to one or more other semiconductor wafers (ie, wafer-to-wafer Round (W2W)).

將一半導體結構鍵結至另一半導體結構所用之鍵結技術可以不同方式分類,一種是按兩個半導體結構間有無一層中間材料將兩者鍵結在一起而分類,第二種則是按鍵結界面是否允許電子(亦即電流)通過該界面而分類。所謂的「直接鍵結方法」,乃是在兩個半導體結構間建立直接的固體對固體化學鍵以將其鍵結在一起,無需在這兩個半導體結構間使用中間鍵結材料之方法。目前已發展出金屬對金屬之直接鍵結方法,可將一第一半導體結構中一表面上之金屬材料鍵結至一第二半導體結構中一表面上之金屬材料。 The bonding technique used to bond a semiconductor structure to another semiconductor structure can be classified in different ways. One is to classify the two semiconductor structures by bonding an intermediate material between the two semiconductor structures, and the second is a button junction. Whether the interface allows electrons (ie, current) to be classified through the interface. The so-called "direct bonding method" is a method of establishing a direct solid-to-solid chemical bond between two semiconductor structures to bond them together without using an intermediate bonding material between the two semiconductor structures. A metal-to-metal direct bonding method has been developed to bond a metal material on a surface of a first semiconductor structure to a metal material on a surface of a second semiconductor structure.

金屬對金屬之直接鍵結方法亦可以按各方法操作時的溫度範圍加以分類。例如,一些金屬對金屬之直接鍵結方法是在相對高溫下進行,因此會造成鍵結界面處之金屬材料至少有部分熔化。此等直接鍵結製程可能不適合用於鍵結含有一個或多個元件結構之已處理半導體結構,因其相對高溫可能對之前已形成之元件結構有不利影響。 The metal-to-metal direct bonding method can also be classified according to the temperature range at which each method operates. For example, some metal-to-metal direct bonding methods are performed at relatively high temperatures, thus causing at least partial melting of the metallic material at the bonding interface. Such direct bonding processes may not be suitable for bonding processed semiconductor structures containing one or more component structures, as their relatively high temperatures may adversely affect previously formed component structures.

「熱壓鍵結」方法乃是在介於攝氏200度(200℃)及大約攝氏500度(500℃)間之增溫環境下,通常為介於大約攝氏300度(300℃)及大約攝氏400度(400℃)之間,在鍵結表面間施加壓力之直接鍵結方法。 The "hot press bonding" method is performed at a temperature between 200 ° C (200 ° C) and approximately 500 ° C (500 ° C), usually between approximately 300 ° C (300 ° C) and approximately Celsius A direct bonding method of applying pressure between the bonding surfaces between 400 degrees (400 ° C).

其他直接鍵結方法目前也已發展出來,該些方法可以在攝氏200度(200℃)或更低之溫度下進行。對於在攝氏200度(200℃)或更低溫度下進行之此等直接鍵結製程,本說明書稱為「超低溫」直接鍵結方法。超低溫直接鍵結方法可以經由仔細移除表面雜質及表面化合物(例如原生氧化層),以及經由在原子級尺度上增加兩個表面間緊密接觸之面積而實施。兩個表面間緊密接觸之面積通常經由以下方式達成:研磨該些鍵結表面以降低其表面粗度至接近原子級尺度之數值、於鍵結表面間施加壓力以造成塑性形變、或同時研磨鍵結表面及施加壓力以達到此種塑性形變。 Other direct bonding methods have also been developed, which can be carried out at temperatures of 200 degrees Celsius (200 ° C) or lower. For such direct bonding processes performed at 200 degrees Celsius (200 ° C) or lower, this specification is referred to as an "ultra-low temperature" direct bonding process. The ultra-low temperature direct bonding process can be carried out by careful removal of surface impurities and surface compounds (eg, native oxide layers), and by increasing the area of intimate contact between the two surfaces on an atomic scale. The area of intimate contact between the two surfaces is typically achieved by grinding the bonding surfaces to reduce the surface roughness to a value close to the atomic scale, applying pressure between the bonding surfaces to cause plastic deformation, or simultaneously grinding the bonds. The surface of the junction and the application of pressure to achieve such plastic deformation.

一些超低溫直接鍵結方法之實施可以不需在鍵結表面間之鍵結界面施加壓力,但在其他超低溫直接鍵結方法中,為了在鍵結界面達到合適的鍵結強度,可以在鍵結表面間之鍵結界面施加壓力。在本發明所屬技術領域中,於鍵結表面間施加壓力之超低溫直接鍵結方法通常稱為「表面輔助鍵結(surface assisted bonding)」或「SAB」方法。因此在本說明書中,「表面輔助鍵結」及「SAB」係指並包括在攝氏200度(200℃)或更低之溫度下,將一第一材 料緊靠一第二材料,並在該些鍵結表面間之鍵結界面施加壓力,以使該第一材料直接鍵結至該第二材料之任何直接鍵結製程。 Some ultra-low temperature direct bonding methods can be applied without applying pressure at the bonding interface between the bonding surfaces, but in other ultra-low temperature direct bonding methods, in order to achieve a suitable bonding strength at the bonding interface, the bonding surface can be applied. Pressure is applied to the bonding interface between the two. In the technical field of the present invention, an ultra-low temperature direct bonding method for applying pressure between bonding surfaces is generally referred to as a "surface assisted bonding" or "SAB" method. Therefore, in this specification, "surface auxiliary bonding" and "SAB" mean and include a first material at a temperature of 200 degrees Celsius (200 ° C) or lower. The material abuts against a second material and applies pressure at the bonding interface between the bonding surfaces to directly bond the first material to any direct bonding process of the second material.

矽(Si)及玻璃底材普遍被認為是基底底材,可在其上製作半導體元件以實現高帶寬效能(bandwidth performance),亦可用於第一階層的異質三度空間整合(heterogeneous three-dimensional integration)。一般而言,中介層為包含多層材料的平面結構,在三度空間集積製程中,中介層會被插入兩個或更多個不同的晶粒及/或晶圓間。中介層會使用於三維積體電路(3D-IC)整合期間之中間處理步驟。發展矽中介層的主要原因在於對高密度之晶片對封裝互連(chip-to-package interconnect)的大量需求、熱膨脹係數(CTE)的匹配(例如矽上矽),以及對於將被動元件(例如電阻器、電感器等等)整合至中介層的重視。舉例而言,中介層除了包含去耦合電容器及電壓調節器外,還可包含穿透底材通孔(TSV)。此外,利用矽中介層亦可達到大幅減少外觀尺寸的目的。 Silicon (Si) and glass substrates are generally considered to be base substrates on which semiconductor components can be fabricated for high bandwidth performance and for heterogeneous three-dimensional integration of the first level. Integration). In general, the interposer is a planar structure comprising a plurality of layers of material that are interposed between two or more different dies and/or wafers in a three-dimensional spatial accumulation process. The interposer will be used for intermediate processing steps during the integration of the 3D integrated circuit (3D-IC). The main reason for the development of 矽 interposers is the high demand for high-density wafer-to-package interconnects, the matching of thermal expansion coefficients (CTE), and for passive components (eg The importance of integrating resistors, inductors, etc.) into the interposer. For example, the interposer may include a through substrate via (TSV) in addition to a decoupling capacitor and a voltage regulator. In addition, the use of the 矽 interposer can also achieve the purpose of greatly reducing the size of the appearance.

在矽中介層內形成穿透底材通孔(TSV)及在矽中介層上形成重分佈層(RDL)後,矽中介層通常便會被薄化。此等薄化製程常會涉及昂貴矽材料的損耗。此外,以銅填充的TSV層及RDL層也常隨著中介層被薄化。而在形成TSV層及RDL層後,以及在薄化中介層後,機械應變可能會在中介層內產生。此一應變可能造成中介層翹曲變形,從而導致中介層的斷裂或其他機械性損壞。翹曲變形的中介層也可能使裝配在中介層上的已知良品(KGD)翹曲變形,因而顯著影響製作在中介層上面或上方之可操作元件之良率。 After forming a through-substrate via (TSV) in the tantalum interposer and forming a redistribution layer (RDL) on the tantalum interposer, the tantalum interposer is typically thinned. Such thinning processes often involve the loss of expensive tantalum materials. In addition, the TSV layer and the RDL layer filled with copper are often thinned as the interposer is thinned. After forming the TSV layer and the RDL layer, and after thinning the interposer, mechanical strain may be generated in the interposer. This strain may cause warpage of the interposer, resulting in breakage or other mechanical damage of the interposer. The warp-deformed interposer may also warp the known good (KGD) assembled on the interposer, thereby significantly affecting the yield of the operative elements fabricated on or above the interposer.

本概要之提供旨在以簡要形式介紹一系列概念。該些概念將在本發明示範性實施例中進一步詳述。本概要之用意並非指出所主張專利標的之主要特點或基本特點,亦非用於限制所主張專利標的之範圍。 The purpose of this summary is to present a series of concepts in a concise form. These concepts are further detailed in the exemplary embodiments of the invention. This summary is not intended to identify key features or essential features of the claimed subject matter, and is not intended to limit the scope of the claimed subject matter.

在一些實施例中,本發明包含使用可回收底材之三度空間集積技術,並就克服因中介層內產生應變而普遍造成良率受限此一難題提供解決之道。此外,一些實施例可能涉及在三度空間集積製程中允許在低溫及低壓下將結構對準並鍵結起來之直接鍵結技術。 In some embodiments, the present invention encompasses a three-dimensional spatial accumulation technique using a recyclable substrate and provides a solution to overcome the problem of generally limited yield due to strain generated within the interposer. Moreover, some embodiments may involve direct bonding techniques that allow the structures to be aligned and bonded at low temperatures and low pressures in a three dimensional space accumulation process.

在一些實施例中,本發明包括形成鍵結半導體結構之方法。依照此等方法,可以提供一第一底材結構,使之在相對較厚之一底材本體上包含相對較薄之一材料層。形成多個穿透晶圓互連(through wafer interconnect)並使之貫穿該第一底材結構之較薄材料層。在該第一底材結構之較薄材料層相反於該較厚底材本體之一面,將至少一個已處理半導體結構鍵結在該較薄材料層上方,並使該至少一個已處理半導體結構之至少一個導電部件在電性上與該些穿透晶圓互連中至少一個穿透晶圓互連耦合。在該至少一個已處理半導體結構相反於該第一底材結構之一面,將一第二底材結構鍵結至該至少一個已處理半導體結構上方。移除該第一底材結構之較厚底材本體,留下該第一底材結構之較薄材料層鍵結至該至少一個已處理半導體結構。該些穿透晶圓互連中至少一個穿透晶圓互連可以在電性上耦合至另一結構之一導電部件。 In some embodiments, the invention includes a method of forming a bonded semiconductor structure. In accordance with such methods, a first substrate structure can be provided that includes a relatively thin layer of material on a relatively thick substrate body. A plurality of thinner material layers are formed through the through wafer interconnect and through the first substrate structure. At least one processed semiconductor structure is bonded over the thinner material layer and at least one of the at least one processed semiconductor structure is opposite to a thinner material layer of the first substrate structure opposite the one side of the thicker substrate body A conductive component is electrically coupled to at least one of the through-wafer interconnects of the through-wafer interconnects. A second substrate structure is bonded over the at least one processed semiconductor structure opposite the at least one processed semiconductor structure opposite the first substrate structure. The thicker substrate body of the first substrate structure is removed leaving a thinner layer of material of the first substrate structure bonded to the at least one processed semiconductor structure. At least one of the through-wafer interconnects of the through-wafer interconnects can be electrically coupled to one of the conductive features of the other structure.

在其他實施例中,本發明包括應用本說明書所揭露方法而形成之鍵結半導體結構。舉例而言,本發明之鍵結半導體結構之一實施例可以包含一第一底材結構,其包含貫穿一較薄材料層之多個穿透晶圓互連,以及被暫時鍵結至該材料層之一較厚底材本體。多個已處理半導體結構可以在電性上耦合至 該些穿透晶圓互連,且在該些已處理半導體結構相反於該第一底材結構之一面,一第二底材結構可以暫時鍵結在該些已處理半導體結構上方。 In other embodiments, the invention includes bonded semiconductor structures formed using the methods disclosed herein. For example, an embodiment of the bonded semiconductor structure of the present invention can include a first substrate structure including a plurality of through wafer interconnects through a thinner layer of material and temporarily bonded to the material One of the layers is a thicker substrate body. Multiple processed semiconductor structures can be electrically coupled to The through-wafer interconnects, and a second substrate structure may be temporarily bonded over the processed semiconductor structures, with the processed semiconductor structures being opposite one side of the first substrate structure.

本說明書提出之闡釋,其用意並非對任何特定半導體結構、元件、系統或方法之實際意見,而僅是用來描述本發明實施例之理想化陳述。 The description of the present specification is not intended to be an actual description of any particular semiconductor structure, component, system or method, but is merely an idealized description for describing embodiments of the invention.

本說明書所用任何標題不應認為其限制本發明實施例之範圍,該範圍係由以下申請專利範圍及其法律同等效力所界定。在任何特定標題下所敘述之概念,通常亦適用於整份說明書之其他部分。 The use of any headings in this specification should not be construed as limiting the scope of the embodiments of the invention, which is defined by the scope of the following claims and their legal equivalents. The concepts described under any particular heading also generally apply to the rest of the specification.

本說明書引用了一些參考資料,為所有目的,該些參考資料之完整揭露茲以此參照方式納入本說明書。而且,相對於本發明所主張之專利標的,該些引用參考資料不論本說明書如何描述其特點,均不予承認為習知技術。 This specification is hereby incorporated by reference. Moreover, the cited references are not to be construed as a prior art, regardless of the description of the features of the invention.

在本說明書中,「半導體結構」一詞係指並包括形成一半導體元件時所用之任何結構。舉例而言,半導體結構包括晶粒和晶圓(例如載體底材及元件底材),以及組裝結構或複合結構中含有在三度空間上彼此整合之兩個或更多個晶粒及/或晶圓者。半導體結構除包含半導體元件製作期間所形成之中間結構外,亦包含完全製作之半導體元件。 In the present specification, the term "semiconductor structure" means and includes any structure used in forming a semiconductor element. For example, a semiconductor structure includes a die and a wafer (eg, a carrier substrate and an element substrate), and the assembled structure or composite structure includes two or more dies that are integrated with each other in three dimensions and/or Wafer. The semiconductor structure includes, in addition to the intermediate structure formed during the fabrication of the semiconductor device, a completely fabricated semiconductor device.

在本說明書中,「已處理半導體結構」一詞係指並包括含有至少已局部形成之一個或多個元件結構之任何半導體結構。已處理半導體結構為半導體結構之一子集,所有已處理半導體結構均為半導體結構。 In the present specification, the term "processed semiconductor structure" means and includes any semiconductor structure containing at least one or more element structures that have been partially formed. The processed semiconductor structure is a subset of the semiconductor structure, and all of the processed semiconductor structures are semiconductor structures.

在本說明書中,「鍵結半導體結構」一詞係指並包括含有附著在一起之兩個或更多個半導體結構之任何結構。鍵結半導體結構為半導體結構之一子 集,所有鍵結半導體結構均為半導體結構。此外,含有一個或多個已處理半導體結構之鍵結半導體結構,亦為已處理半導體結構。 In the present specification, the term "bonded semiconductor structure" means and includes any structure containing two or more semiconductor structures attached together. Bonded semiconductor structure is one of semiconductor structures The set, all bonded semiconductor structures are semiconductor structures. In addition, bonded semiconductor structures containing one or more processed semiconductor structures are also processed semiconductor structures.

在本說明書中,「元件結構」一詞係指並包括一已處理半導體結構之任何部分,該部分乃是、包含或定義出一半導體元件中一主動或被動組件之至少一部分,而該半導體元件則是待形成於該半導體結構上方或之中。舉例而言,元件結構包含積體電路之主動及被動組件,像是電晶體、換能器、電容、電阻、導電線、導電通孔及導電接觸墊。 In the present specification, the term "elemental structure" means and includes any portion of a processed semiconductor structure that is, comprises or defines at least a portion of an active or passive component of a semiconductor component, and the semiconductor component It is then formed above or in the semiconductor structure. For example, the component structure includes active and passive components of the integrated circuit, such as transistors, transducers, capacitors, resistors, conductive lines, conductive vias, and conductive contact pads.

在本說明書中,「穿透晶圓互連」或「TWI」一詞係指並包括穿透一第一半導體結構至少一部分之任何導電通孔,其跨越該第一半導體結構與一第二半導體結構間之一界面,在該第一半導體結構與該第二半導體結構間提供一結構上及/或電性上之互連。在本發明所屬技術領域中,穿透晶圓互連亦有其他名稱,像是「穿透矽通孔(through silicon vias)」、「穿透底材通孔(through substrate vias)」、「穿透晶圓通孔(through wafer vias)」,或前述名稱之英文簡稱,譬如「TSV」或「TWV」。穿透晶圓互連穿透一半導體結構之方向,通常大致垂直於該半導體結構中大致平坦之該些主要表面(亦即平行於「Z」軸之方向)。 In the present specification, the term "through-wafer interconnect" or "TWI" means and includes any conductive via that penetrates at least a portion of a first semiconductor structure, spanning the first semiconductor structure and a second semiconductor An interface between the structures provides a structural and/or electrical interconnection between the first semiconductor structure and the second semiconductor structure. In the technical field of the present invention, there are other names for penetrating wafer interconnects, such as "through silicon vias", "through substrate vias", and "through". Through-wafer vias, or the abbreviation of the above name, such as "TSV" or "TWV". The direction through which the through-wafer interconnect penetrates a semiconductor structure is generally substantially perpendicular to the substantially planar major surfaces of the semiconductor structure (ie, parallel to the "Z" axis).

依照本發明一些實施例,可回收底材結構被暫時鍵結至半導體結構,並用於形成鍵結半導體結構。在形成鍵結半導體結構製程之不同時刻,該些可回收底材結構會從該些半導體結構移除。 In accordance with some embodiments of the present invention, a recyclable substrate structure is temporarily bonded to a semiconductor structure and used to form a bonded semiconductor structure. The recyclable substrate structures are removed from the semiconductor structures at different times during the formation of the bonded semiconductor structure.

在整個製程階段期間,該些可回收底材結構可為中介層提供支撐。此外,使可回收底材結構及中介層間之可鍵解界面受到操控,以控制該TSV之寬高比及中介層之最終厚度(亦即較薄之中介層會造成較低之TSV寬高比)。 The recyclable substrate structures provide support for the interposer throughout the processing stage. In addition, the bondable interface between the recyclable substrate structure and the interposer is manipulated to control the aspect ratio of the TSV and the final thickness of the interposer (ie, a thinner interposer results in a lower TSV aspect ratio) ).

圖1A至1C呈現一底材結構120(圖1C)之製作,該底材結構可為本發明一些實施例所採用。參照圖1A,提供一底材結構100,使其在相對較厚之一底材本體104上包含相對較薄之一材料層102。在一些實施例中,該底材結構100可以包含平均直徑為數百公釐或更大之一晶圓級底材。作為非限制性質之範例,該較薄材料層102所具有之平均厚度可以為大約200微米(200 μm)或更薄、大約100微米(100 μm)或更薄,或甚至大約50微米(50 μm)或更薄。該較厚底材本體104所具有之平均厚度,舉例而言,可以介於大約300微米(μm)至750微米或以上。 1A through 1C illustrate the fabrication of a substrate structure 120 (Fig. 1C) that may be employed in accordance with some embodiments of the present invention. Referring to FIG. 1A, a substrate structure 100 is provided that includes a relatively thin layer of material 102 on a relatively thick substrate body 104. In some embodiments, the substrate structure 100 can comprise a wafer level substrate having an average diameter of hundreds of meters or more. As an example of non-limiting properties, the thinner material layer 102 can have an average thickness of about 200 microns (200 μm) or less, about 100 microns (100 μm) or less, or even about 50 microns (50 μm). ) or thinner. The thicker substrate body 104 has an average thickness, for example, of between about 300 micrometers (μm) and 750 micrometers or more.

該較薄材料層102可以包含一種半導體材料,例如矽或鍺。此種半導體材料可以為多晶或至少實質上由單晶材料組成,且此種半導體材料可以為有摻雜或無摻雜。在其他實施例中,該較薄材料層102可以包含一種陶瓷材料,例如一種氧化物(例如氧化矽(SiO2)、氧化鋁(Al2O3)等等)、一種氮化物(例如氮化矽(Si3N4)、氮化硼(BN)等等),或一種氮氧化物(例如氮氧化矽(SiON))。 The thinner material layer 102 can comprise a semiconductor material such as tantalum or niobium. Such a semiconductor material may be polycrystalline or at least substantially composed of a single crystal material, and such semiconductor material may be doped or undoped. In other embodiments, the thinner material layer 102 may comprise a ceramic material such as an oxide (eg, yttrium oxide (SiO 2 ), aluminum oxide (Al 2 O 3 ), etc.), a nitride (eg, nitride). Niobium (Si 3 N 4 ), boron nitride (BN), etc., or an oxynitride (such as lanthanum oxynitride (SiON)).

該較厚底材本體104所具有之組成可以不同於該較薄材料層102之組成,但其本身可以包含上文中關於該較薄材料層102所述之一種半導體材料或一種陶瓷材料。在其他實施例中,該較厚底材本體104可以包含一種金屬或金屬合金。 The thicker substrate body 104 can have a composition different from that of the thinner material layer 102, but can itself comprise a semiconductor material or a ceramic material as described above with respect to the thinner material layer 102. In other embodiments, the thicker substrate body 104 can comprise a metal or metal alloy.

在一些實施例中,該較薄材料層102可以利用暫時性鍵結技術暫時附著至該較厚底材本體104,例如在2010年7月15日以Sadaka等人之名提出之美國專利申請案12/837,326號中所揭露之技術,該申請案全部內容茲以此參照方式納入本說明書。 In some embodiments, the thinner material layer 102 can be temporarily attached to the thicker substrate body 104 using a temporary bonding technique, such as U.S. Patent Application Serial No. 12, filed on Jul. 15, 2010, in the name of Sadaka et al. The technology disclosed in /837,326, the entire contents of which is incorporated herein by reference.

該較厚底材本體104可包含該底材結構100中可回收及可再利用之一部分,如下文所詳述。 The thicker substrate body 104 can comprise a portion of the substrate structure 100 that is recyclable and reusable, as described in more detail below.

參照圖1B,多個穿透晶圓互連112可以形成並貫穿該較薄材料層102,以形成圖1B之底材結構110。形成該些穿透晶圓互連112之各種製程已為本發明所屬技術領域所知,且可以為本發明之實施例所採用。作為非限制性之一範例,可以在該較薄材料層102之曝露主要表面上方提供帶有圖案之一遮罩層。該圖案遮罩層可以包含多個孔隙,該些孔隙在欲形成貫穿該較薄材料層102之該些穿透晶圓互連112之位置處貫穿該圖案遮罩層。接著可以利用一種蝕刻製程(例如各向異性濕式化學蝕刻製程,或各向異性乾式反應離子蝕刻製程)蝕刻出貫穿該較薄材料層102之通孔。另一範例可包含在該較薄材料層102之曝露主要表面上方進行雷射鑽孔以形成通孔。形成該些通孔後,便可將該圖案遮罩層移除,然後以一種或多種導電之金屬或金屬合金(例如銅或一種銅合金),或以多晶矽,填充該些通孔,以形成該些穿透晶圓互連112。舉例而言,物理氣相沉積(PVD)製程、化學氣相沉積(CVD)製程、無電電鍍製程及電解電鍍製程其中一種或多種可用於在該些通孔中提供導電材料並形成該些穿透晶圓互連112。 Referring to FIG. 1B, a plurality of through wafer interconnects 112 may be formed and penetrated through the thinner material layer 102 to form the substrate structure 110 of FIG. 1B. The various processes for forming the through-wafer interconnects 112 are known in the art to which the present invention pertains and may be employed in embodiments of the present invention. As a non-limiting example, a mask layer with a pattern may be provided over the exposed major surface of the thinner material layer 102. The patterned mask layer can include a plurality of apertures that extend through the pattern mask layer at locations where the through wafer interconnects 112 are to be formed through the thinner material layer 102. The vias through the thinner material layer 102 can then be etched using an etch process such as an anisotropic wet chemical etch process or an anisotropic dry reactive ion etch process. Another example may include performing a laser drilling over the exposed major surface of the thinner material layer 102 to form a via. After forming the via holes, the pattern mask layer can be removed, and then the via holes are filled with one or more conductive metals or metal alloys (such as copper or a copper alloy) or polycrystalline germanium to form The through wafer interconnects 112. For example, one or more of a physical vapor deposition (PVD) process, a chemical vapor deposition (CVD) process, an electroless plating process, and an electrolytic plating process can be used to provide conductive materials in the vias and form the vias. Wafer interconnect 112.

形成貫穿該較薄材料層102之該些穿透晶圓互連112後,便可以在該較薄材料層102相反於該較厚底材本體104之一面,將一個或多個重分佈層(RDL)122形成於該較薄材料層102上方,以形成圖1C所示之底材結構120。如同在本發明所屬技術領域中已知,重分佈層可以用於重新分佈一第一結構或元件之電性部件之位置,以容納所要耦合之另一結構或元件上之導電部件模式。換言之,一重分佈層可以在其第一面具有一第一導電部件模式,並在與該第一面相反之第二面具有不同之第二導電部件模式。如圖1C所示,該重分佈層122可以包含多個導電部件124,該些導電部件配置在一介電材料126內並被該介電材料圍繞。該些導電部件124可以包含導電墊、橫向延伸之導電線或導電跡線,及縱向延伸之導電通孔其中一種或多種。此外,該重分佈層122可以包含一層一層依序覆蓋而形成之多層,其中每一層皆包含導電部件124及介電材料126,且某一層中的導電部件124可以與相鄰層之導電部件124有直接的物理接觸及電性接觸,這樣該重分佈層122之該些導電部件124便會從該重分佈層122之一面,連續穿過該介電材料126,延伸到該重分佈層122之相反面。在該重分佈層122與該較薄材料層102及該些穿透晶圓互連112相鄰之那一面,該重分佈層122中該些導電部件124所配置之模式,可以與該些穿透晶圓互連112所配置之模式互補,這樣該些穿透晶圓互連112便會與該重分佈層122中該些對應導電部件124有直接的物理接觸及電性接觸。如上文所述,該重分佈層122中該些導電部件124之模式可以從該重分佈層122之一面,跨越該重分佈層122之厚度,重分佈至該重分佈層122之另一面。 After forming the through-wafer interconnects 112 through the thinner material layer 102, one or more redistribution layers (RDL) may be applied to the thinner material layer 102 opposite one of the thicker substrate bodies 104. 122 is formed over the thinner material layer 102 to form the substrate structure 120 shown in FIG. 1C. As is known in the art to which the present invention pertains, a redistribution layer can be used to redistribute the position of an electrical component of a first structure or component to accommodate a pattern of conductive features on another structure or component to be coupled. In other words, a redistribution layer may have a first conductive component pattern in its first mask and a second, different conductive component pattern on a second side opposite the first surface. As shown in FIG. 1C, the redistribution layer 122 can include a plurality of electrically conductive features 124 disposed within and surrounded by a dielectric material 126. The conductive features 124 can include one or more of a conductive pad, laterally extending conductive or conductive traces, and longitudinally extending conductive vias. In addition, the redistribution layer 122 may comprise a plurality of layers formed by sequentially covering one layer, wherein each layer includes a conductive member 124 and a dielectric material 126, and the conductive member 124 in one layer may be connected to the conductive member 124 of the adjacent layer. There is direct physical contact and electrical contact, such that the conductive members 124 of the redistribution layer 122 extend from the surface of the redistribution layer 122 continuously through the dielectric material 126 to the redistribution layer 122. The opposite side. On the side of the redistribution layer 122 adjacent to the thinner material layer 102 and the through-wafer interconnects 112, the patterns of the conductive members 124 in the redistribution layer 122 can be matched with the patterns The patterns of the through-wafer interconnects 112 are complementary such that the through-wafer interconnects 112 have direct physical and electrical contact with the corresponding conductive features 124 of the redistribution layer 122. As described above, the pattern of the conductive members 124 in the redistribution layer 122 may be redistributed from one surface of the redistribution layer 122 across the thickness of the redistribution layer 122 to the other side of the redistribution layer 122.

該重分佈層122可提供形成定制化佈線模式(customized routing pattern)之可能性。舉例而言,定制化重分佈層所形成之佈線模式,可以為一已處理半導體結構或多個結構上之金屬化層之佈線模式之鏡像,而該已處理半導體結構或多個結構稍後將鍵結在該較薄材料層102表面上。 The redistribution layer 122 can provide the possibility of forming a customized routing pattern. For example, the wiring pattern formed by the customized redistribution layer may be a mirror image of a processed semiconductor structure or a plurality of structural metallization layers, and the processed semiconductor structure or structures will be later Bonded to the surface of the thinner material layer 102.

該重分佈層122還可提供「扇入」及/或「扇出」之可能性。舉例而言,在有扇入重分佈層之情況下,除因元件結構之鄰近效應而造成之其他限制外,元件結構(例如一晶片元件)會限制可供接點及被動元件結構使用之面積。在有扇出重分佈層之情況下,扇入限制會被消除,從而為使用標準CMOS後段製程之佈線提供變通性。在此等重分佈層中形成之被動元件可以利用重分佈層中可供使用之厚金屬及低k介電材料。因此,與製作在元件結構(例如一晶片元件)上之被動元件相較,在此等重分佈層中形成之被動元件會展現更佳之性能特徵。 The redistribution layer 122 may also provide the possibility of "fan-in" and/or "fan-out". For example, in the case of a fan-in redistribution layer, in addition to other limitations due to the proximity effects of the component structure, the component structure (eg, a wafer component) limits the area available for the contact and passive component structures. . In the case of a fan-out redistribution layer, the fan-in limit is eliminated, providing flexibility for routing using standard CMOS back-end processes. Passive components formed in such redistribution layers can utilize thick metal and low-k dielectric materials available in the redistribution layer. Thus, passive components formed in such redistribution layers exhibit better performance characteristics than passive components fabricated on component structures, such as a wafer component.

參照圖1D,形成該重分佈層122之後,便可以在該底材結構120之較薄材料層102相反於該較厚底材本體104之一面,將至少一個已處理半導體結構132A鍵結在該較薄材料層102上方,以形成圖1D之結構130。舉例而言,該至少一個已處理半導體結構132A可以直接鍵結至該重分佈層122,如圖1D所示。 Referring to FIG. 1D, after the redistribution layer 122 is formed, at least one processed semiconductor structure 132A can be bonded to the thinner material layer 102 of the substrate structure 120 opposite to one of the thicker substrate bodies 104. Above the thin material layer 102 to form the structure 130 of Figure 1D. For example, the at least one processed semiconductor structure 132A can be directly bonded to the redistribution layer 122, as shown in FIG. 1D.

在一些實施例中,可以在該底材結構120之較薄材料層102相反於該較厚底材本體104之一面,將多個已處理半導體結構132A、132B、132C鍵結至該較薄材料層102上方之重分佈層122,如圖1D所示。該些已處理半導體結構132A、132B、132C可以沿著一共同平面在橫向上並列配置,該共同平 面被定向為平行於該第一底材結構120之一主要表面,如圖1D所示。換言之,該些已處理半導體結構132A、132B、132C中的每一個可以在該底材結構120上佔據一不同區域,且從其所在位置可以畫出一平面,其平行於該第一底材結構120之一主要表面,而該主要表面係穿過該些已處理半導體結構132A、132B、132C中每個已處理半導體結構。 In some embodiments, a plurality of processed semiconductor structures 132A, 132B, 132C can be bonded to the thinner material layer on a side of the thinner material layer 102 of the substrate structure 120 opposite one of the thicker substrate body 104. The redistribution layer 122 above 102 is as shown in FIG. 1D. The processed semiconductor structures 132A, 132B, 132C may be juxtaposed in a lateral direction along a common plane, the common flat The face is oriented parallel to one of the major surfaces of the first substrate structure 120, as shown in Figure ID. In other words, each of the processed semiconductor structures 132A, 132B, 132C can occupy a different area on the substrate structure 120, and a plane can be drawn from its position parallel to the first substrate structure. One of the major surfaces of 120 passes through each of the processed semiconductor structures 132A, 132B, 132C.

該些已處理半導體結構132A、132B、132C其中一個或多個可以包含像是半導體晶粒(由矽或其他半導體材料製成),亦可以包含電子信號處理器、記憶元件、微機電系統(MEMS)及光電元件(例如發光二極體、雷射、光電二極體、太陽能電池等等)其中一種或多種。 One or more of the processed semiconductor structures 132A, 132B, 132C may comprise, for example, semiconductor dies (made of germanium or other semiconductor materials), and may also include electronic signal processors, memory elements, MEMS (MEMS) And one or more of photovoltaic elements (eg, light-emitting diodes, lasers, photodiodes, solar cells, etc.).

將該些已處理半導體結構132A、132B、132C鍵結至該底材結構120時,可以使該些已處理半導體結構132A、132B、132C之導電部件134在電性上與該重分佈層122之導電部件124及貫穿該較薄材料層102之該些穿透晶圓互連112耦合。 When the processed semiconductor structures 132A, 132B, and 132C are bonded to the substrate structure 120, the conductive members 134 of the processed semiconductor structures 132A, 132B, and 132C can be electrically connected to the redistribution layer 122. Conductive features 124 and the through-wafer interconnects 112 that extend through the thinner material layer 102 are coupled.

將該些已處理半導體結構132A、132B、132C鍵結至該底材結構120所用之鍵結製程,可以在大約400℃或更低之一個或多個溫度下進行。在一些實施例中,可以利用在大約400℃或更低之一個或多個溫度下實施之一種熱壓直接鍵結製程,將該些已處理半導體結構132A、132B、132C鍵結至該底材結構120。在其他實施例中,可以利用在大約200℃或更低之一個或多個溫度下實施之一種超低溫直接鍵結製程,將該些已處理半導體結構132A、132B、132C鍵結至該底材結構120。在一些例子中,該鍵結製程可以在大約為室溫之溫度下實施。在此等較低溫度下進行鍵結製程,可以避免在無意間 損壞該些已處理半導體結構132A、132B、132C中的元件結構。此外,在一些實施例中,該鍵結製程可以包含一表面輔助鍵結製程。該直接鍵結製程可以包含氧化物對氧化物(例如二氧化矽對二氧化矽)之直接鍵結製程,及/或金屬對金屬(例如銅對銅)之直接鍵結製程。 The bonding process used to bond the processed semiconductor structures 132A, 132B, 132C to the substrate structure 120 can be performed at one or more temperatures of about 400 ° C or less. In some embodiments, the processed semiconductor structures 132A, 132B, 132C can be bonded to the substrate using a hot press direct bonding process implemented at one or more temperatures of about 400 ° C or less. Structure 120. In other embodiments, the processed semiconductor structures 132A, 132B, 132C can be bonded to the substrate structure using an ultra-low temperature direct bonding process implemented at one or more temperatures of about 200 ° C or less. 120. In some examples, the bonding process can be carried out at a temperature of about room temperature. Bonding at these lower temperatures can avoid unintentional The component structures in the processed semiconductor structures 132A, 132B, 132C are damaged. Moreover, in some embodiments, the bonding process can include a surface assist bonding process. The direct bonding process can include a direct bonding process of an oxide to oxide (eg, ceria versus cerium oxide), and/or a direct bonding process of a metal to metal (eg, copper to copper).

在一些實施例中,可以利用一種或多種三度空間集積製程,將額外的已處理半導體結構堆疊在該些已處理半導體結構132A、132B、132C上方,並使其與該些已處理半導體結構132A、132B、132C在電性上及物理上耦合。茲將此等製程之範例參照圖1E至1H敘述如下。 In some embodiments, additional processed semiconductor structures may be stacked over the processed semiconductor structures 132A, 132B, 132C and associated with the processed semiconductor structures 132A using one or more three-dimensional spatial accumulation processes. 132B and 132C are electrically and physically coupled. An example of such processes is described below with reference to Figures 1E through 1H.

參照圖1E,將該些已處理半導體結構132A、132B、132C鍵結至該底材結構120後,便可以將一種低應變介電材料138沉積在該些已處理半導體結構132A、132B、132C上方及四周,以形成圖1E之結構140。該介電材料138可以包含,舉例而言,一種聚合物材料或一種氧化物材料(例如氧化矽),且該介電材料138可以利用諸如一種旋轉塗佈製程、一種化學氣相沉積(CVD)製程或一種物理氣相沉積(PVD)製程加以沉積。 Referring to FIG. 1E, after the processed semiconductor structures 132A, 132B, 132C are bonded to the substrate structure 120, a low strain dielectric material 138 can be deposited over the processed semiconductor structures 132A, 132B, 132C. And around to form the structure 140 of FIG. 1E. The dielectric material 138 can comprise, for example, a polymeric material or an oxide material (e.g., yttria), and the dielectric material 138 can utilize, for example, a spin coating process, a chemical vapor deposition (CVD). A process or a physical vapor deposition (PVD) process is deposited.

該介電材料138可以保形(conformal)方式沉積在圖1D之結構130上方,以使該介電材料138之曝露主要表面139包含高峰及低谷。該些高峰可位於該些已處理半導體結構132A、132B、132C上方,該些低谷可位於該些已處理半導體結構132A、132B、132C之間區域上方方,如圖1E所示。 The dielectric material 138 can be deposited in a conformal manner over the structure 130 of FIG. 1D such that the exposed major surface 139 of the dielectric material 138 includes peaks and valleys. The peaks may be located above the processed semiconductor structures 132A, 132B, 132C, which may be located above the area between the processed semiconductor structures 132A, 132B, 132C, as shown in FIG. 1E.

參照圖1F,該介電材料138之曝露主要表面139可以予以平坦化,且該介電材料138之一部分可加以移除,以使該些已處理半導體結構132A、132B、132C穿過該介電材料138曝露出來,並形成圖1F所示之結構150。 舉例而言,可以利用一種化學蝕刻製程(乾式或濕式)、一種機械研磨製程,或一種化學機械研磨(CMP)製程,使該介電材料138之曝露主要表面139平坦化、移除該介電材料138之一部分,並使該些已處理半導體結構132A、132B、132C穿過該介電材料138曝露出來。 Referring to FIG. 1F, the exposed major surface 139 of the dielectric material 138 can be planarized, and a portion of the dielectric material 138 can be removed to pass the processed semiconductor structures 132A, 132B, 132C through the dielectric. Material 138 is exposed and forms structure 150 as shown in FIG. 1F. For example, a chemical etching process (dry or wet), a mechanical polishing process, or a chemical mechanical polishing (CMP) process can be used to planarize the exposed major surface 139 of the dielectric material 138 and remove the dielectric. A portion of the electrical material 138 and exposes the processed semiconductor structures 132A, 132B, 132C through the dielectric material 138.

在一些實施例中,該些已處理半導體結構132A、132B、132C可以包含高度不同之已處理半導體結構。在此種情況下,可以對該介電材料138進行平坦化,使高度最大的已處理半導體結構曝露出來,接著再進行晶粒薄化及介電研磨之結合,以使該結構150變得平坦。 In some embodiments, the processed semiconductor structures 132A, 132B, 132C can comprise processed semiconductor structures of different heights. In this case, the dielectric material 138 can be planarized to expose the highest processed semiconductor structure, followed by a combination of grain thinning and dielectric polishing to flatten the structure 150. .

如圖1G所示,額外之多個穿透晶圓互連162可以形成並至少局部穿過該些已處理半導體結構132A、132B、132C,以形成該結構160。所形成之該些額外穿透晶圓互連162可以從該些已處理半導體結構132A、132B、132C之曝露主要表面貫穿該些已處理半導體結構132A、132B、132C,而延伸至該些已處理半導體結構132A、132B、132C內之導電部件134。該些穿透晶圓互連162可以如前文關於形成該些穿透晶圓互連112所述而形成。但該些製程之溫度可被限制在大約400℃或更低,以免損及該些已處理半導體結構132A、132B、132C內之元件結構。 As shown in FIG. 1G, an additional plurality of through wafer interconnects 162 can be formed and at least partially passed through the processed semiconductor structures 132A, 132B, 132C to form the structure 160. The additional through-wafer interconnects 162 formed may extend from the exposed major surfaces of the processed semiconductor structures 132A, 132B, 132C through the processed semiconductor structures 132A, 132B, 132C to the processed Conductive features 134 within semiconductor structures 132A, 132B, 132C. The through wafer interconnects 162 can be formed as described above with respect to forming the through wafer interconnects 112. However, the temperature of the processes can be limited to about 400 ° C or less to avoid damaging the component structures within the processed semiconductor structures 132A, 132B, 132C.

參照圖1H,形成該些額外穿透晶圓互連162之後,便可以利用上文中關於圖1D至1G所述之該些製程提供額外之已處理半導體結構132D、132E、132F,使之在縱向上位於該些已處理半導體結構132A、132B、132C上方,以形成圖1H所示之鍵結半導體結構170。作為一範例,一已處理半導體結構132D可以直接鍵結至該已處理半導體結構132A,一已處理半導體結構132E可以直接鍵結至該已處理半導體結構132B,且一已處理半導體結構132F可 以直接鍵結至該已處理半導體結構132C。該些鍵結製程之溫度可以限制在大約400℃或更低,以免損及該些已處理半導體結構132A至132F內之元件結構,且該些鍵結製程可以包含一種非熱壓直接鍵結製程或一種超低溫直接鍵結製程。此外,在一些實施例中,該些直接鍵結製程可以包含表面輔助鍵結製程。 Referring to FIG. 1H, after forming the additional through-wafer interconnects 162, additional processed semiconductor structures 132D, 132E, 132F may be provided in the vertical direction using the processes described above with respect to FIGS. 1D through 1G. The upper portion is over the processed semiconductor structures 132A, 132B, 132C to form the bonded semiconductor structure 170 shown in FIG. 1H. As an example, a processed semiconductor structure 132D can be directly bonded to the processed semiconductor structure 132A, a processed semiconductor structure 132E can be directly bonded to the processed semiconductor structure 132B, and a processed semiconductor structure 132F can be Direct bonding to the processed semiconductor structure 132C. The bonding process temperature may be limited to about 400 ° C or lower to avoid damaging the component structures in the processed semiconductor structures 132A to 132F, and the bonding processes may include a non-hot pressing direct bonding process. Or an ultra-low temperature direct bonding process. Moreover, in some embodiments, the direct bonding processes can include surface assisted bonding processes.

在此組構中,該些已處理半導體結構132D、132E、132F沿著被定向為垂直於該第一底材結構120中該些主要表面之直線,在縱向上分別配置在該些已處理半導體結構132A、132B、132C上方。舉例而言,該已處理半導體結構132A及該已處理半導體結構132D係沿著被定向為垂直於該第一底材結構120中該些主要表面之一條共同線,在縱向上配置成一個在上一個在下。換言之,從該已處理半導體結構132A及該已處理半導體結構132D配置之方式可以畫出一條共同線,該共同線會穿過該已處理半導體結構132A及該已處理半導體結構132D而垂直於該第一底材結構120之該些主要表面。 In this configuration, the processed semiconductor structures 132D, 132E, 132F are respectively disposed in the longitudinal direction of the processed semiconductors along a line oriented perpendicular to the major surfaces of the first substrate structure 120. Above the structures 132A, 132B, 132C. For example, the processed semiconductor structure 132A and the processed semiconductor structure 132D are oriented along a common line perpendicular to one of the major surfaces of the first substrate structure 120, and are disposed one above the other in the longitudinal direction. One is below. In other words, a common line can be drawn from the processed semiconductor structure 132A and the processed semiconductor structure 132D, the common line passing through the processed semiconductor structure 132A and the processed semiconductor structure 132D perpendicular to the first The major surfaces of a substrate structure 120.

將該些已處理半導體結構132D、132E、132F鍵結至該些已處理半導體結構132A、132B、132C之後,便可以形成額外之穿透晶圓互連172使其至少局部穿過該些已處理半導體結構132D、132E、132F。所形成之該些額外穿透晶圓互連172可以從該些已處理半導體結構132D、132E、132F之曝露主要表面貫穿該些已處理半導體結構132D、132E、132F,而延伸至該些穿透晶圓互連162或該些已處理半導體結構132A、132B、132C之其他導電部件。該些穿透晶圓互連172可以依照前文關於形成該些穿透晶圓互連112之敘述而形成。但該些製程之溫度可被限制在大約400℃或更低,以免損及該些已處理半導體結構132A至132F內之元件結構。 After the processed semiconductor structures 132D, 132E, 132F are bonded to the processed semiconductor structures 132A, 132B, 132C, an additional through wafer interconnect 172 can be formed to at least partially pass through the processed Semiconductor structures 132D, 132E, 132F. The additional through-wafer interconnects 172 formed may extend from the exposed major surfaces of the processed semiconductor structures 132D, 132E, 132F through the processed semiconductor structures 132D, 132E, 132F to the penetrations Wafer interconnect 162 or other conductive features of the processed semiconductor structures 132A, 132B, 132C. The through wafer interconnects 172 can be formed in accordance with the foregoing description of forming the through wafer interconnects 112. However, the temperature of the processes can be limited to about 400 ° C or less to avoid damaging the component structures within the processed semiconductor structures 132A through 132F.

上文所述與圖1D至1G有關之該些製程可以視需要重複一次或多次,以在三度空間集積製程中將任何數目之其他已處理半導體結構層在縱向上集積於該些已處理半導體結構132A至132F上方。 The processes described above in connection with Figures 1D through 1G may be repeated one or more times as needed to accumulate any number of other processed semiconductor structural layers in the longitudinal direction in the three-dimensional spatial accumulation process. Above the semiconductor structures 132A-132F.

參照圖1I,在該些已處理半導體結構132A至132F相反於該底材結構120之一面,可以將一第二底材結構182鍵結至該些已處理半導體結構132A至132F上方,以形成圖1I所示之鍵結半導體結構180。 Referring to FIG. 1I, a second substrate structure 182 may be bonded over the processed semiconductor structures 132A-132F to form a pattern on the surface of the processed semiconductor structures 132A-132F opposite to the substrate structure 120. The semiconductor structure 180 is bonded to 1I.

該第二底材結構182之組成可以至少實質上為均質,或者,該第二底材結構182可以包括一多層結構,而該多層結構包含具有不同組成之多個層。作為非限制性之一範例,該第二底材結構182可以包含一種半導體材料,例如矽或鍺。此種半導體材料可以為多晶或至少實質上由單晶材料組成,且此種半導體材料可以為有摻雜或無摻雜。在其他實施例中,該第二底材結構182可以包含一種陶瓷材料,例如一種氧化物(例如氧化矽(SiO2)、氧化鋁(Al2O3)等等)、一種氮化物(例如氮化矽(Si3N4)、氮化硼(BN)等等),或一種氮氧化物(例如氮氧化矽(SiON))。在一些實施例中,該第二底材結構182也可以包含一種金屬或金屬合金。 The composition of the second substrate structure 182 can be at least substantially homogeneous, or the second substrate structure 182 can comprise a multilayer structure comprising a plurality of layers having different compositions. As a non-limiting example, the second substrate structure 182 can comprise a semiconductor material such as tantalum or niobium. Such a semiconductor material may be polycrystalline or at least substantially composed of a single crystal material, and such semiconductor material may be doped or undoped. In other embodiments, the second substrate structure 182 may comprise a ceramic material such as an oxide (eg, yttrium oxide (SiO 2 ), aluminum oxide (Al 2 O 3 ), etc.), a nitride (eg, nitrogen). Hydrazine (Si 3 N 4 ), boron nitride (BN), etc., or an oxynitride (such as cerium oxynitride (SiON)). In some embodiments, the second substrate structure 182 can also comprise a metal or metal alloy.

該第二底材結構182所具有之平均厚度可以介於,舉例而言,大約1.5微米(μm)及數公分之間。 The second substrate structure 182 can have an average thickness of, for example, between about 1.5 micrometers (μm) and a few centimeters.

在一些實施例中,該第二底材結構182可以如前所述,利用諸如2010年7月15日以Sadaka等人之名提出之美國專利申請案12/837,326號中所揭露之技術,暫時附著至圖1H之半導體結構170。該第二底材結構182可以直接鍵結至該些已處理半導體結構132D至132F之介電材料174之該些曝露表 面及該些已處理半導體結構132D至132F中穿透晶圓互連172之該些曝露表面其中一個或多個。 In some embodiments, the second substrate structure 182 can be utilized as described above, using a technique such as that disclosed in U.S. Patent Application Serial No. 12/837,326, the entire entire entire entire entire entire entire- Attached to the semiconductor structure 170 of FIG. 1H. The second substrate structure 182 can be directly bonded to the exposure sheets of the dielectric material 174 of the processed semiconductor structures 132D-132F. One or more of the exposed surfaces of the processed semiconductor structures 132D-132F that penetrate the wafer interconnect 172.

參照圖1J,將該第二底材結構182暫時鍵結至該半導體結構170(圖1H)後,便可將該第一底材結構120之較厚底材本體104鍵解或以其他方式移除,留下該第一底材結構120之較薄材料層102及貫穿該材料層之該些穿透晶圓互連112鍵結至該重分佈層122及該些已處理半導體結構132A至132F。舉例而言,可以採取不會對該較厚底材本體104造成顯著或無法修復損壞之方式,將該較厚底材本體104從該較薄材料層102分離並回收。 Referring to FIG. 1J, after the second substrate structure 182 is temporarily bonded to the semiconductor structure 170 (FIG. 1H), the thicker substrate body 104 of the first substrate structure 120 can be bonded or otherwise removed. The thinner material layer 102 leaving the first substrate structure 120 and the through wafer interconnects 112 extending through the material layer are bonded to the redistribution layer 122 and the processed semiconductor structures 132A-132F. For example, the thicker substrate body 104 can be separated and recovered from the thinner material layer 102 in a manner that does not cause significant or unrepairable damage to the thicker substrate body 104.

作為一個選項,可以在每一穿透晶圓互連112之曝露端上提供一導電凸塊192,以形成圖1J之鍵結半導體結構190。該些導電凸塊192可以包含一種導電金屬或金屬合金,諸如可回流軟焊之合金,且該些導電凸塊192可以使該鍵結半導體結構190中該些穿透晶圓互連112在結構上及電性上易於與另一結構202之導電部件耦合,該另一結構202可以為更高階層之一底材或元件,或包含更高階層之一底材或元件。 As an option, a conductive bump 192 can be provided on the exposed end of each through wafer interconnect 112 to form the bonded semiconductor structure 190 of FIG. The conductive bumps 192 may comprise a conductive metal or metal alloy, such as a reflow solderable alloy, and the conductive bumps 192 may cause the through-wafer interconnects 112 in the bonded semiconductor structure 190 to be in the structure. It is electrically and electrically coupled to the conductive component of another structure 202, which may be one of the higher level substrates or components, or one of the higher level substrates or components.

例如,如圖1K所示,圖1J之鍵結半導體結構190可以在結構上及電性上耦合至該結構202。舉例而言,該結構202可以包含另一已處理半導體結構或一印刷電路板。如圖1J所示,該結構202可以包含多個導電部件204及一圍繞介電材料206。舉例而言,該些導電部件204可以包含鍵結墊。該些導電凸塊192可以對準並緊靠該些導電部件204。該些導電凸塊192可受熱以造成該些導電凸塊192之材料回流,之後,該材料可予以降溫並固化,從而形成該些穿透晶圓互連112與該結構202中該些導電部件204間之結構性及電性鍵結。 For example, as shown in FIG. 1K, the bonded semiconductor structure 190 of FIG. 1J can be structurally and electrically coupled to the structure 202. For example, the structure 202 can include another processed semiconductor structure or a printed circuit board. As shown in FIG. 1J, the structure 202 can include a plurality of conductive features 204 and a surrounding dielectric material 206. For example, the conductive members 204 can include a bond pad. The conductive bumps 192 can be aligned and abutted against the conductive features 204. The conductive bumps 192 can be heated to cause the materials of the conductive bumps 192 to reflow. Thereafter, the material can be cooled and cured to form the through-wafer interconnects 112 and the conductive features in the structure 202. 204 structural and electrical bonding.

參照圖1L,將該些穿透晶圓互連112在結構上及電性上耦合至該結構202之該些導電部件204後,便可移除該第二底材結構182(圖1K),以形成圖1L所示之鍵結半導體結構210。 Referring to FIG. 1L, after the through-wafer interconnects 112 are structurally and electrically coupled to the conductive features 204 of the structure 202, the second substrate structure 182 (FIG. 1K) can be removed. To form the bonded semiconductor structure 210 shown in FIG. 1L.

將該第一底材結構120之較厚底材本體104及該第二底材結構182從該鍵結半導體結構移除後,該較厚底材本體104及/或該第二底材結構182可予以回收並再利用。舉例而言,該較厚底材本體104及/或該第二底材結構182可在前述形成鍵結半導體結構(例如類似圖1L之鍵結半導體結構210之一鍵結半導體結構)之方法中再使用一次或多次。 After the thicker substrate body 104 and the second substrate structure 182 of the first substrate structure 120 are removed from the bonded semiconductor structure, the thicker substrate body 104 and/or the second substrate structure 182 can be Recycle and reuse. For example, the thicker substrate body 104 and/or the second substrate structure 182 can be formed in the foregoing method of forming a bonded semiconductor structure (eg, a bonded semiconductor structure similar to one of the bonded semiconductor structures 210 of FIG. 1L). Use one or more times.

圖1L之鍵結半導體結構210可視需要予以進一步處理,使之適合其預定用途。作為非限制性之一範例,可以在該鍵結半導體結構210之至少一部分之上方提供一種保護性之塗層或包覆材料,及/或在該結構202與各個導電凸塊192間及四周之材料層102間,提供一種保護性之鍵結材料。 The bonded semiconductor structure 210 of Figure 1L can be further processed as needed to suit its intended use. As a non-limiting example, a protective coating or cladding material may be provided over at least a portion of the bonded semiconductor structure 210, and/or between and around the structure 202 and each of the conductive bumps 192. Between the layers of material 102, a protective bonding material is provided.

在本發明之一些實施例中,於形成鍵結半導體結構方法期間,如本說明書所述被暫時鍵結至半導體結構並在最後從半導體結構移除之該些底材結構其中一個或多個可以包含一絕緣體上半導體(SeOI)底材,像是一絕緣體上矽(SOI)底材。 In some embodiments of the invention, one or more of the substrate structures temporarily bonded to the semiconductor structure and removed from the semiconductor structure as described herein during the method of forming the bonded semiconductor structure may A semiconductor-on-insulator (SeOI) substrate, such as a silicon-on-insulator (SOI) substrate, is included.

舉例而言,圖2A呈現可為本發明實施例採用之一絕緣體上半導體底材300之一範例。該絕緣體上半導體底材300包含一半導體材料層302,其配置在一介電絕緣層303上方,該介電絕緣層可以配置在相對較厚之一底材本體304上。在此等底材結構中,該絕緣層303通常稱為「埋置」層,例如「埋置氧化物」層。 For example, FIG. 2A presents an example of one of the semiconductor-on-insulator substrates 300 that may be employed in embodiments of the present invention. The semiconductor-on-insulator substrate 300 includes a layer of semiconductor material 302 disposed over a dielectric insulating layer 303, which may be disposed on a relatively thick substrate body 304. In such a substrate structure, the insulating layer 303 is commonly referred to as a "buried" layer, such as a "embedded oxide" layer.

相較於該較厚底材本體304,該半導體材料層302及該絕緣層303相對較薄。作為非限制性質之範例,該半導體材料層302所具有之平均厚度可以為大約10微米(10 μm)或更薄、大約100奈米(100 nm)或更薄,或甚至大約10奈米(10 nm)或更薄。該絕緣層303所具有之平均厚度可以為大約1微米(1 μm)或更薄、大約200奈米(200 nm)或更薄,或甚至大約10奈米(10 nm)或更薄。該較厚底材本體304所具有之平均厚度可以,舉例而言,介於大約750微米(μm)及數公分之間。 The semiconductor material layer 302 and the insulating layer 303 are relatively thinner than the thicker substrate body 304. As an example of non-limiting properties, the semiconductor material layer 302 can have an average thickness of about 10 microns (10 μm) or less, about 100 nanometers (100 nm) or less, or even about 10 nanometers (10). Nm) or thinner. The insulating layer 303 may have an average thickness of about 1 micrometer (1 μm) or less, about 200 nanometers (200 nm) or less, or even about 10 nanometers (10 nm) or less. The thicker substrate body 304 can have an average thickness, for example, between about 750 micrometers (μm) and a few centimeters.

該半導體材料層302可以包含一種半導體材料,例如矽或鍺。此種半導體材料可以為多晶或至少實質上由單晶材料組成,且此種半導體材料可以為有摻雜或無摻雜。該絕緣層303可以包含一種陶瓷材料,例如一種氧化物(例如氧化矽(SiO2)、氧化鋁(Al2O3)等等)、一種氮化物(例如氮化矽(Si3N4)、氮化硼(BN)等等),或一種氮氧化物(例如氮氧化矽(SiON))。該較厚底材本體304所具有之組成可以不同於該半導體材料層302及/或該絕緣層303之組成,但其本身可以包含上文敘述該半導體材料層302及該絕緣層303時提及之一種半導體材料或一種陶瓷材料。在其他實施例中,該較厚底材本體304可以包含一種金屬或金屬合金,不過以矽或表現出匹配CTE之另一種選定材料為佳。 The layer of semiconductor material 302 can comprise a semiconductor material such as tantalum or niobium. Such a semiconductor material may be polycrystalline or at least substantially composed of a single crystal material, and such semiconductor material may be doped or undoped. The insulating layer 303 may comprise a ceramic material such as an oxide (eg, yttrium oxide (SiO 2 ), aluminum oxide (Al 2 O 3 ), etc.), a nitride (eg, tantalum nitride (Si 3 N 4 ), Boron nitride (BN), etc., or an oxynitride (such as cerium oxynitride (SiON)). The thicker substrate body 304 may have a composition different from that of the semiconductor material layer 302 and/or the insulating layer 303, but may itself include the semiconductor material layer 302 and the insulating layer 303 described above. A semiconductor material or a ceramic material. In other embodiments, the thicker substrate body 304 may comprise a metal or metal alloy, although another material selected to exhibit a matching CTE is preferred.

參照圖2B,多個穿透晶圓互連312可以形成並貫穿該半導體材料層302,以形成圖2B所示之底材結構310,如前文參照圖1B討論穿透晶圓互連112時所述。形成貫穿該半導體材料層302之該些穿透晶圓互連312後,便可以如先前參照圖1C至1I之敘述,對該底材結構310進行處理,以形成圖 2C所示之鍵結半導體結構380。該鍵結半導體結構380實質上與圖1I之鍵結半導體結構180類似,但包含了其上有一重分佈層122之圖2B之底材結構310,以取代該第一底材結構120。 Referring to FIG. 2B, a plurality of through wafer interconnects 312 can be formed and penetrated through the layer of semiconductor material 302 to form the substrate structure 310 of FIG. 2B, as discussed above with respect to FIG. 1B through the wafer interconnect 112. Said. After forming the through-wafer interconnects 312 through the semiconductor material layer 302, the substrate structure 310 can be processed to form a pattern as previously described with reference to FIGS. 1C through 1I. The bonded semiconductor structure 380 shown in 2C. The bonded semiconductor structure 380 is substantially similar to the bonded semiconductor structure 180 of FIG. 1I, but includes the substrate structure 310 of FIG. 2B having a redistribution layer 122 thereon in place of the first substrate structure 120.

形成圖2C之鍵結半導體結構380後,便可如前文所述,將該絕緣層303及該底材本體304從該鍵結半導體結構380移除。該底材本體304可如前述,予以回收並再利用。移除該絕緣層303及該底材本體304後,便可如前文中參照圖1J至1L之敘述,對所獲得之鍵結半導體結構進行處理。 After forming the bonded semiconductor structure 380 of FIG. 2C, the insulating layer 303 and the substrate body 304 can be removed from the bonded semiconductor structure 380 as previously described. The substrate body 304 can be recovered and reused as described above. After the insulating layer 303 and the substrate body 304 are removed, the obtained bonded semiconductor structure can be processed as described above with reference to FIGS. 1J to 1L.

如前文中關於圖1K之敘述所提及,在一些實施例中,圖1J之鍵結半導體結構190所附著之額外結構202可包含另一已處理半導體結構。茲將此種方法之一範例參照圖3A至3D敘述如下。 As mentioned above with respect to the description of FIG. 1K, in some embodiments, the additional structure 202 to which the bonded semiconductor structure 190 of FIG. 1J is attached may comprise another processed semiconductor structure. An example of such a method is described below with reference to Figures 3A through 3D.

圖3A呈現一鍵結半導體結構400,其可如前文參照圖1I及1J所述,經由從該鍵結半導體結構180移除該第一底材結構120之底材本體104,但不在該些穿透晶圓互連112上提供該些導電凸塊192(圖1J)而形成。 3A illustrates a bonded semiconductor structure 400 that can be removed from the bonded substrate structure 180 by removing the substrate body 104 of the first substrate structure 120 as previously described with reference to FIGS. 1I and 1J. The conductive bumps 192 (FIG. 1J) are formed on the through-wafer interconnect 112.

參照圖3B,一額外已處理半導體結構412可以直接鍵結至該材料層102、該些穿透晶圓互連112,或該材料層102及該些穿透晶圓互連112兩者。 Referring to FIG. 3B, an additional processed semiconductor structure 412 can be directly bonded to the material layer 102, the through wafer interconnects 112, or both the material layer 102 and the through wafer interconnects 112.

作為非限制性質之範例,該額外已處理半導體結構412可包含一半導體晶粒,也可以包含電子信號處理器、記憶元件、及光電元件(例如發光二極體、雷射、光電二極體、太陽能電池等等)其中一種或多種。 As an example of non-limiting properties, the additional processed semiconductor structure 412 can include a semiconductor die, and can also include an electronic signal processor, a memory component, and a photovoltaic component (eg, a light emitting diode, a laser, a photodiode, One or more of solar cells, etc.).

將該額外已處理半導體結構412鍵結至該材料層102及/或該些穿透晶圓互連112所用之鍵結製程,可以在大約400℃或更低之一個或多個溫度下進行。在一些實施例中,該鍵結製程可以包含在大約400℃或更低之一個或多個溫度下實施之一種熱壓直接鍵結製程。在其他實施例中,該鍵結製程可以 包含在大約200℃或更低之一個或多個溫度下實施之一種超低溫直接鍵結製程。在一些例子中,該鍵結製程可以在大約為室溫之溫度下實施。此外,在一些實施例中,該鍵結製程可以包含一表面輔助鍵結製程。該直接鍵結製程可以包含氧化物對氧化物(例如二氧化矽對二氧化矽)之直接鍵結製程,及/或金屬對金屬(例如銅對銅)之直接鍵結製程。 Bonding the additional processed semiconductor structure 412 to the material layer 102 and/or the through wafer interconnects 112 can be performed at one or more temperatures of about 400 ° C or less. In some embodiments, the bonding process can comprise a hot press direct bonding process performed at one or more temperatures of about 400 ° C or less. In other embodiments, the bonding process can An ultra-low temperature direct bonding process carried out at one or more temperatures of about 200 ° C or less. In some examples, the bonding process can be carried out at a temperature of about room temperature. Moreover, in some embodiments, the bonding process can include a surface assist bonding process. The direct bonding process can include a direct bonding process of an oxide to oxide (eg, ceria versus cerium oxide), and/or a direct bonding process of a metal to metal (eg, copper to copper).

如圖3B所示,額外之穿透晶圓互連414可以形成並貫穿該額外已處理半導體結構412。該些額外穿透晶圓互連414可以在該額外已處理半導體結構412直接鍵結至該材料層102及/或該些穿透晶圓互連112之前或之後形成並貫穿該額外已處理半導體結構412。該些穿透晶圓互連414至少其中一些可以延伸至該材料層102中之穿透晶圓互連112,並在結構上及電性上與該些穿透晶圓互連112耦合。 As shown in FIG. 3B, an additional through wafer interconnect 414 can be formed through and through the additional processed semiconductor structure 412. The additional through wafer interconnects 414 can be formed and penetrate the additional processed semiconductor structure before or after the additional processed semiconductor structure 412 is directly bonded to the material layer 102 and/or the through wafer interconnects 112. Structure 412. At least some of the through-wafer interconnects 414 may extend through the through-wafer interconnects 112 in the material layer 102 and be structurally and electrically coupled to the through-wafer interconnects 112.

作為一個選項,可以依照前文參照圖1J關於該些導電凸塊192之敘述,在各穿透晶圓互連414之曝露端上提供一導電凸塊416,以形成圖3B之鍵結半導體結構410。 As an option, a conductive bump 416 may be provided on the exposed end of each through wafer interconnect 414 to form the bonded semiconductor structure 410 of FIG. 3B in accordance with the foregoing description of the conductive bumps 192 with reference to FIG. 1J. .

參照圖3C,圖3B之鍵結半導體結構410可以在結構上及電性上耦合至一結構422。舉例而言,該結構422可包含另一已處理半導體結構或一印刷電路板。如圖3C所示,該結構422可包含多個導電部件424及一圍繞介電材料426。舉例而言,該些導電部件424可以包含鍵結墊。該些導電凸塊416可以對準並緊靠該些導電部件424。該些導電凸塊416可受熱以造成該些導電凸塊416之材料回流,之後,該材料可予以降溫並固化,從而形成該些穿透晶圓互連414與該結構422中該些導電部件424間之結構性及電性鍵結。 Referring to FIG. 3C, the bonded semiconductor structure 410 of FIG. 3B can be structurally and electrically coupled to a structure 422. For example, the structure 422 can include another processed semiconductor structure or a printed circuit board. As shown in FIG. 3C, the structure 422 can include a plurality of conductive features 424 and a surrounding dielectric material 426. For example, the conductive members 424 can include a bond pad. The conductive bumps 416 can be aligned and abutted against the conductive features 424. The conductive bumps 416 can be heated to cause the materials of the conductive bumps 416 to reflow. Thereafter, the material can be cooled and cured to form the through-wafer interconnects 414 and the conductive features in the structure 422. 424 structural and electrical bonding.

參照圖3D,將該些穿透晶圓互連414在結構上及電性上耦合至該結構422之該些導電部件424後,該第二底材結構182(圖3C)便可從圖3D所示之鍵結半導體結構430移除。舉例而言,可利用一種機械分裂(mechanical splitting)製程、一種蝕刻製程,或此等製程之一組合,將該第二底材結構182移除,以形成該鍵結半導體結構430。 Referring to FIG. 3D, after the through-wafer interconnects 414 are structurally and electrically coupled to the conductive features 424 of the structure 422, the second substrate structure 182 (FIG. 3C) can be viewed from FIG. 3D. The bonded semiconductor structure 430 is shown removed. For example, the second substrate structure 182 can be removed using a mechanical splitting process, an etch process, or a combination of such processes to form the bonded semiconductor structure 430.

將該第一底材結構120之較厚底材本體104及該第二底材結構182皆從該鍵結半導體結構移除後,該較厚底材本體104及/或該第二底材結構182便可如前文所討論加以回收並再利用。 After the thicker substrate body 104 and the second substrate structure 182 of the first substrate structure 120 are removed from the bonded semiconductor structure, the thicker substrate body 104 and/or the second substrate structure 182 It can be recycled and reused as discussed above.

圖3D之鍵結半導體結構430可視需要予以進一步處理,使之適合其預定用途。作為非限制性之一範例,可以在該鍵結半導體結構430之至少一部分之上方提供一種保護性之塗層或包覆材料,及/或在該結構422與該些導電凸塊416間及其四周之已處理半導體結構412間,提供一種保護性之鍵結材料。 The bonded semiconductor structure 430 of Figure 3D can be further processed as needed to suit its intended use. As a non-limiting example, a protective coating or cladding material may be provided over at least a portion of the bonded semiconductor structure 430, and/or between the structure 422 and the conductive bumps 416 A protective bonding material is provided between the surrounding processed semiconductor structures 412.

依照上述該些方法,經由使該第二底材結構182保持鍵結至該些已處理半導體結構132A至132F,直到該些鍵結半導體結構200、420被鍵結至該些額外結構202、422後,可避免或減少在該些鍵結半導體結構中因諸如不同材料及元件之熱膨脹係數差異而可能造成之翹曲變形、破裂及其他損壞。 In accordance with the methods described above, the second substrate structure 182 is bonded to the processed semiconductor structures 132A-132F until the bonded semiconductor structures 200, 420 are bonded to the additional structures 202, 422. Thereafter, warpage, cracking, and other damage that may be caused by differences in thermal expansion coefficients of different materials and components in the bonded semiconductor structures may be avoided or reduced.

茲將本發明其他非限制性質之示範性實施例敘述如下。 Exemplary embodiments of other non-limiting properties of the invention are described below.

實施例1:一種形成鍵結半導體結構之方法,其包括:提供一第一底材結構,使之在相對較厚之一底材本體上包含相對較薄之一材料層;形成多個穿透晶圓互連,使之貫穿該第一底材結構之較薄材料層;在該第一底材結構之相對較薄材料層相反於該相對較厚底材本體之一面,將至少一個已處理半 導體結構鍵結在該相對較薄材料層上方,並使該至少一個已處理半導體結構中至少一個導電部件在電性上與該些穿透晶圓互連中至少一個穿透晶圓互連耦合;在該至少一個已處理半導體結構相反於該第一底材結構之一面,將一第二底材結構鍵結至該至少一個已處理半導體結構上方;移除該第一底材結構之相對較厚底材本體,並留下該第一底材結構之相對較薄材料層鍵結至該至少一個已處理半導體結構;以及使該些穿透晶圓互連中至少一個穿透晶圓互連在電性上耦合至另一結構之一導電部件。 Embodiment 1 : A method of forming a bonded semiconductor structure, comprising: providing a first substrate structure comprising a relatively thin layer of material on a relatively thick substrate body; forming a plurality of penetrations Wafer interconnecting through a thinner layer of material of the first substrate structure; at least one processed half of the relatively thinner material layer of the first substrate structure opposite one of the relatively thicker substrate bodies A conductor structure is bonded over the relatively thin layer of material and electrically coupling at least one of the at least one processed semiconductor structure to at least one of the through-wafer interconnects Bonding a second substrate structure over the at least one processed semiconductor structure at a side of the at least one processed semiconductor structure opposite the first substrate structure; removing the first substrate structure relatively Thick substrate body and leaving a relatively thin layer of material of the first substrate structure bonded to the at least one processed semiconductor structure; and interconnecting at least one of the through wafer interconnects Electrically coupled to one of the electrically conductive components of another structure.

實施例2:如實施例1之方法,其更包括在使該些穿透晶圓互連中至少一個穿透晶圓互連在電性上耦合至該另一結構之導電部件後,移除該第二底材結構。 Embodiment 2: The method of Embodiment 1, further comprising removing the at least one through-wafer interconnect of the through-wafer interconnects after electrically coupling to the conductive features of the other structure The second substrate structure.

實施例3:如實施例1或實施例2之方法,其中提供該第一底材結構更包括將該較薄材料層暫時鍵結至該較厚底材本體,且其中移除該第一底材結構之相對較厚底材本體並留下該第一底材結構之相對較薄材料層鍵結至該至少一個已處理半導體結構包括將該較厚底材本體從該較薄材料層分離。 Embodiment 3: The method of Embodiment 1 or Embodiment 2, wherein providing the first substrate structure further comprises temporarily bonding the thinner material layer to the thicker substrate body, and wherein the first substrate is removed Bonding a relatively thick substrate body of the structure and leaving a relatively thin layer of material of the first substrate structure to the at least one processed semiconductor structure includes separating the thicker substrate body from the thinner material layer.

實施例4:如實施例1至3中任一例之方法,其更包括在該第一底材結構之較薄材料層相反於該較厚底材本體之一面,將至少一個重分佈層形成於該較薄材料層上方後,再將該至少一個已處理半導體結構鍵結在該第一底材結構之較薄材料層上方,且其中將該至少一個已處理半導體結構鍵結在該第一底材結構之較薄材料層上方包含將該至少一個已處理半導體結構鍵結至該重分佈層。 The method of any one of embodiments 1 to 3, further comprising forming at least one redistribution layer on the one side of the thinner material layer of the first substrate structure opposite to the one side of the thicker substrate body After the thinner material layer is over, the at least one processed semiconductor structure is bonded over the thinner material layer of the first substrate structure, and wherein the at least one processed semiconductor structure is bonded to the first substrate Overlying the thinner layer of material comprises bonding the at least one processed semiconductor structure to the redistribution layer.

實施例5:如實施例1至4中任一例之方法,其中將該至少一個已處理半導體結構鍵結在該第一底材結構之較薄材料層上方包含在低於大約400℃ 之一個或多個溫度下,將該至少一個已處理半導體結構鍵結在該第一底材結構之較薄材料層上方。 The method of any one of embodiments 1 to 4, wherein the at least one processed semiconductor structure is bonded above the thinner material layer of the first substrate structure at less than about 400 ° C. The at least one processed semiconductor structure is bonded over the thinner layer of material of the first substrate structure at one or more temperatures.

實施例6:如實施例1至5中任一例之方法,其中將該至少一個已處理半導體結構鍵結在該第一底材結構之較薄材料層上方包含利用一超低溫直接鍵結製程將該至少一個已處理半導體結構鍵結在該第一底材結構之較薄材料層上方。 The method of any one of embodiments 1 to 5, wherein bonding the at least one processed semiconductor structure over the thinner material layer of the first substrate structure comprises using an ultra-low temperature direct bonding process At least one processed semiconductor structure is bonded over a thinner layer of material of the first substrate structure.

實施例7:如實施例1至6中任一例之方法,其中將該至少一個已處理半導體結構鍵結在該第一底材結構之較薄材料層上方包含將多個已處理半導體結構鍵結在該第一底材結構之較薄材料層上方。 The method of any one of embodiments 1 to 6, wherein bonding the at least one processed semiconductor structure over the thinner material layer of the first substrate structure comprises bonding a plurality of processed semiconductor structures Above the thinner material layer of the first substrate structure.

實施例8:如實施例7之方法,其中該些已處理半導體結構中至少一些已處理半導體結構係沿著一共同平面在橫向上一個接一個配置,該共同平面被定向為平行於該第一底材結構之一主要表面。 Embodiment 8: The method of Embodiment 7, wherein at least some of the processed semiconductor structures are arranged one after another in a lateral direction along a common plane, the common plane being oriented parallel to the first One of the main surfaces of the substrate structure.

實施例9:如實施例8之方法,其中該些已處理半導體結構中至少一些已處理半導體結構係沿著一共同線在縱向上一個疊一個配置,該共同線被定向為垂直於該第一底材結構之一主要表面。 The method of embodiment 8, wherein at least some of the processed semiconductor structures of the processed semiconductor structures are stacked one above the other along a common line, the common lines being oriented perpendicular to the first One of the main surfaces of the substrate structure.

實施例10:如實施例7之方法,其中該些已處理半導體結構中至少一些已處理半導體結構係沿著一共同線在縱向上一個疊一個配置,該共同線被定向為垂直於該第一底材結構之一主要表面。 Embodiment 10: The method of Embodiment 7, wherein at least some of the processed semiconductor structures of the processed semiconductor structures are stacked one above the other along a common line, the common lines being oriented perpendicular to the first One of the main surfaces of the substrate structure.

實施例11:如實施例1至10中任一例之方法,其更包括選定該另一結構,使之包含另一已處理半導體結構。 Embodiment 11: The method of any of embodiments 1 to 10, further comprising selecting the other structure to include another processed semiconductor structure.

實施例12:如實施例1至11中任一例之方法,其更包括選定該另一結構,使之包含一印刷電路板。 Embodiment 12: The method of any of embodiments 1 to 11, further comprising selecting the other structure to include a printed circuit board.

實施例13:如實施例1至12中任一例之方法,其更包括選定該第一底材結構,使之包含一絕緣體上半導體(SeOI)底材。 The method of any one of embodiments 1 to 12, further comprising selecting the first substrate structure to comprise a semiconductor-on-insulator (SeOI) substrate.

實施例14:如實施例13之方法,其更包括選定該第一底材結構,使之包含一絕緣體上矽(SOI)底材。 Embodiment 14: The method of Embodiment 13, further comprising selecting the first substrate structure to comprise a SOI substrate.

實施例15:如實施例1至14中任一例之方法,其更包括在將該至少一個已處理半導體結構鍵結在該第一底材結構之較薄材料層上方後,形成額外之多個穿透晶圓互連,使之貫穿該至少一個已處理半導體結構。 The method of any one of embodiments 1 to 14, further comprising forming an additional plurality after bonding the at least one processed semiconductor structure over the thinner material layer of the first substrate structure The wafer interconnect is penetrated through the at least one processed semiconductor structure.

實施例16:如實施例1至15中任一例之方法,其更包括在一種形成鍵結半導體結構之方法中再利用該第二底材結構及該第一底材結構之較厚底材本體至少其中之一。 The method of any one of embodiments 1 to 15, further comprising reusing the second substrate structure and the thicker substrate body of the first substrate structure in a method of forming a bonded semiconductor structure one of them.

實施例17:一鍵結半導體結構製作期間所形成之一中間結構,該中間結構包括:一第一底材結構,該第一底材結構包含:貫穿相對較薄之一材料層之多個穿透晶圓互連,以及被暫時鍵結至該材料層之相對較厚之一底材本體;在電性上耦合至該些穿透晶圓互連之多個已處理半導體結構;以及一第二底材結構,該第二底材結構在該些已處理半導體結構相反於該第一底材結構之一面,被暫時鍵結在該些已處理半導體結構上方。 Embodiment 17: An intermediate structure formed during fabrication of a bonding semiconductor structure, the intermediate structure comprising: a first substrate structure comprising: a plurality of layers extending through a relatively thin layer of material a through-wafer interconnect, and a relatively thick substrate body temporarily bonded to the material layer; electrically coupled to the plurality of processed semiconductor structures that penetrate the wafer interconnect; and a A second substrate structure, the second substrate structure being temporarily bonded over the processed semiconductor structures on the side of the processed semiconductor structures opposite one of the first substrate structures.

實施例18:如實施例17之中間結構,其中該第一底材結構包含一絕緣體上半導體(SeOI)底材。 Embodiment 18: The intermediate structure of Embodiment 17, wherein the first substrate structure comprises a semiconductor-on-insulator (SeOI) substrate.

實施例19:如實施例17或實施例18之中間結構,其中該較薄材料層具有大約100奈米(100 nm)或更薄之平均厚度。 Embodiment 19: The intermediate structure of Embodiment 17 or Embodiment 18, wherein the thinner material layer has an average thickness of about 100 nanometers (100 nm) or less.

實施例20:如實施例17至19中任一例之中間結構,其中該些已處理半導體結構中至少一些已處理半導體結構係沿著一共同平面在橫向上一個接一個配置,該共同平面被定向為平行於該第一底材結構之一主要表面。 Embodiment 20: The intermediate structure of any one of embodiments 17 to 19, wherein at least some of the processed semiconductor structures are arranged one after another in a lateral direction along a common plane, the common plane being oriented Is parallel to one of the major surfaces of the first substrate structure.

實施例21:如實施例17至20中任一例之中間結構,其中該些已處理半導體結構中至少一些已處理半導體結構係沿著一共同線在縱向上一個疊一個配置,該共同線被定向為垂直於該第一底材結構之一主要表面。 Embodiment 21: The intermediate structure of any one of embodiments 17 to 20, wherein at least some of the processed semiconductor structures of the processed semiconductor structures are stacked one above the other along a common line, the common lines being oriented Is perpendicular to one of the major surfaces of the first substrate structure.

上述該些示範性實施例並不會限制本發明之範圍,因為這些實施例僅為本發明實施例之範例,而本發明係由所附之申請專利範圍及其法律同等效力所定義。任何等同之實施例均在本發明之範圍內。事實上,對於本發明所屬技術領域具有通常知識者而言,除本說明書所示及所述者外,對於本發明之各種修改,例如替換所述元件之有用組合,都會因本說明書之敘述而變得顯而易見。換言之,本說明書所述任一示範性實施例之一項或多項特點,可以與本說明書所述另一示範性實施例之一項或多項特點結合,而成為本發明之額外實施例。此等修改及實施例亦落在本說明書所附之申請專利範圍內。 The above-described exemplary embodiments are not intended to limit the scope of the invention, as these embodiments are only examples of the embodiments of the invention, and the invention is defined by the scope of the appended claims and their legal equivalents. Any equivalent embodiments are within the scope of the invention. In fact, various modifications of the invention, such as a substitute for a useful combination of the elements, in addition to those shown and described herein, will be apparent from the description of the specification. Become obvious. In other words, one or more of the features of any one of the exemplary embodiments described herein may be combined with one or more features of another exemplary embodiment described herein as an additional embodiment of the invention. Such modifications and embodiments are also within the scope of the appended claims.

100、110、120、310‧‧‧底材結構 100, 110, 120, 310‧‧‧ substrate structure

102‧‧‧材料層 102‧‧‧Material layer

104、304‧‧‧厚底材本體 104, 304‧‧‧ Thick substrate body

112、162、172、312、414‧‧‧穿透晶圓互連 112, 162, 172, 312, 414‧‧‧through wafer interconnects

122‧‧‧重分佈層 122‧‧‧ redistribution layer

124、204‧‧‧導電部件 124, 204‧‧‧ conductive parts

126、138、174‧‧‧介電材料 126, 138, 174‧‧‧ dielectric materials

130、140、150、160、422‧‧‧結構 130, 140, 150, 160, 422‧‧‧ structures

132A、132B、132C、132D、132E、132F‧‧‧已處理半導體結構 132A, 132B, 132C, 132D, 132E, 132F‧‧‧ processed semiconductor structures

134、424‧‧‧導電部件 134, 424‧‧‧ conductive parts

139‧‧‧曝露主要表面 139‧‧‧Exposed main surface

170、180、190、200、210、380、410、420、430‧‧‧鍵結半導體結構 170, 180, 190, 200, 210, 380, 410, 420, 430‧‧ ‧ bonded semiconductor structures

182‧‧‧第二底材結構 182‧‧‧Second substrate structure

192、416‧‧‧導電凸塊 192, 416‧‧‧ conductive bumps

206、426‧‧‧圍繞介電材料 206, 426‧‧‧ Around dielectric materials

300‧‧‧絕緣體上半導體底材 300‧‧‧Insulator-on-semiconductor substrates

302‧‧‧半導體材料層 302‧‧‧Semiconductor material layer

303‧‧‧介電絕緣層 303‧‧‧Dielectric insulation

412‧‧‧額外已處理半導體結構 412‧‧‧Additional processed semiconductor structures

儘管本說明書以申請專利範圍作結,且該些申請專利範圍已具體指出並明確主張何謂可視為本發明實施例者,但配合所附圖式閱讀本發明實施例某些範例之敘述,將更容易明白本發明實施例之優點,在所附圖式中:圖1A至1L為半導體結構之簡化截面圖,其呈現一鍵結半導體結構依照本發明之示範性實施例形成; 圖2A至2C為半導體結構之簡化截面圖,其呈現本發明之鍵結半導體結構形成方法之其他實施例;以及圖3A至3D為半導體結構之簡化截面圖,其呈現本發明之鍵結半導體結構形成方法之進一步實施例。 While the specification has been described in the specification of the invention, and the claims of the invention are intended to be 1A to 1L are simplified cross-sectional views of a semiconductor structure, showing a bonded semiconductor structure formed in accordance with an exemplary embodiment of the present invention; 2A to 2C are simplified cross-sectional views of a semiconductor structure showing other embodiments of the method of forming a bonded semiconductor structure of the present invention; and Figs. 3A to 3D are simplified cross-sectional views of the semiconductor structure, showing the bonded semiconductor structure of the present invention Further embodiments of the method of formation.

100‧‧‧底材結構 100‧‧‧Material structure

102‧‧‧材料層 102‧‧‧Material layer

104‧‧‧厚底材本體 104‧‧‧ Thick substrate body

Claims (5)

在一鍵結半導體結構製作期間所形成之一中間結構,該中間結構包括:一第一底材結構,其包含:多個穿透晶圓互連,其貫穿相對較薄之一材料層;以及相對較厚之一底材本體,其被暫時鍵結至該相對較薄材料層;該第一底材結構之相對較薄材料層上方之至少一個重分佈層,其係在該第一底材結構之相對較薄材料層相反於該相對較厚底材本體之一面;多個已處理半導體結構,其在電性上耦合至該些穿透晶圓互連,其中該至少一個重分佈層位於該些穿透晶圓互連與該些已處理半導體結構之間;以及一第二底材結構,其在該些已處理半導體結構相反於該第一底材結構之一面被暫時鍵結在該些已處理半導體結構上方。 Forming an intermediate structure during fabrication of the bonding semiconductor structure, the intermediate structure comprising: a first substrate structure comprising: a plurality of through wafer interconnects extending through a relatively thin layer of material; a relatively thick substrate body temporarily bonded to the relatively thin material layer; at least one redistribution layer over the relatively thin material layer of the first substrate structure, attached to the first substrate a relatively thin layer of material opposite the one side of the relatively thick substrate body; a plurality of processed semiconductor structures electrically coupled to the through wafer interconnects, wherein the at least one redistribution layer is located Between the through wafer interconnects and the processed semiconductor structures; and a second substrate structure temporarily bonded to the processed semiconductor structures opposite one of the first substrate structures The semiconductor structure has been processed above. 如申請專利範圍第1項之中間結構,其中該第一底材結構包含一絕緣體上半導體(SeOI)底材。 The intermediate structure of claim 1, wherein the first substrate structure comprises a semiconductor-on-insulator (SeOI) substrate. 如申請專利範圍第1項之中間結構,其中該相對較薄材料層具有大約100奈米(100nm)或更薄之平均厚度。 The intermediate structure of claim 1, wherein the relatively thin material layer has an average thickness of about 100 nanometers (100 nm) or less. 如申請專利範圍第1項之中間結構,其中該些已處理半導體 結構中至少一些已處理半導體結構係沿著一共同平面在橫向上一個接一個配置,該共同平面被定向為平行於該第一底材結構之一主要表面。 Such as the intermediate structure of claim 1 of the patent scope, wherein the processed semiconductors At least some of the processed semiconductor structures in the structure are disposed one after another in a lateral direction along a common plane that is oriented parallel to one of the major surfaces of the first substrate structure. 如申請專利範圍第4項之中間結構,其中該些已處理半導體結構中至少一些已處理半導體結構係沿著一共同線在縱向上一個疊一個配置,該共同線被定向為垂直於該第一底材結構之一主要表面。 The intermediate structure of claim 4, wherein at least some of the processed semiconductor structures are stacked one above the other along a common line, the common line being oriented perpendicular to the first One of the main surfaces of the substrate structure.
TW101125167A 2011-08-09 2012-07-12 Bonded semiconductor structures formed by methods of forming bonded semiconductor structures in 3d integration processes using recoverable substrates TWI543273B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/206,280 US8617925B2 (en) 2011-08-09 2011-08-09 Methods of forming bonded semiconductor structures in 3D integration processes using recoverable substrates, and bonded semiconductor structures formed by such methods
FR1157422A FR2979167B1 (en) 2011-08-19 2011-08-19 FORMATION OF RELATED SEMICONDUCTOR STRUCTURES IN THREE DIMENSIONAL INTEGRATION PROCESSES USING RECOVERABLE SUBSTRATES

Publications (2)

Publication Number Publication Date
TW201308447A TW201308447A (en) 2013-02-16
TWI543273B true TWI543273B (en) 2016-07-21

Family

ID=46640068

Family Applications (2)

Application Number Title Priority Date Filing Date
TW105104354A TWI640044B (en) 2011-08-09 2012-07-12 Methods of forming bonded semiconductor structures in 3d integration processes using recoverable substrates
TW101125167A TWI543273B (en) 2011-08-09 2012-07-12 Bonded semiconductor structures formed by methods of forming bonded semiconductor structures in 3d integration processes using recoverable substrates

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW105104354A TWI640044B (en) 2011-08-09 2012-07-12 Methods of forming bonded semiconductor structures in 3d integration processes using recoverable substrates

Country Status (2)

Country Link
TW (2) TWI640044B (en)
WO (1) WO2013021255A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105849902B (en) * 2014-12-03 2019-04-30 英特尔公司 The method for manufacturing electronic packing piece

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050269680A1 (en) * 2004-06-08 2005-12-08 Min-Chih Hsuan System-in-package (SIP) structure and fabrication thereof
US7351608B1 (en) * 2004-08-19 2008-04-01 The United States Of America As Represented By The Director Of The National Security Agency Method of precisely aligning components in flexible integrated circuit module
US20090051046A1 (en) * 2007-08-24 2009-02-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method for the same
EP2104138A1 (en) * 2008-03-18 2009-09-23 EV Group E. Thallner GmbH Method for bonding chips onto a wafer

Also Published As

Publication number Publication date
WO2013021255A1 (en) 2013-02-14
TW201308447A (en) 2013-02-16
TWI640044B (en) 2018-11-01
TW201620047A (en) 2016-06-01

Similar Documents

Publication Publication Date Title
US8617925B2 (en) Methods of forming bonded semiconductor structures in 3D integration processes using recoverable substrates, and bonded semiconductor structures formed by such methods
US8637995B2 (en) Bonded semiconductor structures including two or more processed semiconductor structures carried by a common substrate
US20200168584A1 (en) Methods of forming bonded semiconductor structures, and semiconductor structures formed by such methods
TWI411084B (en) Semiconductor device and method for manufacturing the same
US7897428B2 (en) Three-dimensional integrated circuits and techniques for fabrication thereof
US8697493B2 (en) Bonding surfaces for direct bonding of semiconductor structures
TWI399827B (en) Method of forming stacked dies
US7955887B2 (en) Techniques for three-dimensional circuit integration
US8728863B2 (en) Methods of forming bonded semiconductor structures including interconnect layers having one or more of electrical, optical, and fluidic interconnects therein, and bonded semiconductor structures formed using such methods
JP2010225701A (en) Three-dimensional laminated semiconductor integrated circuit and method for manufacturing the same
US9455162B2 (en) Low cost interposer and method of fabrication
US20240021559A1 (en) First chip and wafer bonding method and chip stacking structure
KR101401584B1 (en) Improved bonding surfaces for direct bonding of semiconductor structures
TWI543273B (en) Bonded semiconductor structures formed by methods of forming bonded semiconductor structures in 3d integration processes using recoverable substrates
TWI573203B (en) Methods for fabrication of semiconductor structures including interposers with conductive vias, and related structures and devices
TWI517226B (en) Methods for directly bonding together semiconductor structures, and bonded semiconductor structures formed using such methods
TWI500123B (en) Methods of forming bonded semiconductor structures including interconnect layers having one or more of electrical, optical, and fluidic interconnects therein, and bonded semiconductor structures formed using such methods
CN114220745A (en) Back-to-face wafer-level hybrid bonding three-dimensional stacking method
FR2979167A1 (en) Forming bonded semiconductor structure, comprises e.g. forming through wafer interconnects through thin layer of material of first substrate structure, bonding processed semiconductor structure and electrically coupling conductive feature

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees