TWI517226B - Methods for directly bonding together semiconductor structures, and bonded semiconductor structures formed using such methods - Google Patents

Methods for directly bonding together semiconductor structures, and bonded semiconductor structures formed using such methods Download PDF

Info

Publication number
TWI517226B
TWI517226B TW101104599A TW101104599A TWI517226B TW I517226 B TWI517226 B TW I517226B TW 101104599 A TW101104599 A TW 101104599A TW 101104599 A TW101104599 A TW 101104599A TW I517226 B TWI517226 B TW I517226B
Authority
TW
Taiwan
Prior art keywords
layer
substrate
semiconductor
processed
semiconductor structure
Prior art date
Application number
TW101104599A
Other languages
Chinese (zh)
Other versions
TW201239970A (en
Inventor
瑪麗姆 沙達卡
Original Assignee
索泰克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/077,364 external-priority patent/US8338294B2/en
Priority claimed from FR1153080A external-priority patent/FR2973943B1/en
Application filed by 索泰克公司 filed Critical 索泰克公司
Publication of TW201239970A publication Critical patent/TW201239970A/en
Application granted granted Critical
Publication of TWI517226B publication Critical patent/TWI517226B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

形成包含由一共同底材承載之兩個或以上已處理半導體構造之黏附半導體構造之方法及應用此等方法所形成之半導體構造Method of forming an adherent semiconductor structure comprising two or more processed semiconductor structures carried by a common substrate and semiconductor structures formed using such methods

本發明之實施例一般而言係關於形成包含黏附至一共同底材之兩個或更多半導體構造之半導體裝置之方法,以及應用此等方法所形成之半導體裝置。Embodiments of the present invention generally relate to methods of forming semiconductor devices including two or more semiconductor structures bonded to a common substrate, and semiconductor devices formed using such methods.

兩個或更多半導體構造之三度空間集積(3D integration)可以替微電子應用帶來許多好處。舉例而言,微電子元件之三度空間集積可以改進電氣效能及電力消耗,同時減少裝置之底面積。相關資料可參見諸如P. Garrou等人所編之《The Handbook of 3D Integration》(Wiley-VCH出版,2008年)。Three-dimensional integration of two or more semiconductor constructions can bring many benefits to microelectronic applications. For example, a three-dimensional spatial accumulation of microelectronic components can improve electrical performance and power consumption while reducing the bottom area of the device. For related information, see The Handbook of 3D Integration, edited by P. Garrou et al. (Wiley-VCH Publishing, 2008).

半導體構造之三度空間集積可以透過以下方式或該些方式之組合而達到:將一半導體晶粒附著至額外的一個或更多半導體晶粒(亦即晶粒對晶粒(D2D)),將一半導體晶粒附著至一個或更多半導體晶圓(亦即晶粒對晶圓(D2W)),以及將一半導體晶圓附著至額外的一個或更多半導體晶圓(亦即晶圓對晶圓(W2W))。The three-dimensional spatial accumulation of the semiconductor structure can be achieved by attaching a semiconductor die to an additional one or more semiconductor dies (ie, die-to-die (D2D)), A semiconductor die attaches to one or more semiconductor wafers (ie, die-to-wafer (D2W)), and attaches a semiconductor wafer to an additional one or more semiconductor wafers (ie, wafer-to-wafer Round (W2W)).

通常的情況是,該些個別的半導體構造(例如晶粒或晶圓)可能相當薄,很難以處理半導體構造的設備處理。因此,可以將所謂的「載體」晶粒或晶圓附著至其中含有操作半導體裝置之主動及被動元件之實際半導體構造上。該些載體晶粒或晶圓通常不包含有待形成之半導體裝置之任何主動或被動元件。此等載體晶粒或晶圓在本說明書中稱為「載體底材」。該些載體底材增加了該些半導體構造之整體厚度,並有利於處理設備處理該些半導體構造(經由對相對較薄之該些半導體構造提供結構性支撐),該些處理設備係用於處理附著於該些半導體構造之主動及/或被動元件,該些半導體構造將包括有待製作於其上之一半導體裝置之主動及被動元件。在本說明書中,此等半導體構造,其最終將包含有待製作於其上之一半導體裝置之主動及/或被動元件,或在製程完成時最終將包含有待製作於其上之一半導體裝置之主動及/或被動元件,稱為「裝置底材」。Typically, these individual semiconductor structures (e.g., dies or wafers) can be quite thin and difficult to handle with devices that process semiconductor construction. Thus, so-called "carrier" dies or wafers can be attached to the actual semiconductor construction in which the active and passive components of the semiconductor device are contained. The carrier dies or wafers typically do not contain any active or passive components of the semiconductor device to be formed. Such carrier dies or wafers are referred to herein as "carrier substrates." The carrier substrates increase the overall thickness of the semiconductor structures and facilitate processing of the semiconductor structures by the processing device (via providing structural support to the relatively thin semiconductor structures) for processing Attached to the active and/or passive components of the semiconductor structures, the semiconductor structures will include active and passive components of a semiconductor device to be fabricated thereon. In the present specification, such semiconductor constructions will ultimately comprise active and/or passive components of a semiconductor device to be fabricated thereon, or will eventually include an active semiconductor device to be fabricated thereon upon completion of the process And/or passive components, referred to as "device substrates."

用於將一半導體構造黏附至另一半導體構造之黏附技術可以按不同方式加以分類,一種方式為按一層中間材料是否提供於該兩個半導體構造之間以將其黏附在一起而加以分類,第二種方式為按黏附界面是否允許電子(亦即電流)通過該界面而加以分類。所謂的「直接黏附方法」係指在兩個半導體構造之間建立直接的固體對固體化學鍵結以將其黏附在一起,而無需在該些半導體構造間使用一中介之黏附材料將其黏附在一起之方法。目前已發展出直接的金屬對金屬黏附方法,可將一第一半導體構造一表面的金屬材料黏附至一第二半導體構造一表面的金屬材料。Adhesion techniques for adhering a semiconductor structure to another semiconductor construction can be categorized in different ways, one way of classifying whether an intermediate material is provided between the two semiconductor structures to adhere them together, The two ways are to classify whether the bonding interface allows electrons (ie, current) to pass through the interface. By "direct adhesion method" is meant establishing a direct solid-to-solid chemical bond between two semiconductor structures to adhere them together without the need to bond them together using an intervening adhesion material between the semiconductor structures. The method. A direct metal-to-metal adhesion method has been developed to adhere a metal material of a surface of a first semiconductor structure to a metal material of a surface of a second semiconductor structure.

直接的金屬對金屬黏附方法亦可以按照各方法操作時的溫度範圍加以分類。例如,一些直接的金屬對金屬黏附方法是在相對高溫下進行,因而造成黏附界面處之金屬材料至少有部分熔化。此等直接黏附製程可能不適合用於黏附包含一個或更多裝置構造之已處理半導體構造,因其偏高之溫度可能對稍早前形成之該些裝置構造有不利影響。Direct metal-to-metal adhesion methods can also be classified according to the temperature range at which each method operates. For example, some direct metal-to-metal adhesion methods are performed at relatively high temperatures, thereby causing at least partial melting of the metallic material at the adhesion interface. Such direct adhesion processes may not be suitable for adhering processed semiconductor structures that include one or more device configurations, as their elevated temperatures may adversely affect the device configuration that was formed earlier.

「熱壓黏附」方法為在介於攝氏200度(200℃)及大約攝氏500度(500℃)間之高溫下,通常為介於大約攝氏300度(300℃)及大約攝氏400度(400℃)之間,於該些黏附表面間施加壓力之直接黏附方法。The "hot press adhesion" method is at a high temperature between 200 degrees Celsius (200 ° C) and about 500 degrees Celsius (500 ° C), usually between about 300 degrees Celsius (300 ° C) and about 400 degrees Celsius (400 Between °C), a direct adhesion method that applies pressure between the adhesion surfaces.

額外之直接黏附方法目前已發展出來,該些方法可以在攝氏200度(200℃)或更低之溫度下進行。對於在攝氏200度(200℃)或更低之溫度下進行之此等直接黏附製程,本說明書稱為「超低溫」直接黏附方法。超低溫直接黏附方法可以經由仔細移除表面雜質及表面化合物(例如原生氧化層),以及經由在原子級尺度上增加兩個表面間緊密接觸之面積而進行。兩個表面間緊密接觸之面積通常經由以下方式達成:研磨該些黏附表面以降低其表面粗度至接近原子級尺度之數值、於該些黏附表面間施加壓力以造成塑性變形、或既研磨該些黏附表面又對其施加壓力以達到此種塑性變形。Additional direct adhesion methods have been developed which can be carried out at temperatures of 200 degrees Celsius (200 ° C) or lower. For such direct adhesion processes performed at temperatures of 200 degrees Celsius (200 ° C) or lower, this specification is referred to as an "ultra-low temperature" direct adhesion process. The ultra-low temperature direct adhesion method can be carried out by carefully removing surface impurities and surface compounds (for example, native oxide layers), and by increasing the area of intimate contact between the two surfaces on an atomic scale. The area of intimate contact between the two surfaces is typically achieved by grinding the adhesion surfaces to reduce the surface roughness to a value close to the atomic scale, applying pressure between the adhesion surfaces to cause plastic deformation, or both grinding Some of the adhesive surfaces apply pressure to this plastic deformation.

一些超低溫直接黏附方法可以不需在該些黏附表面間之黏附界面施加壓力,但在其他超低溫直接黏附方法中,可以在該些黏附表面間之黏附界面施加壓力,以在該黏附界面達到合適的黏附強度。在本發明所屬之技術領域中,於該些黏附表面間施加壓力之超低溫直接黏附方法通常被稱為「表面輔助黏附」或「SAB」方法。因此,在本說明書中,「表面輔助黏附」及「SAB」係指並包括在攝氏200度(200℃)或更低之溫度下,將一第一材料緊靠一第二材料,並在該些黏附表面間之黏附界面施加壓力,以使該第一材料直接黏附至該第二材料之任何直接黏附製程。Some ultra-low temperature direct adhesion methods may not require application of pressure at the adhesion interface between the adhesion surfaces, but in other ultra-low temperature direct adhesion methods, pressure may be applied at the adhesion interface between the adhesion surfaces to achieve a suitable interface at the adhesion interface. Adhesion strength. In the art to which the present invention pertains, an ultra-low temperature direct adhesion method for applying pressure between the adhesion surfaces is generally referred to as a "surface assisted adhesion" or "SAB" method. Therefore, in the present specification, "surface-assisted adhesion" and "SAB" mean and include a first material against a second material at a temperature of 200 degrees Celsius (200 ° C) or lower, and The adhesion interface between the adhesion surfaces applies pressure to cause the first material to adhere directly to any direct adhesion process of the second material.

載體底材通常利用黏著劑附著至裝置底材。類似的黏附方法也可以用於將一半導體構造固定至另一半導體構造,該些半導體構造當中均包含一個或更多半導體裝置之主動及/或被動元件。The carrier substrate is typically attached to the device substrate using an adhesive. Similar adhesion methods can also be used to secure a semiconductor structure to another semiconductor structure that includes active and/or passive components of one or more semiconductor devices.

半導體晶粒具有之電氣連接可以與其所要連結之其他半導體構造之電氣連接不匹配。一中介層(interposer,亦即一額外構造)可以置於兩半導體構造間或任何半導體晶粒及一半導體封裝件之間,以重新佈線並使適當的電氣連接對準。該中介層可以具有一個或更多導電線路及通孔,該些線路及通孔係用於在該些所需之半導體構造間造成適當接觸。The electrical connections of the semiconductor die may not match the electrical connections of other semiconductor structures to which they are connected. An interposer (i.e., an additional configuration) can be placed between the two semiconductor structures or between any of the semiconductor dies and a semiconductor package for rewiring and aligning the appropriate electrical connections. The interposer can have one or more conductive traces and vias that are used to create proper contact between the desired semiconductor structures.

本發明之實施例可以提供形成含有由一共同底材承載之兩個或更多半導體構造之半導體裝置之方法及構造。一電氣連接可以透過該共同底材提供於該些半導體構造的其中兩個或多個之間。本概要係為了以簡要形式介紹一系列概念而提供,該些概念將於本發明之實施例中進一步詳細敘述。本概要之用意並非指出所主張專利標的之主要特點或基本特點,亦非用於限制所主張專利標的之範圍。Embodiments of the present invention may provide methods and configurations for forming semiconductor devices having two or more semiconductor structures carried by a common substrate. An electrical connection can be provided between the two or more of the plurality of semiconductor structures through the common substrate. This Summary is provided to introduce a series of concepts in a simplified form, which are further described in detail in the embodiments of the present invention. This summary is not intended to identify key features or essential features of the claimed subject matter, and is not intended to limit the scope of the claimed subject matter.

在一些實施例中,本發明包括形成一半導體裝置之方法。依照此等方法,可以提供一底材,該底材包括一層半導體材料在一層電氣絕緣材料上。在與該層電氣絕緣材料相反之該層半導體材料第一面,可以將含有複數個導電部件之第一金屬化層形成於該底材上。形成複數個晶圓間透通連結使之至少部分穿過該底材。該些晶圓間透通連結至少其中之一可以穿過該金屬化層及該層半導體材料。在與該層半導體材料第一面相反之該層半導體材料第二面,可以將含有複數個導電部件之第二金屬化層形成於該底材上。在由該底材承載於該層半導體材料第一面之一第一已處理半導體構造,以及由該底材承載於該層半導體材料第一面之一第二已處理半導體構造間,提供(例如形成)穿過該第一金屬化層、該底材及該第二金屬化層之一電氣路徑。In some embodiments, the invention includes a method of forming a semiconductor device. In accordance with such methods, a substrate can be provided which includes a layer of semiconductor material on a layer of electrically insulating material. A first metallization layer comprising a plurality of electrically conductive members may be formed on the first side of the layer of semiconductor material opposite the layer of electrically insulating material. A plurality of inter-wafer via connections are formed to at least partially pass through the substrate. At least one of the inter-wafer via connections may pass through the metallization layer and the layer of semiconductor material. A second metallization layer comprising a plurality of electrically conductive members may be formed on the substrate on a second side of the layer of semiconductor material opposite the first side of the layer of semiconductor material. Provided between the first processed semiconductor structure carried by the substrate on one of the first sides of the layer of semiconductor material, and by the substrate between one of the first processed semiconductor structures of the first side of the layer of semiconductor material (eg Forming an electrical path through the first metallization layer, the substrate, and the second metallization layer.

在額外之實施例中,本發明包括應用本說明書所述方法所形成之半導體構造。舉例而言,在額外之實施例中,本發明包含半導體裝置,其包括:包含一層半導體材料之一底材;該底材上之一第一金屬化層,其位於該層半導體材料之第一面;以及該底材上之一第二金屬化層,其位於與該層半導體材料第一面相反之該層半導體材料第二面。複數個晶圓間透通連結至少部分穿過該第一金屬化層及該底材之該層半導體材料。一第一已處理半導體構造可以由該底材承載於該層半導體材料之第一面,一第二已處理半導體構造亦可以由該底材承載於該層半導體材料之第一面。至少一個電氣路徑可以從該第一已處理半導體構造,穿過該第一金屬化層之一導電部件、該些晶圓間透通連結之一第一晶圓間透通連結、該第二金屬化層之一導電部件,及該些晶圓間透通連結之一第二晶圓間透通連結,延伸至該第二已處理半導體構造。In additional embodiments, the invention includes semiconductor structures formed using the methods described herein. For example, in additional embodiments, the present invention comprises a semiconductor device comprising: a substrate comprising a layer of semiconductor material; a first metallization layer on the substrate, the first of the layers of semiconductor material And a second metallization layer on the substrate positioned on a second side of the layer of semiconductor material opposite the first side of the layer of semiconductor material. A plurality of wafers are connected to the semiconductor material at least partially through the first metallization layer and the substrate. A first processed semiconductor structure can be carried by the substrate on a first side of the layer of semiconductor material, and a second processed semiconductor structure can also be carried by the substrate on a first side of the layer of semiconductor material. At least one electrical path may be from the first processed semiconductor structure, through one of the first metallization layer conductive members, the inter-wafer via connections, one of the first inter-wafer via connections, the second metal One of the conductive layers and one of the inter-wafer via connections are electrically connected to the second wafer and extend to the second processed semiconductor structure.

本說明書提出之闡釋其用意並非對於任何特定材料、裝置、系統或方法之實際意見,而僅是用來描述本發明實施例之理想化陳述。The descriptions of the present invention are not intended to be an actual description of any particular material, device, system, or method, but are merely intended to describe an idealized representation of an embodiment of the invention.

本說明書所用之任何標題不應認定其用意為限制本發明實施例之範圍,該範圍係由以下之申請專利範圍及其法律同等效力所界定。在任何特定標題下所敘述之概念,通常亦適用於整份說明書之其他部分。The use of any headings in this specification is not intended to limit the scope of the embodiments of the invention, which is defined by the scope of the following claims and their legal equivalents. The concepts described under any particular heading also generally apply to the rest of the specification.

本說明書引用了一些參考資料,為了所有目的,該些參考資料之完整揭露茲以此述及方式納入本說明書。此外,相對於本發明主張之專利標的,該些引用之參考資料,不論本說明書如何描述其特點,均不予承認為習知技術。The disclosure is hereby incorporated by reference in its entirety for all purposes in the extent of the disclosure. In addition, the cited references, regardless of how the description describes the features of the invention, are not admitted as prior art.

在本說明書中,「半導體裝置」一詞係指並包括含有一種或更多半導體材料之任何裝置,將該裝置在功能上予以適當地集積成一電子或光電之裝置或系統時,其能夠發揮一項或多項功能。半導體裝置包括,但不限於,電子信號處理器、記,憶裝置(例如隨機存取記憶體(RAM)、動態隨機存取記憶體(DRAM)、快閃記憶體等等)、光電裝置(例如發光二極體、雷射二極體、太陽能電池等等),以及包含在操作上彼此連結之兩個或更多此等裝置之裝置。In the present specification, the term "semiconductor device" means and includes any device containing one or more semiconductor materials, which can function as a device or system that is functionally integrated into an electronic or optoelectronic device. Item or multiple functions. Semiconductor devices include, but are not limited to, electronic signal processors, memory devices, such as random access memory (RAM), dynamic random access memory (DRAM), flash memory, etc., optoelectronic devices (eg, Light-emitting diodes, laser diodes, solar cells, etc., and devices comprising two or more such devices that are operatively coupled to each other.

在本說明書中,「半導體構造」一詞係指並包括製作一半導體裝置期間所使用或形成之任何構造。半導體構造包括,舉例而言,晶粒和晶圓(例如載體底材及裝置底材),以及含有以三度空間方式彼此集積之兩個或更多晶粒及/或晶圓之組合或複合構造。半導體構造亦包括完全裝配之半導體裝置,以及製作半導體裝置期間所形成之中間構造。In the present specification, the term "semiconductor construction" means and includes any configuration used or formed during the fabrication of a semiconductor device. Semiconductor structures include, for example, dies and wafers (eg, carrier substrates and device substrates), and combinations or composites of two or more dies and/or wafers that are stacked in a three-dimensional manner with each other. structure. The semiconductor construction also includes a fully assembled semiconductor device and an intermediate structure formed during fabrication of the semiconductor device.

在本說明書中,「已處理半導體構造」一詞係指並包括含有至少已局部形成之一個或更多裝置構造之任何半導體構造。已處理半導體構造為半導體構造之一子集,所有已處理半導體構造均為半導體構造。In the present specification, the term "processed semiconductor construction" means and includes any semiconductor construction that includes at least one or more device configurations that have been partially formed. Processed semiconductors are constructed as a subset of semiconductor structures, all of which are semiconductor structures.

在本說明書中,「黏附半導體構造」一詞係指並包括含有附著在一起之兩個或更多半導體構造之任何構造。黏附半導體構造為半導體構造之一子集,所有黏附半導體構造均為半導體構造。此外,包含一個或更多已處理半導體構造之黏附半導體構造亦為已處理半導體構造。In the present specification, the term "adhesive semiconductor construction" means and includes any configuration containing two or more semiconductor structures attached together. Adhesive semiconductor structures are a subset of semiconductor structures, all of which are semiconductor structures. In addition, the bonded semiconductor construction comprising one or more processed semiconductor structures is also a processed semiconductor construction.

在本說明書中,「裝置構造」一詞係指並包括一已處理半導體構造之任何部分,該部分為、包含、或界定出一半導體裝置之一主動或被動元件之至少一部分,該半導體裝置有待形成於該半導體構造之上或之中。舉例而言,裝置構造包含積體電路之主動及被動元件,像是電晶體、換能器、電容、電阻、導電線、導電通孔及導電接觸墊。In the present specification, the term "device configuration" means and includes any portion of a processed semiconductor structure that is, contains, or defines at least a portion of an active or passive component of a semiconductor device to be Formed on or in the semiconductor structure. For example, the device configuration includes active and passive components of the integrated circuit, such as transistors, transducers, capacitors, resistors, conductive lines, conductive vias, and conductive contact pads.

在本說明書中,「晶圓間透通連結」或「TWI」一詞係指並包括穿過一第一半導體構造至少一部分之任何導電通孔,其跨越該第一半導體構造與一第二半導體構造間之一界面,以在該第一半導體構造與該第二半導體構造間提供一構造上及/或電氣上之互連。在本發明所屬技術領域中,晶圓間透通連結亦有其他名稱,像是「矽導通孔(through silicon vias)/底材導通孔(through substrate vias)」或「TSV」,以及「晶圓導通孔(through wafer vias)」或「TWV」。TWI通常會在大致垂直於一半導體構造中該些大致平坦之主要表面之一方向上(亦即平行於「Z」軸之一方向上)穿過該半導體構造。In the present specification, the term "through-wafer via" or "TWI" refers to and includes any conductive via that passes through at least a portion of a first semiconductor structure that spans the first semiconductor structure and a second semiconductor. An interface between the structures to provide a structural and/or electrical interconnection between the first semiconductor structure and the second semiconductor structure. In the technical field of the present invention, there are other names for inter-wafer via connections, such as "through silicon vias/through substrate vias" or "TSV", and "wafers". Through wafer vias or TWV. The TWI typically passes through the semiconductor structure in a direction substantially perpendicular to one of the substantially planar major surfaces in a semiconductor structure (i.e., in a direction parallel to one of the "Z" axes).

在本說明書中,「主動表面」一詞用於和一已處理半導體構造有關的情況時,係指並包括該已處理半導體構造之一曝露主要表面,該表面已經過處理過,或將經過處理,以使一個或更多裝置構造形成於該已處理半導體構造之曝露主要表面之中及/或之上。In the present specification, the term "active surface" is used in relation to a processed semiconductor construction and refers to and includes one of the processed semiconductor constructions that exposes the major surface that has been treated or will be processed. So that one or more device configurations are formed in and/or on the exposed major surface of the processed semiconductor construction.

在本說明書中,「金屬化層」一詞係指並包括一已處理半導體構造之層,該層包含導電線、導電通孔、導電接觸墊的其中一個或多個,其係用於沿著一電氣路徑之至少一部分傳導電流。In the present specification, the term "metallization layer" means and includes a layer of a processed semiconductor structure comprising one or more of conductive lines, conductive vias, and conductive contact pads for use along At least a portion of an electrical path conducts current.

在本說明書中,「背表面」一詞用於和一已處理半導體構造有關的情況時,係指並包括該已處理半導體構造之一曝露主要表面,其為與該已處理半導體構造之一主動表面相反之一面。In the present specification, the term "back surface" is used in connection with a processed semiconductor construction and refers to and includes one of the treated semiconductor structures exposing a major surface that is active with one of the processed semiconductor structures. One side of the opposite side of the surface.

在本說明書中,「三五族半導體材料」一詞係指並包括絕大部分含有元素週期表中一種或更多IIIA族元素(B、Al、Ga、In及Ti)與一種或更多VA族元素(N、P、As、Sb及Bi)之任何材料。In this specification, the term "three-five semiconductor materials" means and includes most of one or more Group IIIA elements (B, Al, Ga, In, and Ti) in the periodic table and one or more VAs. Any material of a family element (N, P, As, Sb, and Bi).

本發明之實施例包括形成半導體構造之方法及構造,更具體而言為包含黏附半導體構造之半導體構造,以及形成此等黏附半導體構造之方法。Embodiments of the invention include methods and configurations for forming semiconductor structures, and more particularly semiconductor structures including bonded semiconductor structures, and methods of forming such bonded semiconductor structures.

在一些實施例中,所形成之晶圓間透通連結會穿過一絕緣體上半導體(SeOI)底材之至少一部分,且所形成之一層或更多金屬化層會覆蓋該SeOI底材之至少一部分。已處理半導體構造(例如半導體裝置)可以由該SeOI底材之至少一部分所承載,且該些已處理半導體構造(以及,選擇性地,其他構造或底材)間之電氣路徑,可以利用該些金屬化層之導電部件及該些晶圓間透通連結而建立。本發明之該些方法及構造之實施例可以供不同目的所採用,例如用於三度空間集積製程及形成三度空間集積構造。In some embodiments, the formed inter-wafer via bonding may pass through at least a portion of a semiconductor-on-insulator (SeOI) substrate, and one or more metallization layers formed may cover at least the SeOI substrate. portion. The processed semiconductor structure (eg, a semiconductor device) can be carried by at least a portion of the SeOI substrate, and the electrical paths between the processed semiconductor structures (and, optionally, other structures or substrates) can utilize The conductive members of the metallization layer and the wafers are connected by a transparent connection. Embodiments of the methods and configurations of the present invention may be employed for different purposes, such as for a three dimensional spatial accumulation process and for forming a three dimensional spatial accumulation configuration.

圖1呈現可以為本發明實施例所採用之一底材。該底材100包含相當薄之一層半導體材料104。在一些實施例中,該層半導體材料104可以至少實質上為單晶半導體材料。Figure 1 presents one of the substrates that may be employed in embodiments of the present invention. The substrate 100 comprises a relatively thin layer of semiconductor material 104. In some embodiments, the layer of semiconductor material 104 can be at least substantially a single crystalline semiconductor material.

作為非限制性質之範例,該層半導體材料104可以包括單晶之矽、鍺,或一種三五族半導體材料,且可以為有摻雜或無摻雜。在一些實施例中,該層半導體材料104可以包括半導體材料之一磊晶層。 As an example of non-limiting properties, the layer of semiconductor material 104 may comprise a single crystal germanium, germanium, or a tri-five semiconductor material, and may be doped or undoped. In some embodiments, the layer of semiconductor material 104 can comprise an epitaxial layer of one of the semiconductor materials.

在一些實施例中,該層半導體材料104之平均總厚度可以為大約1微米(1μm)或更薄、大約500奈米(500nm)或更薄,或甚至大約10奈米(10nm)或更薄。 In some embodiments, the average thickness of the layer of semiconductor material 104 can be about 1 micron (1 μm) or less, about 500 nanometers (500 nm) or less, or even about 10 nanometers (10 nm) or less. .

或者,該層半導體材料可以配置在一基底106之上並由其承載。作為非限制性質之範例,該基底106可以包括一種或更多介電材料,像是一種氧化物(例如氧化矽(SiO2)或氧化鋁(Al2O3))、一種氮化物(例如氮化矽(Si3N4)或氮化硼(BN))等等。在額外之實施例中,該基底106可以包括一種半導體材料,諸如上文關於該半導體材料104所述及者之任何一種。在一些實施例中,該基底106也可以包括含有兩種或更多不同材料之一多層構造。 Alternatively, the layer of semiconductor material can be disposed over and carried by a substrate 106. As an example of non-limiting properties, the substrate 106 can include one or more dielectric materials such as an oxide (eg, yttrium oxide (SiO 2 ) or aluminum oxide (Al 2 O 3 )), a nitride (eg, nitrogen). Plutonium (Si 3 N 4 ) or boron nitride (BN)) and the like. In additional embodiments, the substrate 106 can comprise a semiconductor material such as any of the above described with respect to the semiconductor material 104. In some embodiments, the substrate 106 can also include a multilayer construction comprising one or two different materials.

在一些實施例中,該底材100可以包括本發明所屬技術領域中稱為「絕緣體上半導體(SeOI)」類型之一底材。例如,該底材100可以包括本發明所屬技術領域中稱為「絕緣體上矽(SOI)」類型之一底材。在此等實施例中,一層電氣絕緣材料105可以配置在該層半導體材料104與一基底106之間。該電氣絕緣材料105可以包括在本發明所屬技術領域中稱為「埋置氧化物(BOX)」之一層。該電氣絕緣材料105可以包括,例如,一種陶瓷材料,像是一種氮化物(例如氮化矽(Si3N4)或一種氧化物(例如二氧化矽(SiO2)或氧化鋁(Al2O3))。在一些實施例中,該層電氣絕 緣材料105之平均總厚度可以為大約1微米(1μm)或更薄、大約300奈米(300nm)或更薄,或甚至大約10奈米(10nm)或更薄。 In some embodiments, the substrate 100 can comprise a substrate of the type known as "Semiconductor-on-Second (SeOI)" in the art to which the present invention pertains. For example, the substrate 100 can comprise a substrate of the type known as "SOI" in the art to which the present invention pertains. In such embodiments, a layer of electrically insulating material 105 may be disposed between the layer of semiconductor material 104 and a substrate 106. The electrically insulating material 105 can comprise a layer referred to as "embedded oxide (BOX)" in the art to which the present invention pertains. The electrically insulating material 105 may comprise, for example, a ceramic material such as a nitride such as tantalum nitride (Si 3 N 4 ) or an oxide such as hafnium oxide (SiO 2 ) or aluminum oxide (Al 2 O). 3 )). In some embodiments, the average electrical thickness of the layer of electrically insulating material 105 can be about 1 micron (1 μm) or less, about 300 nanometers (300 nm) or less, or even about 10 nanometers ( 10 nm) or thinner.

作為非限制性質之一範例,圖1所示之底材100可以應用本發明所屬技術領域中稱為SMART-CUTTM製程者加以形成。舉例而言,如圖2所示,一層相當厚之半導體材料104'可以被黏附至該層電氣絕緣材料105之一曝露主要表面107。該層相當厚之半導體材料104'之組成可以與有待提供於該基底106上方之該層半導體材料104之組成完全相同,且該層半導體材料104可以自該層相當厚之半導體材料104'形成,並包括該層相當厚之半導體材料104'之相對較薄之一部分。 As an example, one non-limiting nature, the substrate 1 shown in FIG. 100 may be applied in the technical field of the present invention referred to as SMART-CUT TM process are to be formed. For example, as shown in FIG. 2, a relatively thick layer of semiconductor material 104 ' can be adhered to one of the layers of electrically insulating material 105 to expose the major surface 107. The composition of the relatively thick semiconductor material 104 ' may be identical to the composition of the layer of semiconductor material 104 to be provided over the substrate 106, and the layer of semiconductor material 104 may be formed from the relatively thick semiconductor material 104 ' of the layer. And includes a relatively thin portion of the relatively thick semiconductor material 104 ' of the layer.

在一些實施例中,一黏附材料(未顯示)可以用於將該層相當厚之半導體材料104'黏附至該層電氣絕緣材料105之主要表面107。此種黏附材料可以包括,例如,氧化矽、氮化矽其中之一種或多種,及其混合物。此種黏附材料可以形成或以其他方式提供於該層電氣絕緣材料105與該層相當厚之半導體材料104'之該些毗連表面其中之一或兩者,以增進兩者間之黏附。 In some embodiments, an adhesive material (not shown) can be used to adhere the relatively thick semiconductor material 104 ' to the major surface 107 of the layer of electrically insulating material 105. Such an adhesive material may include, for example, one or more of cerium oxide, cerium nitride, and a mixture thereof. Such an adhesive material may be formed or otherwise provided to one or both of the layer of electrically insulating material 105 and the contiguous surface of the relatively thick semiconductor material 104 ' to enhance adhesion therebetween.

在一些實施例中,該層相當厚之半導體材料104'可以在大約400℃或更低之溫度下,或甚至在大約350℃或更低之溫度下,黏附至該層電氣絕緣材料105。但在其他實施例中,該黏附製程可以在更高之溫度下實施。 In some embodiments, the layer of relatively thick semiconductor material 104 ' can be adhered to the layer of electrically insulating material 105 at a temperature of about 400 ° C or less, or even at a temperature of about 350 ° C or less. However, in other embodiments, the adhesion process can be performed at higher temperatures.

將該層相當厚之半導體材料104'黏附至該層電氣絕緣材料105後,該層相當厚之半導體材料104'便可予以薄化,以形成圖1中相當薄之半導體材料104。該層相當厚之半導體材料104'之一部分110可以從該層相當 薄之半導體材料104移除,而將該層相當薄之半導體材料104留在該層電氣絕緣材料105之主要表面107上。 After the relatively thick semiconductor material 104 ' is adhered to the layer of electrically insulating material 105, the relatively thick semiconductor material 104 ' can be thinned to form the relatively thin semiconductor material 104 of FIG. One portion 110 of the relatively thick semiconductor material 104 ' can be removed from the relatively thin semiconductor material 104, leaving the relatively thin semiconductor material 104 on the major surface 107 of the layer of electrically insulating material 105.

作為非限制性質之範例,SMART-CUTTM製程可以用於將該層相當厚之半導體材料104'之該部分110與該相當薄之半導體材料104、該層電氣絕緣材料105及該基底106分離。此等製程詳述於諸如美國專利RE 39,484號(2007年2月6日核發予Bruel)、美國專利6,303,468號(2001年10月16日核發予Aspar等人)、美國專利6,335,258號(2002年1月1日核發予Aspar等人)、美國專利6,756,286號(2004年6月29日核發予Moriceau等人)、美國專利6,809,044號(2004年10月26日核發予Aspar等人),及美國專利6,946,365號(2005年9月20日核發予Aspar等人)中,該些專利之完整揭露茲以此述及方式納入本說明書。 As an example of a non-limiting nature, SMART-CUT TM may be used in the process is relatively thick layer of semiconductor material 104 'of the portion 110 of the relatively thin semiconductor material 104, 105 of the substrate 106 and the separation layer of electrically insulating material. Such processes are detailed, for example, in U.S. Patent No. RE 39,484 (issued to Bruel on February 6, 2007), U.S. Patent No. 6,303,468 (issued to Aspar et al. on October 16, 2001), and U.S. Patent No. 6,335,258 (2002) Issued to Aspar et al. on January 1st, US Patent 6,756,286 (issued to Moriceau et al. on June 29, 2004), US Patent 6,809,044 (issued to Aspar et al. on October 26, 2004), and US Patent 6,946,365 The complete disclosure of these patents is hereby incorporated by reference in its entirety in its entirety in its entirety.

簡言之,複數個離子(例如氫離子、氦離子或惰性氣體離子其中之一種或多種)可以沿著一離子植入平面112植入該層半導體材料104'。在一些實施例中,該些離子可以在該層半導體材料104'被黏附至該層電氣絕緣材料105及該基底106之前,植入該層半導體材料104'Briefly, a plurality of ions (e.g., one or more of a hydrogen ion, a helium ion, or an inert gas ion) can be implanted along the ion implantation plane 112 to the layer of semiconductor material 104 ' . In some embodiments, the ions may implant the layer of semiconductor material 104 ' before the layer of semiconductor material 104 ' is adhered to the layer of electrically insulating material 105 and the substrate 106.

離子可以沿著實質上垂直於該層半導體材料104'之一方向植入。如本發明所屬技術領域中所已知,該些離子植入該層半導體材料104'之深度至少部分為該些離子植入該層半導體材料104'時所帶能量之一函數。一般而言,以較低能量植入之離子,其植入深度相對較淺,以較高能量植入之離子,其植入深度相對較深。 The ions can be implanted in a direction substantially perpendicular to one of the layers of semiconductor material 104 ' . The skilled in the present invention are known in the art, carried by one of the plurality of ion implantation energy function when the layer of semiconductor material 104 'depth of ion implantation at least in part for some of the layer of semiconductor material 104'. In general, ions implanted at lower energies have a relatively shallow depth of implantation, and ions implanted at higher energies have a relatively deep implant depth.

離子可以以一預定能量植入該層半導體材料104',該預定能量係為了將該些離子植入該層半導體材料104'內一理想深度而選定。該些離子可 以在該層半導體材料104'被黏附至該層電氣絕緣材料105及該基底106之前,植入該層半導體材料104'。作為一特定的非限制性質範例,該離子植入平面112可以配置在該層半導體材料104'內距離該層半導體材料104'之表面有一深度之處,以使該層相當薄之半導體材料104之平均厚度落在大約1,000奈米(1,000nm)至大約100奈米(10nm)之範圍內。如本發明所屬技術領域中所已知,無可避免地,至少有一些離子可能會被植入非所需之深度,且作為從該層半導體材料104'之表面(例如在黏附前)至該層半導體材料104'內一深度之函數,離子濃度之圖表可能會顯示大致為鐘形(對稱或不對稱)之一曲線,該曲線在理想之植入深度處具有一最大值。 The ions may be implanted into the layer of semiconductor material 104 ' at a predetermined energy selected to implant the ions into the layer of semiconductor material 104 ' to a desired depth. The ions may implant the layer of semiconductor material 104 ' before the layer of semiconductor material 104 ' is adhered to the layer of electrically insulating material 105 and the substrate 106. As a specific example of non-limiting properties, the ion implantation plane 112 can be disposed within the layer of semiconductor material 104 ' at a depth from the surface of the layer of semiconductor material 104 ' such that the layer is relatively thin. The average thickness falls within the range of about 1,000 nanometers (1,000 nm) to about 100 nanometers (10 nm). As is known in the art to which the present invention pertains, it is inevitable that at least some of the ions may be implanted at an undesired depth and as a surface from the layer of semiconductor material 104 ' (e.g., prior to adhesion) to the A plot of ion concentration as a function of depth within the layer of semiconductor material 104 ' may show a curve that is generally bell-shaped (symmetric or asymmetrical) having a maximum at the desired implant depth.

將離子植入該層半導體材料104'後,該些離子便可以在該層半導體材料104'內界定出一離子植入平面112(在圖2中以虛線呈現)。該離子植入平面112可以包括該層半導體材料104'內之一層或一區域,其與該層半導體材料104'內帶有最高離子濃度之平面對準(例如以其為中心圍繞)。該離子植入平面112可以在該層半導體材料104'內界定出一弱化區域,在一後續製程中,該層半導體材料104'可以沿著該弱化區域剝離或裂開。例如,可以對該層半導體材料104'加熱,以造成該層半導體材料104'沿著該離子植入平面112剝離或裂開。在一些實施例中,於該剝離製程期間,該層半導體材料104'之溫度可以維持在大約400℃或更低,或甚至大約350℃或更低。但在其他實施例中,該剝離製程可以在更高溫度下進行。或者,可以對該層半導體材料104'施加機械力,以造成或協助該層半導體材料104'沿著該離子植入平面112剝離。 After implanting ions into the layer of semiconductor material 104 ' , the ions can define an ion implantation plane 112 (shown in phantom in Figure 2) within the layer of semiconductor material 104 ' . The ion implanter 112 may include the planar layer of semiconductor material 104 'or a layer within a zone, with the layer of semiconductor material 104' with the highest ion concentration plane within the alignment (e.g., around the center thereof). The ion implantation may be plane 112 'define a weakened region within illustrating, in a subsequent process, the layer of semiconductor material 104' in the layer of semiconductor material 104 may be split along weakened area or the peeling. For example, the layer of semiconductor material 104 ' can be heated to cause the layer of semiconductor material 104 ' to peel or cleave along the ion implantation plane 112. In some embodiments, the temperature of the layer of semiconductor material 104 ' may be maintained at about 400 ° C or less, or even about 350 ° C or less during the stripping process. However, in other embodiments, the stripping process can be performed at a higher temperature. Alternatively, a mechanical force may be applied to the layer of semiconductor material 104 ' to cause or assist in stripping the layer of semiconductor material 104 ' along the ion implantation plane 112.

在額外之實施例中,該層相當薄之半導體材料104可以經由將該層相當厚之半導體材料104'(例如平均厚度大於約100微米之一層)黏附至該層電氣絕緣材料105及該基底106,接著從與該基底106相反之一側將該層相當厚之半導體材料104'薄化,而提供於該層電氣絕緣材料105及該基底106之上。例如,可以從該層相當厚之半導體材料104'之一曝露主要表面移除材料,以使該層相當厚之半導體材料104'薄化。例如,可以利用一化學製程(例如一濕式或乾式化學蝕刻製程)、一機械製程(例如一研磨或舐磨製程),或經由一化學機械研磨(CMP)製程,將材料從該層相當厚之半導體材料104'之該曝露主要表面移除。在一些實施例中,此等製程可以在大約400℃或更低,或甚至大約350℃或更低之溫度下進行。但在其他實施例中,此等製程可以在更高溫度下進行。In an additional embodiment, the relatively thin semiconductor material 104 can be adhered to the layer of electrically insulating material 105 and the substrate 106 via a relatively thick semiconductor material 104 ' (eg, a layer having an average thickness greater than about 100 microns). and then the substrate 106 from the side opposite to the relatively thick layer of semiconductor material 104 'thinning, is provided on top of the layer of electrically insulating material 105 and the substrate 106. For example, the 'exposed major surface of one material is removed, so that a relatively thick layer of semiconductor material 104' from the relatively thick layer of semiconductor material 104 is thinned. For example, a chemical process (such as a wet or dry chemical etching process), a mechanical process (such as a grinding or honing process), or a chemical mechanical polishing (CMP) process can be used to make the material relatively thick from the layer. The exposure of the semiconductor material 104 ' is primarily surface removed. In some embodiments, such processes can be carried out at temperatures of about 400 ° C or less, or even about 350 ° C or less. However, in other embodiments, such processes can be performed at higher temperatures.

在另外的實施例中,該層相當薄之半導體材料104可以原地形成於該層電氣絕緣材料105之表面107上。舉例而言,圖1之底材100可以經由在該層電氣絕緣材料105之表面107上沉積諸如矽、多晶矽或非晶質矽之半導體材料至一理想厚度而形成。在一些實施例中,該沉積製程可以在大約400℃或更低,或甚至大約350℃或更低之溫度下進行。舉例而言,如本發明所屬技術領域中所已知,用於形成該層相當薄之半導體材料104之一低溫沉積製程可以運用一電漿增強化學氣相沉積製程而實施。但在其他實施例中,該沉積製程可以在更高溫度下進行。In other embodiments, the relatively thin layer of semiconductor material 104 may be formed in situ on the surface 107 of the layer of electrically insulating material 105. For example, the substrate 100 of FIG. 1 can be formed by depositing a semiconductor material such as tantalum, polysilicon or amorphous germanium on a surface 107 of the layer of electrically insulating material 105 to a desired thickness. In some embodiments, the deposition process can be carried out at a temperature of about 400 ° C or less, or even about 350 ° C or less. For example, as is known in the art, a low temperature deposition process for forming a relatively thin layer of semiconductor material 104 can be practiced using a plasma enhanced chemical vapor deposition process. In other embodiments, however, the deposition process can be performed at higher temperatures.

在一些實施例中,圖1之底材100可以包括一相當小之晶粒等級構造。在其他實施例中,該底材100可以包括一相對較大之晶圓,該晶圓之平均直徑為大約100毫米或更大、大約300毫米或更大,或甚至大約400毫米或更大。在此等實施例中,複數個已處理半導體構造120可以製作在該底材100之不同區域之中及之上,如圖3之簡化綱要性圖解所示。該些已處理半導體構造120可以以整齊的序列或格網狀排列在該底材100上。In some embodiments, the substrate 100 of FIG. 1 can include a relatively small grain grade configuration. In other embodiments, the substrate 100 can include a relatively large wafer having an average diameter of about 100 mm or greater, about 300 mm or greater, or even about 400 mm or greater. In such embodiments, a plurality of processed semiconductor structures 120 can be fabricated in and on different regions of the substrate 100, as shown in the simplified schematic diagram of FIG. The processed semiconductor structures 120 can be arranged on the substrate 100 in a neat sequence or grid.

利用該底材100製作該些已處理半導體構造120之方法之範例,茲參考圖4及5描述如下。An example of a method of making the processed semiconductor structures 120 using the substrate 100 is described below with reference to Figures 4 and 5.

參考圖4,複數個電晶體122可以形成於該層半導體材料104之選定區域之中及之上,該些選定區域對應於有待形成已處理半導體構造120(圖3)之區域。該些電晶體122綱要性地呈現於圖4中。如本發明所屬技術領域中所已知,該些電晶體122中的每一個皆包括一源極區及一汲極區,由一通道區分隔。該些源極、汲極與通道區域可以形成於該層半導體材料104中。一閘極構造可以形成於該層半導體材料104上,垂直位於該源極區及該汲極區間之通道區上方。雖然為了簡化起見,只有三個電晶體122顯示於圖4中,但實際上每個已處理半導體構造120可以包括數千個、數百萬個,甚至更多的電晶體122。Referring to FIG. 4, a plurality of transistors 122 may be formed in and on selected regions of the layer of semiconductor material 104 that correspond to regions in which the processed semiconductor structure 120 (FIG. 3) is to be formed. The transistors 122 are outlined in Figure 4. As is known in the art, each of the transistors 122 includes a source region and a drain region separated by a channel region. The source, drain and channel regions may be formed in the layer of semiconductor material 104. A gate structure can be formed over the layer of semiconductor material 104 vertically above the source region and the channel region of the drain region. Although only three transistors 122 are shown in FIG. 4 for simplicity, in practice each processed semiconductor structure 120 may include thousands, millions, or even more transistors 122.

參考圖5,一第一金屬化層124可以形成在該層半導體材料104與該層電氣絕緣材料105相反之一第一面上。該第一金屬化層124包含複數個導電部件126。該些導電部件126可以包括垂直延伸之導電通孔、水平延伸之導電跡線及導電接觸墊的其中一個或多個。該些導電部件126中至少有一些可以與該些電晶體122之對應部件有電氣接觸,像是該些電晶體122之源極區、汲極區及閘極構造。該些導電部件126可以形成自並包括一金屬。該第一金屬化層124可以在一逐層(layer-by-layer)製程中形成,在該製程中,多個金屬層及介電材料125層交替沉積並組成圖案,以形成該些導電部件126,該些導電部件126可以嵌在一介電材料125內並由該介電材料所圍繞。該些導電部件126可以用於佈線或重分配從該些電晶體122之不同主動元件之位置至其他遠端位置之電氣路徑。因此,在一些實施例中,該第一金屬化層124可以包括本發明所屬技術領域中所稱之重分配層(RDL)。Referring to FIG. 5, a first metallization layer 124 can be formed on a first side of the layer of semiconductor material 104 opposite the layer of electrically insulating material 105. The first metallization layer 124 includes a plurality of conductive features 126. The conductive features 126 can include one or more of vertically extending conductive vias, horizontally extending conductive traces, and conductive contact pads. At least some of the conductive members 126 can be in electrical contact with corresponding components of the transistors 122, such as the source regions, the drain regions, and the gate structures of the transistors 122. The conductive members 126 can be formed from and include a metal. The first metallization layer 124 can be formed in a layer-by-layer process in which a plurality of metal layers and a dielectric material 125 are alternately deposited and patterned to form the conductive features. 126, the conductive features 126 can be embedded in and surrounded by a dielectric material 125. The conductive features 126 can be used to route or redistribute electrical paths from the locations of the different active components of the transistors 122 to other remote locations. Thus, in some embodiments, the first metallization layer 124 can comprise a redistribution layer (RDL) as referred to in the art to which the present invention pertains.

在圖5之實施例中,該些導電部件126係形成於該第一金屬化層124中,在該底材100中該些電晶體122已形成之區域上方,該些區域通常稱為主動區域,但並未在該底材100中不含任何電晶體122之其他區域上方,該些區域通常稱為非主動區域。In the embodiment of FIG. 5, the conductive members 126 are formed in the first metallization layer 124. Above the regions where the transistors 122 have been formed in the substrate 100, the regions are generally referred to as active regions. However, it is not above the other regions of the substrate 100 that do not contain any of the transistors 122, which are commonly referred to as inactive regions.

圖6A至6F呈現圖6F所示之一黏附半導體構造之製作,該黏附半導體構造包括由該底材100之一部分所承載之兩個或更多已處理半導體構造(例如半導體裝置)。此外,該底材100之該部分係用於使該些已處理半導體構造的其中兩個或多個之間透過該底材100之該部分而有一直接、連續之電氣路徑。Figures 6A through 6F illustrate the fabrication of one of the bonded semiconductor structures shown in Figure 6F, which includes two or more processed semiconductor structures (e.g., semiconductor devices) carried by a portion of the substrate 100. Moreover, the portion of the substrate 100 is used to provide a direct, continuous electrical path between two or more of the processed semiconductor structures through the portion of the substrate 100.

本發明之該些實施例之方法可以利用圖5之已處理半導體構造120。The method of the embodiments of the present invention may utilize the processed semiconductor construction 120 of FIG.

接著參考圖6A,一載體底材140可以選擇性地暫時黏附至圖5之已處理半導體構造中該第一金屬化層124之一曝露主要表面128。該載體底材140可以用於在後續製程中方便處理設備處理該半導體構造。Referring next to FIG. 6A, a carrier substrate 140 can be selectively temporarily adhered to the exposed semiconductor structure of FIG. 5 in which one of the first metallization layers 124 exposes the major surface 128. The carrier substrate 140 can be used to facilitate handling of the semiconductor construction by a processing device in a subsequent process.

將該載體底材140黏附至該第一金屬化層124後,便可以移除該底材100之該基底106及該層電氣絕緣材料105,以形成圖6B所示之構造。該底材100之該基底106及該層電氣絕緣材料105可以利用一化學製程(例如一濕式或乾式化學蝕刻製程)、一機械製程(例如一研磨或舐磨製程),或經由一化學機械研磨(CMP)製程加以移除。After the carrier substrate 140 is adhered to the first metallization layer 124, the substrate 106 of the substrate 100 and the layer of electrically insulating material 105 can be removed to form the configuration shown in FIG. 6B. The substrate 106 of the substrate 100 and the layer of electrically insulating material 105 may be processed by a chemical process (such as a wet or dry chemical etching process), a mechanical process (such as a grinding or honing process), or via a chemical machine. A grinding (CMP) process is removed.

移除該基底106及該層電氣絕緣材料105後,便可以形成複數個晶圓間透通連結130使之至少部分穿過該層半導體材料104、至少部分穿過介電材料125,並位於該主動裝置區域中,以形成圖6C所示之構造。該些晶圓間透通連結130可以經由先蝕刻穿過該層半導體材料104、至少部分穿過介電材料125,且位於該主動裝置區域之洞孔或通孔,然後以一種或更多導電材料(例如銅或銅之一合金)填充該些洞孔或通孔而形成;或是經由本發明所屬技術領域中已知之任何其他方法形成。例如,該些晶圓間透通連結130的其中一個或多個可加以形成並使之完全穿過該第一金屬化層124及該層半導體材料104而延伸至該載體底材140。在用於形成多個洞孔或通孔之一蝕刻製程中,該載體底材140可以作為蝕刻阻擋層使用,該些洞孔或通孔最終將以一種或更多導電材料填充而形成該些晶圓間透通連結130。應注意的是,在本發明之一些實施例中,該些導電部件126亦可以在用於形成該些洞孔或通孔之一蝕刻製程中充當蝕刻阻擋層。After removing the substrate 106 and the layer of electrically insulating material 105, a plurality of inter-wafer via bonds 130 may be formed to pass at least partially through the layer of semiconductor material 104, at least partially through the dielectric material 125, and In the active device region, the configuration shown in Fig. 6C is formed. The inter-wafer via junctions 130 may be etched through the layer of semiconductor material 104, at least partially through the dielectric material 125, and at the vias or vias of the active device region, and then with one or more conductive A material such as copper or a copper alloy is formed by filling the holes or vias; or formed by any other method known in the art to which the present invention pertains. For example, one or more of the inter-wafer via bonds 130 can be formed and extend completely through the first metallization layer 124 and the layer of semiconductor material 104 to the carrier substrate 140. In one etching process for forming a plurality of holes or vias, the carrier substrate 140 can be used as an etch stop, which holes will eventually be filled with one or more conductive materials to form the holes. The inter-wafer is transparently connected 130. It should be noted that in some embodiments of the present invention, the conductive features 126 may also serve as an etch stop in an etching process for forming the vias or vias.

該些晶圓間透通連結130的至少其中一些可以接觸該第一金屬化層124之該些導電部件126,從而與該些電晶體122之一個或更多主動裝置部件有電氣接觸。At least some of the inter-wafer via bonds 130 may contact the conductive features 126 of the first metallization layer 124 to make electrical contact with one or more of the active device components of the transistors 122.

作為非限制性質之範例,一種或更多遮罩及蝕刻製程可以用於形成該些洞孔或通孔,而一種無電電鍍製程及一種電解電鍍製程的其中之一或更多可以用於將導電材料填入該些洞孔或通孔。在一些實施例中,用於形成該些晶圓間透通連結130之每一製程,包括形成該些洞孔或通孔及將導電材料填入該些洞孔或通孔,均可以在大約400℃或更低,或甚至350℃或更低之溫度下進行。但在其他實施例中,此等製程可以在更高溫度下進行。例如,在某些實施例中,當銅可以在用於形成該些晶圓間透通連結之後段(back-end of line,BEOL)製程中使用時,其溫度不可超過大約400℃,另一種情況為,在某些實施例中,當鋁可以在用於形成該些晶圓間透通連結之BEOL製程中使用時,其溫度可以超過大約400℃。As an example of non-limiting properties, one or more masking and etching processes can be used to form the vias or vias, and one or more of an electroless plating process and an electrolytic plating process can be used to conduct the conductive The material fills in the holes or through holes. In some embodiments, each of the processes for forming the inter-wafer via bonds 130 includes forming the holes or vias and filling the holes or vias with conductive materials. It is carried out at a temperature of 400 ° C or lower, or even 350 ° C or lower. However, in other embodiments, such processes can be performed at higher temperatures. For example, in some embodiments, when copper can be used in forming the inter-wafer via-back of line (BEOL) process, the temperature must not exceed about 400 ° C, and the other In some instances, when aluminum can be used in a BEOL process for forming a through-wafer via joint, the temperature can exceed about 400 °C.

參考圖6D,在移除該基底106及該層電氣絕緣材料105,並界定出該些洞孔或通孔後,一第二金屬化層154便可以形成於該層半導體材料104之一第二面,該第二面為其上已有該第一金屬化層124形成之該層半導體材料104之第一面之相反面。相對於圖6A至6C之角度,圖6D之角度是倒轉的,因為該構造可能會被倒轉,以利該第二金屬化層154形成於該層半導體材料104之第二面。Referring to FIG. 6D, after the substrate 106 and the layer of electrically insulating material 105 are removed and the holes or vias are defined, a second metallization layer 154 can be formed on the second layer of the semiconductor material 104. The second side is opposite the first side of the layer of semiconductor material 104 formed by the first metallization layer 124. The angle of FIG. 6D is inverted relative to the angles of FIGS. 6A through 6C because the configuration may be reversed to facilitate formation of the second metallization layer 154 on the second side of the layer of semiconductor material 104.

該第二金屬化層154與該第一金屬化層124類似,亦包含複數個導電部件156。該些導電部件156可以包括垂直延伸之導電通孔、水平延伸之導電跡線及導電接觸墊的其中一個或多個。該些導電部件156中至少有一些可以與該些晶圓間透通連結130有電氣接觸,從而與該第一金屬化層124之導電部件126及該些電晶體122之主動區域,像是源極區、汲極區及閘極構造,亦有電氣接觸。該些導電部件156可以形成自並包括一金屬。如同該第一金屬化層124,該第二金屬化層154可以在一逐層製程中形成,例如藉由眾所周知的鑲嵌製程,在該製程中,多個金屬層及介電材料層交替沉積並組成圖案,以形成該些導電部件156,該些導電部件156可以嵌在一介電材料內並由該介電材料所圍繞。該些導電部件156可以用於佈線或重分配從該些晶圓間透通連結130露出之位置穿過該層半導體材料104之第二面至其他遠端位置之電氣路徑。因此,在一些實施例中,該第二金屬化層154可以包括本發明所屬技術領域中所稱之重分配層(RDL)。The second metallization layer 154 is similar to the first metallization layer 124 and also includes a plurality of conductive features 156. The conductive features 156 can include one or more of vertically extending conductive vias, horizontally extending conductive traces, and conductive contact pads. At least some of the conductive members 156 can be in electrical contact with the inter-wafer vias 130, such as the conductive members 126 of the first metallization layer 124 and the active regions of the transistors 122, such as sources. The pole zone, the bungee zone and the gate structure also have electrical contact. The conductive members 156 can be formed from and include a metal. Like the first metallization layer 124, the second metallization layer 154 can be formed in a layer-by-layer process, such as by a well-known damascene process in which multiple layers of metal and dielectric material are alternately deposited and Patterns are formed to form the conductive features 156 that may be embedded within and surrounded by a dielectric material. The conductive features 156 can be used to route or redistribute electrical paths from the second side of the layer of semiconductor material 104 to other remote locations from where the inter-wafer vias 130 are exposed. Thus, in some embodiments, the second metallization layer 154 can comprise a redistribution layer (RDL) as referred to in the art to which the present invention pertains.

此外,如圖6D所示,該第二金屬化層154之該些導電部件156之其中一些可以透過該第二金屬化層154,在該些晶圓間透通連結130曝露於該層半導體材料104之第二面的其中兩個或更多端面間,提供直接、連續之一電氣連接。In addition, as shown in FIG. 6D, some of the conductive members 156 of the second metallization layer 154 may pass through the second metallization layer 154, and the inter-wafer via bonds 130 are exposed to the layer of semiconductor material. A direct, continuous electrical connection is provided between two or more of the end faces of the second side of 104.

圖6E呈現該半導體構造再次被倒轉,以使該第二金屬化層154位於該半導體構造之底部(從圖6D之角度而言)。形成該第二金屬化層154之該些導電部件156後,便可以將該第一金屬化層124中多個部分之介電材料125移除。該第一金屬化層124中有待移除之區域可以包括在該些非主動區域中之介電材料125,亦即在沒有主動裝置之該些區域中之介電材料125。該介電材料125可以經由諸如乾式蝕刻(例如反應離子蝕刻)或濕式蝕刻之一蝕刻製程加以移除。為了移除該已處理半導體構造之非主動區域中之介電材料125,可以將圖6D所示之該已處理構造從該載體底材140分離,並使之附著至額外之一載體(未顯示)。該額外載體可以附著至該第二金屬化層154。在該介電材料125從該已處理半導體構造之該些非主動區域移除後,該第二金屬化層154之該些通孔156`便會露出,如圖6E所呈現。Figure 6E shows that the semiconductor construction is again inverted such that the second metallization layer 154 is at the bottom of the semiconductor construction (from the perspective of Figure 6D). After the conductive features 156 of the second metallization layer 154 are formed, portions of the dielectric material 125 in the first metallization layer 124 can be removed. The regions of the first metallization layer 124 to be removed may include dielectric material 125 in the inactive regions, that is, dielectric material 125 in the regions without active devices. The dielectric material 125 can be removed via an etching process such as dry etching (eg, reactive ion etching) or wet etching. To remove the dielectric material 125 in the inactive region of the processed semiconductor construction, the processed configuration shown in FIG. 6D can be separated from the carrier substrate 140 and attached to an additional carrier (not shown) ). The additional carrier can be attached to the second metallization layer 154. After the dielectric material 125 is removed from the inactive regions of the processed semiconductor structure, the vias 156' of the second metallization layer 154 are exposed, as shown in FIG. 6E.

將部分之介電材料125移除並露出通孔156`後,便可以將圖6E之已處理半導體構造切割為晶粒。此外,該晶粒可以為經過電氣測試並利用凸塊技術安裝在一封裝體上之已知良品(KGD)。接著,可以使用微凸塊(micro-bump)技術將額外之晶粒(利用類似或不同之功能性或使用類似或不同之技術製作)堆疊在圖6E之中介層頂部,在該些主動裝置(亦即主動區域)及非主動裝置(亦即非主動區域)上方。After removing a portion of the dielectric material 125 and exposing the vias 156', the processed semiconductor structure of FIG. 6E can be diced into dies. In addition, the die can be a known good (KGD) that has been electrically tested and mounted on a package using bump technology. Next, additional dies (made with similar or different functionality or using similar or different techniques) can be stacked on top of the interposer of Figure 6E using micro-bump techniques on the active devices ( That is, the active area) and the non-active device (that is, the non-active area).

應注意的是,本發明之實施例所採用之一絕緣體上矽(SOI)中介層,有助於以具有成本效益之方式提供匹配該中介層及裝置封裝體間之電氣佈線普遍所需之扇出(或重分配)層。而縮小封裝佈線以匹配裝置佈線之常見做法則會大幅增加該裝置封裝體之成本。此外,該SOI中介層提供了非主動區域,該些區域可以有採用類似或不同技術製作之其他晶粒(或其他晶粒堆疊)堆疊並使其透過相同之電氣佈線連結至該封裝體。It should be noted that an insulator-on-insulator (SOI) interposer employed in embodiments of the present invention facilitates providing a fan that is generally required to match electrical wiring between the interposer and the device package in a cost effective manner. Out (or redistribute) the layer. The common practice of shrinking the package wiring to match the device wiring greatly increases the cost of the device package. In addition, the SOI interposer provides inactive regions that may be stacked with other dies (or other die stacks) fabricated using similar or different techniques and coupled to the package through the same electrical wiring.

因此,詳言之,在該處理過程之此一階段,一個或更多已處理半導體構造120已原地形成於該底材100之該層半導體材料104(亦即該底材100之餘留部分)之上及之中,如圖6E所呈現。此等已處理半導體構造120係由該層半導體材料104所承載。該一個或更多已處理半導體構造120可以包括,舉例而言,電子信號處理器、電子記憶裝置,及/或光電裝置(例如發光二極體、雷射二極體、太陽能電池等等)。Thus, in detail, at this stage of the process, one or more processed semiconductor structures 120 have been formed in situ on the layer of semiconductor material 104 of the substrate 100 (i.e., the remaining portion of the substrate 100) Above and below, as shown in Figure 6E. These processed semiconductor structures 120 are carried by the layer of semiconductor material 104. The one or more processed semiconductor structures 120 can include, for example, an electronic signal processor, an electronic memory device, and/or an optoelectronic device (eg, a light emitting diode, a laser diode, a solar cell, etc.).

參考圖6F,額外之一個或更多已處理半導體構造,像是該已處理半導體構造160A及該已處理半導體構造160B,可以於該層半導體材料104之第一面,在構造上及電氣上耦合至晶圓間透通連結130之該些曝露端面及該些通孔156`,以形成圖6F所示之黏附半導體構造。額外之該些已處理半導體構造160A、160B可以與原地形成於該層半導體材料104之上及之中之已處理半導體構造120由該層半導體材料104之一共同面所承載。Referring to FIG. 6F, an additional one or more processed semiconductor structures, such as the processed semiconductor structure 160A and the processed semiconductor structure 160B, may be structurally and electrically coupled to the first side of the layer of semiconductor material 104. The exposed end faces of the inter-wafer vias 130 and the vias 156' are formed to form the bonded semiconductor structure shown in FIG. 6F. The plurality of additional processed semiconductor structure 160A, 160B may be formed on the layer of semiconductor material 104 and in place of the processed semiconductor structure 120 carried by one of the surface layer of semiconductor material 104 together.

每個額外之已處理半導體構造160A、160B皆可包括一半導體裝置,像是一電子信號處理器、一電子記憶裝置,及/或一光電裝置(例如一發光二極體、一雷射二極體、一太陽能電池等等)。作為非限制性質之一範例,原地形成之已處理半導體構造120可以包括一電子信號處理器裝置,而每個額外之已處理半導體構造160A、160B皆可包括一電子記憶裝置、一發光二極體、一雷射二極體,及一太陽能電池至少其中之一。Each of the additional processed semiconductor structures 160A, 160B can include a semiconductor device such as an electronic signal processor, an electronic memory device, and/or an optoelectronic device (eg, a light emitting diode, a laser diode) Body, a solar cell, etc.). As an example of a non-limiting nature, the in situ formed processed semiconductor structure 120 can include an electronic signal processor device, and each additional processed semiconductor structure 160A, 160B can include an electronic memory device, a light emitting diode At least one of a body, a laser diode, and a solar cell.

在一些實施例中,額外之該些已處理半導體構造160A、160B之導電部件,像是導電墊,可以利用諸如導電焊接微凸塊或微球162,在構造上及電氣上耦合至個別之晶圓間透通連結130及通孔156,如本發明所屬技術領域中所已知。此外,額外之該些已處理半導體構造160A及160B可包括以如前述之本發明之方法所製作之中介層及電氣佈線構造。In some embodiments, additional conductive features of the processed semiconductor structures 160A, 160B, such as conductive pads, may be structurally and electrically coupled to individual crystals using, for example, conductive solder bumps or microspheres 162. The inter-circle through connection 130 and the through hole 156 are known in the art to which the present invention pertains. Additionally, the additional processed semiconductor structures 160A and 160B can include interposers and electrical wiring structures fabricated by the methods of the present invention as described above.

經由使額外之該些已處理半導體構造160A、160B在電氣上耦合至該些晶圓間透通連結130及通孔156`,一個或更多電氣路徑便可以提供於該已處理半導體構造120與每個額外之已處理半導體構造160A、160B之間,該些電氣路徑連續穿過該第一金屬化層124、該底材100之餘留部分(亦即經由該些晶圓間透通連結130及通孔156`穿過該層半導體材料104),及該第二金屬化層154。此等電氣路徑可以用於在該些已處理半導體構造120、160A、160B之間傳遞電子信號及/或電力。因此,該些已處理半導體構造120、160A、160B可加以設計及組構使之如單一半導體封裝裝置般一起操作。One or more electrical paths may be provided to the processed semiconductor structure 120 by electrically coupling the additional processed semiconductor structures 160A, 160B to the inter-wafer via junctions 130 and vias 156'. Between each additional processed semiconductor structure 160A, 160B, the electrical paths continuously pass through the first metallization layer 124, the remaining portion of the substrate 100 (ie, via the inter-wafer via junctions 130) And the via 156' passes through the layer of semiconductor material 104) and the second metallization layer 154. These electrical paths can be used to transfer electronic signals and/or power between the processed semiconductor structures 120, 160A, 160B. Thus, the processed semiconductor structures 120, 160A, 160B can be designed and organized to operate as a single semiconductor package.

同樣如圖6F所示,該第二金屬化層154之導電部件156可以在構造上及電氣上耦合至另一較高等級構造,像是另一底材170,之導電部件。該底材170可以包括,舉例而言,一有機印刷電路板,亦可以包括一封裝等級底材。該第二金屬化層154之該些導電部件156可以利用諸如導電焊接凸塊或焊接球172,在構造上及電氣上耦合至該底材170之導電部件,如本發明所屬技術領域中所已知。電氣路徑亦可以經由該第一金屬化層124、該些晶圓間透通連結130、該第二金屬化層154至該額外底材170之導電部件,而提供於該些已處理半導體構造120、160A、160B之間,此等額外之電氣路徑亦可以用於在該些已處理半導體構造之間傳遞電力及/或電子信號。As also shown in FIG. 6F, the electrically conductive member 156 of the second metallization layer 154 can be structurally and electrically coupled to another higher level configuration, such as another substrate 170, the electrically conductive member. The substrate 170 can include, for example, an organic printed circuit board, and can also include a package grade substrate. The conductive features 156 of the second metallization layer 154 can be structurally and electrically coupled to the conductive features of the substrate 170, such as by conductive solder bumps or solder balls 172, as in the art to which the present invention pertains. know. The electrical path may also be provided to the processed semiconductor structures 120 via the first metallization layer 124, the inter-wafer via bonds 130, and the second metallization layer 154 to the conductive features of the additional substrate 170. Between 160A and 160B, such additional electrical paths may also be used to transfer electrical and/or electronic signals between the processed semiconductor structures.

圖7A至7F與圖6A至6F類似,係用於呈現本發明之方法之額外實施例,該些方法可以用於形成一黏附半導體構造,該黏附半導體構造包含由圖5之構造所承載之兩個或更多已處理半導體構造。但在圖7A至7F之實施例中,該底材100之該層電氣絕緣材料105並未如圖6A至6F之實施例般在處理過程中被移除。圖7A至7F之方法之製程大致而言與上文有關圖6A至6F所述者相同,因此前文已述及之細節不再於下文重複。Figures 7A through 7F are similar to Figures 6A through 6F and are used to present additional embodiments of the method of the present invention that can be used to form an adherent semiconductor construction comprising two of the structures carried by the configuration of Figure 5. One or more processed semiconductor constructions. However, in the embodiment of Figures 7A through 7F, the layer of electrically insulating material 105 of the substrate 100 is not removed during processing as in the embodiment of Figures 6A through 6F. The process of the method of Figures 7A through 7F is generally the same as that described above with respect to Figures 6A through 6F, and thus the details already described above are not repeated below.

本發明之額外實施例之方法可以再次使用如圖7A所呈現之一已處理半導體構造120。The method of the additional embodiment of the present invention may again use the processed semiconductor construction 120 as shown in Figure 7A.

如圖7B所示,一載體底材140可以選擇性地暫時黏附至該第一金屬化層124之一曝露主要表面128。將該載體底材140黏附至該第一金屬化層124後,該底材100之基底106便可以從該構造移除,留下該層半導體材料104及該層電氣絕緣材料105。複數個晶圓間透通連結130可以形成並使之穿過該第一金屬化層124、該層半導體材料104及該層電氣絕緣材料105,以形成圖7C所示之構造。在此等方法中,該載體底材140可以在用於形成多個洞孔或通孔之一蝕刻製程中作為蝕刻阻擋層使用,該些洞孔或通孔最終將以一種或更多導電材料填充以形成該些晶圓間透通連結130。As shown in FIG. 7B, a carrier substrate 140 can be selectively temporarily adhered to one of the first metallization layers 124 to expose the major surface 128. After the carrier substrate 140 is adhered to the first metallization layer 124, the substrate 106 of the substrate 100 can be removed from the structure, leaving the layer of semiconductor material 104 and the layer of electrically insulating material 105. A plurality of inter-wafer via junctions 130 may be formed and passed through the first metallization layer 124, the layer of semiconductor material 104, and the layer of electrically insulating material 105 to form the configuration shown in FIG. 7C. In such methods, the carrier substrate 140 can be used as an etch stop in an etch process for forming a plurality of holes or vias that will ultimately be one or more conductive materials. Filling to form the inter-wafer via junctions 130.

參考圖7D,一第二金屬化層154可以形成於該層半導體材料104之第二面上方,該第二面為其上已有該第一金屬化層124形成之該層半導體材料104之第一面之相反面。換言之,該第二金屬化層154可以形成於該層電氣絕緣材料105之上。相對於圖7A至7C之角度,圖7D之角度是倒轉的,因為該構造可能會被倒轉,以利該第二金屬化層154之形成。該第二金屬化層154與該第一金屬化層124類似,亦包含複數個導電部件156,如本說明書所述。Referring to FIG. 7D, a second metallization layer 154 may be formed over the second side of the layer of semiconductor material 104, the second side of which is the first layer of semiconductor material 104 having the first metallization layer 124 formed thereon. The opposite side of the other side. In other words, the second metallization layer 154 can be formed over the layer of electrically insulating material 105. With respect to the angles of Figures 7A through 7C, the angle of Figure 7D is inverted because the configuration may be reversed to facilitate the formation of the second metallization layer 154. The second metallization layer 154 is similar to the first metallization layer 124 and also includes a plurality of conductive features 156 as described herein.

圖7E呈現該半導體構造再次被倒轉,以使該第二金屬化層154位於該半導體構造之底部(從圖7D之角度而言)。如圖7E所示,該第一金屬化層124之多個部分及該載體底材140可予以移除。例如,該第一金屬化層124覆蓋住該層半導體材料104但不包含任何電晶體122的區域可予以移除,亦即將介電材料125從該已處理半導體構造之非主動區域移除。該介電材料125可以經由諸如乾式蝕刻(例如反應離子蝕刻)或濕式蝕刻之一蝕刻製程加以移除。為了移除該已處理半導體構造之非主動區域中之介電材料125,可以將圖7D所示之該已處理構造從該載體底材140分離,並使之附著至額外之一載體(未顯示)。該額外載體可以附著至該第二金屬化層154。在該介電材料125從該已處理半導體構造之該些非主動區域移除後,該第二金屬化層154之通孔156`便會露出,如圖7E所呈現。Figure 7E shows that the semiconductor construction is again inverted such that the second metallization layer 154 is at the bottom of the semiconductor construction (from the perspective of Figure 7D). As shown in FIG. 7E, portions of the first metallization layer 124 and the carrier substrate 140 can be removed. For example, the region of the first metallization layer 124 that covers the layer of semiconductor material 104 but does not include any of the transistors 122 can be removed, that is, the dielectric material 125 is removed from the inactive region of the processed semiconductor structure. The dielectric material 125 can be removed via an etching process such as dry etching (eg, reactive ion etching) or wet etching. To remove the dielectric material 125 in the inactive region of the processed semiconductor construction, the processed configuration shown in FIG. 7D can be separated from the carrier substrate 140 and attached to an additional carrier (not shown) ). The additional carrier can be attached to the second metallization layer 154. After the dielectric material 125 is removed from the inactive regions of the processed semiconductor structure, the vias 156' of the second metallization layer 154 are exposed, as shown in Figure 7E.

在該處理過程之此一階段,一個或更多已處理半導體構造120已原地形成於該底材100餘留部分之該層半導體材料104之上及之中。圖7E之已處理半導體構造可加以切割為晶粒(且該載體可予以移除)。此外,該晶粒可以為經過電氣測試並利用凸塊技術安裝在一封裝體上之已知良品(KGD)。接著,可以使用微凸塊技術將額外之晶粒(利用類似或不同之功能性或使用類似或不同之技術製作)堆疊在圖7E之中介層頂部,在該些主動裝置(亦即主動區域)及非主動裝置(亦即非主動區域)上方。 At this stage of the process, one or more processed semiconductor structures 120 have been formed in situ on and in the layer of semiconductor material 104 remaining in the portion of the substrate 100. The processed semiconductor construction of Figure 7E can be diced into dies (and the carrier can be removed). In addition, the die can be a known good (KGD) that has been electrically tested and mounted on a package using bump technology. Next, additional dies (made with similar or different functionality or using similar or different techniques) can be stacked on top of the interposer of Figure 7E using microbumping techniques, in the active devices (ie active regions) And above the non-active device (ie, the inactive area).

參考圖7F,額外之一個或更多已處理半導體構造,像是該已處理半導體構造160A及該已處理半導體構造160B,可以於該層半導體材料104之第一面,在構造上及電氣上耦合至晶圓間透通連結130之該些曝露端面及該些通孔156’,以形成圖7F所示之黏附半導體構造。 Referring to FIG. 7F, an additional one or more processed semiconductor structures, such as the processed semiconductor structure 160A and the processed semiconductor structure 160B, may be structurally and electrically coupled to the first side of the layer of semiconductor material 104. The exposed end faces of the inter-wafer via 130 and the vias 156' are formed to form the bonded semiconductor structure shown in FIG. 7F.

經由使額外之該些已處理半導體構造160A、160B在電氣上耦合至該些晶圓間透通連結130及通孔156’,一個或更多電氣路徑便可以提供於該已處理半導體構造120與每個額外之已處理半導體構造160A、160B之間,該些電氣路徑連續穿過該第一金屬化層124、該底材100之餘留部分(亦即經由該些晶圓間透通連結130及通孔156’穿過該層半導體材料104及該層電氣絕緣材料105),及該第二金屬化層154。此等電氣路徑可以用於在該些已處理半導體構造120、160A、160B之間傳遞電力及/或電子信號。 One or more electrical paths may be provided to the processed semiconductor structure 120 by electrically coupling the additional processed semiconductor structures 160A, 160B to the inter-wafer via junctions 130 and vias 156'. Between each additional processed semiconductor structure 160A, 160B, the electrical paths continuously pass through the first metallization layer 124, the remaining portion of the substrate 100 (ie, via the inter-wafer via junctions 130) And the via 156' passes through the layer of semiconductor material 104 and the layer of electrically insulating material 105), and the second metallization layer 154. These electrical paths can be used to transfer power and/or electronic signals between the processed semiconductor structures 120, 160A, 160B.

同樣如圖7F所示,該第二金屬化層154之導電部件156可以在構造上及電氣上耦合至另一較高等級構造,像是另一底材170,之導電部件。電氣路徑亦可以經由該第一金屬化層124、該些晶圓間透通連結130、該 第二金屬化層154至該額外底材170之導電部件,而提供於該些已處理半導體構造120、160A、160B之間,此等額外之電氣路徑亦可以用於在該些已處理半導體構造之間傳遞電力及/或電子信號。 As also shown in FIG. 7F, the conductive features 156 of the second metallization layer 154 can be structurally and electrically coupled to another higher level configuration, such as another substrate 170, the conductive features. The electrical path may also pass through the first metallization layer 124, the inter-wafer via connections 130, The second metallization layer 154 to the conductive features of the additional substrate 170 are provided between the processed semiconductor structures 120, 160A, 160B, and such additional electrical paths may also be used in the processed semiconductor structures. Power and/or electronic signals are passed between.

在本發明之方法之更多其他實施例中,該第一金屬化層124可以在非對應於有待原地形成已處理半導體構造之區域中包含額外之導電部件126,而該第一金屬化層之此等區域不必在處理過程中予以移除。 In still other embodiments of the method of the present invention, the first metallization layer 124 can include an additional conductive feature 126 in a region that does not correspond to the formation of the processed semiconductor structure in situ, and the first metallization layer These areas do not have to be removed during processing.

舉例而言,圖8與圖5類似,其呈現一第一金屬化層124',該金屬化層可以形成在該層半導體材料104與該層電氣絕緣材料105相反之一第一面上。在圖8之實施例中,多個導電部件126形成於該第一金屬化層124’中,在該底材100中電晶體122已形成之區域上方,而額外之導電部件126則形成於該底材100中不包含任何電晶體122之其他區域上方。 For example, FIG. 8 is similar to FIG. 5 in that it presents a first metallization layer 124 that may be formed on one of the first faces of the layer of semiconductor material 104 opposite the layer of electrically insulating material 105 . In the embodiment of FIG. 8, a plurality of conductive features 126 are formed in the first metallization layer 124' above the region where the transistor 122 has been formed in the substrate 100, and additional conductive features 126 are formed therein. The substrate 100 does not contain any other areas of the transistor 122 above it.

圖9A至9F呈現形成一黏附半導體之方法,該些方法如同前文參考圖6A至6F所述者,但係使用圖8所示含有該第一金屬化層124'之構造,而非圖5所示之構造。圖9A至9F之方法之製程大致而言與上文有關圖6A至6F所敘述者相同,因此前文已述及之細節不再於下文重複。 9A to 9F illustrate a method of forming an adhesion semiconductor, which is as described above with reference to FIGS. 6A to 6F, but using the configuration including the first metallization layer 124' shown in FIG. 8, instead of FIG. The structure of the display. The process of the method of Figures 9A through 9F is generally the same as that described above with respect to Figures 6A through 6F, and thus the details already described above are not repeated below.

參考圖9A,複數個晶圓間透通連結130可以形成並使之穿過該第一金屬化層124'及該層半導體材料104而延伸至該層電氣絕緣材料105。在此等方法中,該層電氣絕緣材料105可以在用於形成多個洞孔或通孔之一蝕刻製程中作為蝕刻阻擋層使用,該些洞孔或通孔最終將以一種或更多導電材料填充以形成該些晶圓間透通連結130。 Referring to FIG. 9A, a plurality of inter-wafer via junctions 130 may be formed and extend through the first metallization layer 124 ' and the layer of semiconductor material 104 to the layer of electrically insulating material 105. In such methods, the layer of electrically insulating material 105 can be used as an etch stop in an etch process for forming a plurality of vias or vias that will eventually be one or more conductive. The material is filled to form the inter-wafer via junctions 130.

如圖9B所示,形成穿過該第一金屬化層124'及該層半導體材料104之該些晶圓間透通連結130後,一載體底材140可以選擇性地暫時黏附至該第一金屬化層124'之一曝露主要表面128。將該載體底材140黏附至該第一金屬化層124'後,該底材100之基底106及該層電氣絕緣材料105便可以從該構造移除,留下該層半導體材料104以形成圖9C所示之構造。As shown in FIG. 9B, after the inter-wafer via bonds 130 are formed through the first metallization layer 124 ' and the layer of semiconductor material 104, a carrier substrate 140 can be selectively temporarily adhered to the first One of the metallization layers 124 ' exposes the major surface 128. After the carrier substrate 140 is adhered to the first metallization layer 124 ' , the substrate 106 of the substrate 100 and the layer of electrically insulating material 105 can be removed from the structure, leaving the layer of semiconductor material 104 to form a pattern. The structure shown in 9C.

應注意的是,圖9C所呈現之半導體構造亦可以以另一種方法加以製作:將圖8之半導體構造安裝在一載體底材上,並透過研磨及磨光其中之一種或多種,將該層半導體材料104及該層電氣絕緣材料105移除。後續製程可以界定出穿過半導體層104並伸進該第一金屬化層124`之該些晶圓間透通連結130。It should be noted that the semiconductor structure presented in FIG. 9C can also be fabricated in another manner by mounting the semiconductor structure of FIG. 8 on a carrier substrate and by grinding and polishing one or more of the layers. The semiconductor material 104 and the layer of electrically insulating material 105 are removed. Subsequent processes may define the inter-wafer via junctions 130 that pass through the semiconductor layer 104 and into the first metallization layer 124'.

參考圖9D,一第二金屬化層154可以形成於該層半導體材料104之一第二面,該第二面為其上已有該第一金屬化層124'形成之該層半導體材料104之第一面之相反面。相對於圖9A至9C之角度,圖9D之角度是倒轉的,因為該構造可能會被倒轉,以利該第二金屬化層154之形成。該第二金屬化層154與該第一金屬化層124'類似,亦包含複數個導電部件156,如前文所述。Referring to FIG. 9D, a second metallization layer 154 may be formed on a second side of the layer of semiconductor material 104, the second side being the layer of semiconductor material 104 having the first metallization layer 124 ' formed thereon. The opposite side of the first side. With respect to the angles of Figures 9A through 9C, the angle of Figure 9D is inverted because the configuration may be reversed to facilitate the formation of the second metallization layer 154. The second metallization layer 154 is similar to the first metallization layer 124 ' and also includes a plurality of conductive features 156 as previously described.

圖9E呈現該半導體構造再次被倒轉,以使該第二金屬化層154位於該半導體構造之底部(從圖9E之角度而言)。如圖9E所示,該載體底材140可予以移除。惟該第一金屬化層124'覆蓋住該層半導體材料104但不包含任何電晶體122的區域可以不必如前所述之實施例般予以移除。在該處理過程之此一階段,一個或更多已處理半導體構造120已原地形成於該底材100餘留部分之該層半導體材料104之上及之中。Figure 9E shows that the semiconductor construction is again inverted such that the second metallization layer 154 is at the bottom of the semiconductor construction (from the perspective of Figure 9E). The carrier substrate 140 can be removed as shown in Figure 9E. However, the region of the first metallization layer 124 ' that covers the layer of semiconductor material 104 but does not include any of the transistors 122 may be removed without the prior art embodiments. At this stage of the process, one or more processed semiconductor structures 120 have been formed in situ on and in the layer of semiconductor material 104 remaining in the portion of the substrate 100.

在該處理過程之此一階段,圖9E之已處理半導體構造可加以切割為晶粒(且該載體可予以移除)。此外,該晶粒可以為經過電氣測試並利用凸塊技術安裝在一封裝體上之已知良品(KGD)。接著,可以使用微凸塊技術將額外之晶粒(利用類似或不同之功能性或使用類似或不同之技術製作)堆疊在圖9E之中介層頂部,在該些主動裝置(亦即主動區域)及非主動裝置(亦即非主動區域)上方。At this stage of the process, the processed semiconductor structure of Figure 9E can be diced into dies (and the carrier can be removed). In addition, the die can be a known good (KGD) that has been electrically tested and mounted on a package using bump technology. Next, additional dies (made with similar or different functionality or using similar or different techniques) can be stacked on top of the interposer of Figure 9E using microbumping techniques, in the active devices (ie active regions) And above the non-active device (ie, the inactive area).

因此,詳言之,參考圖9F,額外之一個或更多已處理半導體構造,像是該已處理半導體構造160A、該已處理半導體構造160B,及一已處理半導體構造160C,可以在構造上及電氣上於該第一金屬化層124'之該曝露主要表面耦合至晶圓間透通連結130之該些曝露端面,以形成圖9F所示之黏附半導體構造。額外之已處理半導體構造160C可以包括前述與額外之該些已處理半導體構造160A及160B有關之任何類型之已處理半導體構造。以此方式組構後,電氣路徑便可以透過該第一金屬化層124'、該些晶圓間透通連結130,及該第二金屬化層154,提供於該些已處理半導體構造120、160A、160B、160C之間,該些電氣路徑可以用於在該些已處理半導體構造間傳遞電力及/或電子信號。Thus, in particular, referring to FIG. 9F, an additional one or more processed semiconductor structures, such as the processed semiconductor structure 160A, the processed semiconductor structure 160B, and a processed semiconductor structure 160C, may be The exposed major surface of the first metallization layer 124 ' is electrically coupled to the exposed end faces of the inter-wafer via junction 130 to form the bonded semiconductor construction shown in FIG. 9F. The additional processed semiconductor construction 160C can include any of the types of processed semiconductor constructions described above in connection with the additional processed semiconductor structures 160A and 160B. After being configured in this manner, the electrical path can be provided to the processed semiconductor structures 120 through the first metallization layer 124 , the inter-wafer via junctions 130 , and the second metallization layer 154 . Between 160A, 160B, 160C, the electrical paths can be used to transfer power and/or electronic signals between the processed semiconductor structures.

同樣如圖9F所示,該第二金屬化層154之導電部件156可以如前所述,在構造上及電氣上耦合至另一較高等級構造,像是另一底材170,之導電部件。電氣路徑亦可以經由該第一金屬化層124'、該些晶圓間透通連結130、該第二金屬化層154至該額外底材170之導電部件,提供於該些已處理半導體構造120、160A、160B、160C之間,此等額外之電氣路徑亦可以用於在該些已處理半導體構造之間傳遞電力及/或電子信號。As also shown in FIG. 9F, the conductive features 156 of the second metallization layer 154 can be structurally and electrically coupled to another higher level configuration, such as another substrate 170, as described above. . The electrical path may also be provided to the processed semiconductor structures 120 via the first metallization layer 124 , the inter-wafer via junctions 130 , and the second metallization layer 154 to the conductive features of the additional substrate 170 . Between 160A, 160B, 160C, such additional electrical paths may also be used to transfer electrical and/or electronic signals between the processed semiconductor structures.

圖10A至10F呈現形成一黏附半導體之方法,該些方法如同前文參考圖7A至7F所述者,但係使用圖8所示含有該第一金屬化層124'之構造,而非圖5所示之構造。圖10A至10F之方法之製程大致而言與上文有關圖6A至6F及7A至7F所敘述者相同,因此前文已述及之細節不再於下文重複。10A through 10F illustrate a method of forming an adhesion semiconductor, as described above with reference to FIGS. 7A through 7F, but using the configuration of the first metallization layer 124 ' shown in FIG. 8, instead of FIG. The structure of the display. The processes of the methods of Figures 10A through 10F are generally the same as those described above with respect to Figures 6A through 6F and 7A through 7F, and thus the details already described above are not repeated below.

參考圖10A,複數個晶圓間透通連結130可以形成並使之穿過該第一金屬化層124'、該層半導體材料104、該層電氣絕緣材料105而延伸至該基底106。在此等方法中,該基底106可以在用於形成多個洞孔或通孔之一蝕刻製程中作為蝕刻阻擋層使用,該些洞孔或通孔最終將以一種或更多導電材料填充以形成該些晶圓間透通連結130。Referring to FIG. 10A, a plurality of inter-wafer via junctions 130 may be formed and extend through the first metallization layer 124 ' , the layer of semiconductor material 104, and the layer of electrically insulating material 105 to the substrate 106. In such methods, the substrate 106 can be used as an etch stop in an etch process for forming a plurality of vias or vias that will eventually be filled with one or more conductive materials. The inter-wafer via junctions 130 are formed.

如圖10B所示,形成穿過該第一金屬化層124'、該層半導體材料104及該層電氣絕緣材料105之該些晶圓間透通連結130後,一載體底材140可以選擇性地暫時黏附至該第一金屬化層124'之一曝露主要表面128。將該載體底材140黏附至該第一金屬化層124'後,該底材100之基底106便可以從該構造移除,留下該層半導體材料104及該層電氣絕緣材料105以形成圖10C所示之構造。As shown in FIG. 10B, after the inter-wafer via junction 130 is formed through the first metallization layer 124 ' , the layer of semiconductor material 104, and the layer of electrically insulating material 105, a carrier substrate 140 can be selectively selected. Temporarily adhered to one of the first metallization layers 124 ' to expose the major surface 128. After the carrier substrate 140 is adhered to the first metallization layer 124 ' , the substrate 106 of the substrate 100 can be removed from the structure, leaving the layer of semiconductor material 104 and the layer of electrically insulating material 105 to form a pattern. The structure shown in 10C.

應注意的是,圖10C所呈現之半導體構造亦可以以另一種方法加以製作:將圖8之半導體構造安裝在一載體底材上,並透過研磨及磨光其中之一種或多種,將該層半導體材料104移除。後續製程可以界定出穿過該層電氣絕緣材料105及該半導體層104並伸進該第一金屬化層124`之該些晶圓間透通連結130。It should be noted that the semiconductor structure presented in FIG. 10C can also be fabricated in another manner by mounting the semiconductor structure of FIG. 8 on a carrier substrate and by grinding and polishing one or more of the layers. The semiconductor material 104 is removed. Subsequent processes may define the inter-wafer via junctions 130 that pass through the layer of electrically insulating material 105 and the semiconductor layer 104 and into the first metallization layer 124'.

參考圖10D,一第二金屬化層154可以形成於該層半導體材料104之一第二面,該第二面為其上已有該第一金屬化層124'形成之該層半導體材料104之第一面之相反面。換言之,該第二金屬化層154可以形成在與該層半導體材料104相反之該層電氣絕緣材料105之一面上。相對於圖10A至10C之角度,圖10D之角度是倒轉的,因為該構造可能會被倒轉,以利該第二金屬化層154之形成。該第二金屬化層154與該第一金屬化層124'類似,亦包含複數個導電部件156,如前文所述。Referring to FIG. 10D, a second metallization layer 154 may be formed on a second side of the layer of semiconductor material 104, the second side being the layer of semiconductor material 104 having the first metallization layer 124 ' formed thereon. The opposite side of the first side. In other words, the second metallization layer 154 can be formed on one side of the layer of electrically insulating material 105 opposite the layer of semiconductor material 104. With respect to the angles of Figures 10A through 10C, the angle of Figure 10D is inverted because the configuration may be reversed to facilitate the formation of the second metallization layer 154. The second metallization layer 154 is similar to the first metallization layer 124 ' and also includes a plurality of conductive features 156 as previously described.

圖10E呈現該半導體構造再次被倒轉,以使該第二金屬化層154位於該半導體構造之底部(從圖10E之角度而言)。如圖10E所示,該載體底材140可予以移除。惟該第一金屬化層124'覆蓋住該層半導體材料104但不包含任何電晶體122的區域可以不必如前文參考圖6A至6F及7A至7F所述之實施例般予以移除。在該處理過程之此一階段,一個或更多已處理半導體構造120已原地形成於該底材100餘留部分之該層半導體材料104之上及之中。Figure 10E shows that the semiconductor construction is again inverted such that the second metallization layer 154 is at the bottom of the semiconductor construction (from the perspective of Figure 10E). As shown in Figure 10E, the carrier substrate 140 can be removed. However, the region of the first metallization layer 124 ' that covers the layer of semiconductor material 104 but does not include any of the transistors 122 may not necessarily be removed as in the prior embodiments described with reference to Figures 6A through 6F and 7A through 7F. At this stage of the process, one or more processed semiconductor structures 120 have been formed in situ on and in the layer of semiconductor material 104 remaining in the portion of the substrate 100.

在該處理過程之此一階段,圖10E之已處理半導體構造可加以切割為晶粒。此外,該晶粒可以為經過電氣測試並利用凸塊技術安裝在一封裝體上之已知良品(KGD)。接著,可以使用微凸塊技術將額外之晶粒(利用類似或不同之功能性或使用類似或不同之技術製作)堆疊在圖10E之中介層頂部,在該些主動裝置(亦即主動區域)及非主動裝置(亦即非主動區域)上方。At this stage of the process, the processed semiconductor structure of Figure 10E can be diced into dies. In addition, the die can be a known good (KGD) that has been electrically tested and mounted on a package using bump technology. Next, additional dies (made with similar or different functionality or using similar or different techniques) can be stacked on top of the interposer of Figure 10E using microbumping techniques, in the active devices (ie active regions) And above the non-active device (ie, the inactive area).

因此,詳言之,參考圖10F,額外之一個或更多已處理半導體構造,像是該已處理半導體構造160A、該已處理半導體構造160B,及該已處理半導體構造160C,可以在構造上及電氣上於該第一金屬化層124'之該曝露主要表面耦合至晶圓間透通連結130之該些曝露端面,以形成圖10F所示之黏附半導體構造。這樣,電氣路徑便可以透過該第一金屬化層124'、該些晶圓間透通連結130及該第二金屬化層154,提供於該些已處理半導體構造120、160A、160B、160C之間,該些電氣路徑可以用於在該些已處理半導體構造間傳遞電力及/或電子信號。 Thus, in particular, referring to FIG. 10F, an additional one or more processed semiconductor structures, such as the processed semiconductor structure 160A, the processed semiconductor structure 160B, and the processed semiconductor structure 160C, may be The exposed major surface of the first metallization layer 124 ' is electrically coupled to the exposed end faces of the inter-wafer via junction 130 to form the bonded semiconductor structure shown in FIG. 10F. Thus, the electrical path can be provided to the processed semiconductor structures 120, 160A, 160B, 160C through the first metallization layer 124 ' , the inter-wafer via junctions 130, and the second metallization layer 154. The electrical paths can be used to transfer electrical and/or electronic signals between the processed semiconductor structures.

同樣如圖10F所示,該第二金屬化層154之導電部件156可以如前所述,在構造上及電氣上耦合至另一較高等級構造,像是另一底材170,之導電部件。電氣路徑亦可以經由該第一金屬化層124'、該些晶圓間透通連結130、該第二金屬化層154至該額外底材170之導電部件,而提供於該些已處理半導體構造120、160A、160B、160C之間,此等額外之電氣路徑亦可以用於在該些已處理半導體構造之間傳遞電力及/或電子信號。 As also shown in FIG. 10F, the electrically conductive member 156 of the second metallization layer 154 can be structurally and electrically coupled to another higher level configuration, such as another substrate 170, as described above. . The electrical path may also be provided to the processed semiconductor structures via the first metallization layer 124 , the inter-wafer via junctions 130 , and the second metallization layer 154 to the conductive features of the additional substrate 170 . Between 120, 160A, 160B, 160C, such additional electrical paths may also be used to transfer electrical and/or electronic signals between the processed semiconductor structures.

在上述該些實施例中,額外之該些已處理半導體構造160A、160B、160C之導電部件(譬如導電墊)係利用該些導電微凸塊或微球162,而在構造上及電氣上耦合至該些晶圓間透通連結130及130’。同樣地,該第二金屬化層154之導電部件156係利用導電焊接凸塊或焊接球172,而在構造上及電氣上耦合至該額外底材170之導電部件。在本發明之額外實施例中,額外之該些已處理半導體構造160A、160B、160C之導電部件可以使用金屬對金屬直接黏附製程,在構造上及電氣上耦合至該些晶圓間透通連結130。同樣地,該第二金屬化層154之導電部件156可以使用金屬對金屬直接黏附製程,而在構造上及電氣上耦合至該額外底材170之導電部件。應注意的是,與本說明書中所述之微凸塊技術相較,直接黏附方法具有縮減之接合間距(bonding pitch)且可以應用於本發明之額外實施例中。此種縮減之接合間距可以允許在該些黏附裝置構造之間有較高之輸入/輸出(I/O)密度。 In the above embodiments, the additional conductive features (such as conductive pads) of the processed semiconductor structures 160A, 160B, 160C utilize the conductive microbumps or microspheres 162 to be structurally and electrically coupled. The through-wafer vias 130 and 130' are connected to the wafers. Similarly, the conductive features 156 of the second metallization layer 154 are structurally and electrically coupled to the conductive features of the additional substrate 170 using conductive solder bumps or solder balls 172. In additional embodiments of the present invention, the additional conductive features of the processed semiconductor structures 160A, 160B, 160C may be metal-to-metal direct adhesion processes, structurally and electrically coupled to the inter-wafer via connections. 130. Likewise, the conductive features 156 of the second metallization layer 154 can be structurally and electrically coupled to the conductive features of the additional substrate 170 using a metal-to-metal direct adhesion process. It should be noted that the direct adhesion method has a reduced bonding pitch as compared to the microbump technique described in this specification and can be applied to additional embodiments of the present invention. This reduced joint spacing allows for a higher input/output (I/O) density between the adhesive device configurations.

舉例而言,圖11呈現與圖10F類似之一黏附半導體構造之實施例,但在圖11中使用了金屬對金屬直接黏附製程,以將額外之該些已處理半導體構造160A、160B、160C之導電部件黏附至該些晶圓間透通連結130,並將該第二金屬化層154之導電部件156黏附至該額外底材170之導電部件。此等直接黏附製程亦可以用於形成如圖6F、7F及9F所示之黏附半導體構造。 For example, Figure 11 presents an embodiment of an adhesive semiconductor construction similar to that of Figure 10F, but with a metal-to-metal direct adhesion process in Figure 11 to add additional processed semiconductor structures 160A, 160B, 160C. A conductive member is adhered to the inter-wafer via junctions 130 and the conductive features 156 of the second metallization layer 154 are adhered to the conductive features of the additional substrate 170. These direct adhesion processes can also be used to form an adherent semiconductor construction as shown in Figures 6F, 7F and 9F.

在本發明之一些實施例中,該些金屬對金屬直接黏附製程可以在低於大約400℃之溫度下,或甚至低於大約350℃之溫度下施行,以避免對該些已處理半導體構造120、160A、160B、160C中的任何裝置構造造成熱損壞。在一些實施例中,該些黏附製程可以包括一超低溫直接黏附製程,亦可以包括一表面輔助直接黏附製程,如先前於本說明書中所界定之該些製程。 In some embodiments of the invention, the metal-to-metal direct adhesion processes can be performed at temperatures below about 400 ° C, or even below about 350 ° C, to avoid processing the processed semiconductor structures 120. Any of the device configurations of 160A, 160B, 160C cause thermal damage. In some embodiments, the adhesion processes may include an ultra-low temperature direct adhesion process, and may also include a surface assisted direct adhesion process, such as those previously defined in this specification.

作為另一範例,圖12呈現與圖7F類似之一黏附半導體構造之實施例,但在圖12中使用了氧化物對氧化物直接黏附製程,以將額外之該些已處理半導體構造160A、160B黏附至該層電氣絕緣材料105。如同在圖11中,一金屬對金屬直接黏附製程可以用於將該第二金屬化層154之導電部件156黏附至該額外底材170之導電部件。類似於前文參考圖7A至7F所述但略有修改之方法可以用於形成圖12之黏附半導體構造。例如,要形成圖12之黏附半導體構造,該第一金屬化層124之多個部分可以如前文參考圖7E所述之方式加以移除。但該些製程亦可以用於移除該層半導體材料104在此等區域中之多個部分,以露出該層電氣絕緣材料105(其可以形成使之包含一種氧化物)。然後,額外之該些已處理半導體構造 160A、160B便可以在氧化物對氧化物直接黏附之一製程中,直接黏附至該層電氣絕緣材料105。此外,就至少有待與額外之該些已處理半導體構造160A、160B連結之該些晶圓間透通連結130而言,其形成可以在額外之該些已處理半導體構造160A、160B於氧化物對氧化物直接黏附之一製程中黏附至該層電氣絕緣材料105之後,及該第二金屬化層154形成之前。於該直接黏附製程之後形成該些晶圓間透通連結130,可以改進建立於該些晶圓間透通連結130和與其耦合之額外之該些已處理半導體構造160A、160B之個別導電部件間之電氣連接之品質。 As another example, FIG. 12 presents an embodiment of an adhesive semiconductor construction similar to that of FIG. 7F, but using an oxide-to-oxide direct adhesion process in FIG. 12 to add additional processed semiconductor structures 160A, 160B. Adhered to the layer of electrically insulating material 105. As in FIG. 11, a metal-to-metal direct adhesion process can be used to adhere the conductive features 156 of the second metallization layer 154 to the conductive features of the additional substrate 170. A method similar to that described above with reference to Figures 7A through 7F but with minor modifications may be used to form the bonded semiconductor construction of Figure 12. For example, to form the bonded semiconductor construction of Figure 12, portions of the first metallization layer 124 can be removed as previously described with reference to Figure 7E. However, the processes can also be used to remove portions of the layer of semiconductor material 104 in such regions to expose the layer of electrically insulating material 105 (which can be formed to include an oxide). Then, the additional processed semiconductor structures 160A, 160B can be directly adhered to the layer of electrical insulating material 105 in one process of direct oxide-to-oxide adhesion. In addition, at least the inter-wafer via junctions 130 to be coupled to the additional processed semiconductor structures 160A, 160B may be formed in addition to the processed semiconductor structures 160A, 160B in an oxide pair. After one of the oxide direct adhesion processes adheres to the layer of electrically insulating material 105, and before the second metallization layer 154 is formed. Forming the inter-wafer via junctions 130 after the direct adhesion process can be improved between the inter-wafer via junctions 130 and the additional conductive features of the additional processed semiconductor structures 160A, 160B coupled thereto The quality of the electrical connection.

在本發明之一些實施例中,該氧化物對氧化物直接黏附製程可以在低於大約400℃之溫度下,或甚至低於大約350℃之溫度下施行,以避免對該些已處理半導體構造120、160A、160B中的任何裝置構造造成熱損壞。在一些實施例中,該些黏附製程可以包括一超低溫直接黏附製程,亦可以包括一表面輔助直接黏附製程,如先前於本說明書中所界定之該些製程。 In some embodiments of the invention, the oxide-to-oxide direct adhesion process can be performed at temperatures below about 400 ° C, or even below about 350 ° C, to avoid processing the processed semiconductor structures. Any device configuration in 120, 160A, 160B causes thermal damage. In some embodiments, the adhesion processes may include an ultra-low temperature direct adhesion process, and may also include a surface assisted direct adhesion process, such as those previously defined in this specification.

類似之氧化物對氧化物直接黏附製程亦可以用於形成如圖6F、9F及10F所示之黏附半導體構造。 A similar oxide to oxide direct adhesion process can also be used to form the bonded semiconductor construction as shown in Figures 6F, 9F and 10F.

本發明之該些實施例可用於在由一SeOI類型之底材之至少一部分所承載之多個已處理半導體構造間提供直接、連續之電氣路徑,該些電氣路徑僅穿過同樣由該SeOI類型之底材之至少該部分所承載之導電部件(例如導電墊、跡線及通孔),而不會穿過附著至該SeOI類型底材之至少一部分之另一較高等級底材,像是該額外底材170,之任何部分。此等電氣路徑較先前已知之組構更短,並可以改進信號速度及/或電源效率。 Embodiments of the present invention can be used to provide a direct, continuous electrical path between a plurality of processed semiconductor structures carried by at least a portion of a SeOI type substrate, the electrical paths only passing through the same type of SeOI At least a portion of the substrate carries conductive features (eg, conductive pads, traces, and vias) without passing through another higher level substrate attached to at least a portion of the SeOI type substrate, such as The extra substrate 170, any part of it. These electrical paths are shorter than previously known fabrics and can improve signal speed and/or power efficiency.

本發明額外之非限制性質示範性實施例敘述如下: Exemplary embodiments of additional non-limiting properties of the invention are recited below:

實施例1:一種形成一半導體裝置之方法,該方法包括:提供一底材,該底材包括在一層電氣絕緣材料上之一層半導體材料;在該底材上與該層電氣絕緣材料相反之該層半導體材料之一第一面,形成包含複數個導電部件之一第一金屬化層;形成複數個晶圓間透通連結使之至少部分穿過該底材,且形成該些晶圓間透通連結中至少一個晶圓間透通連結,使之穿過該金屬化層及該層半導體材料;在該底材上與該層半導體材料之第一面相反之該層半導體材料之一第二面,形成包含複數個導電部件之一第二金屬化層;以及在由該底材承載於該層半導體材料之第一面之一第一已處理半導體構造以及由該底材承載於該層半導體材料之第一面之一第二已處理半導體構造間,提供連續穿過該第一金屬化層、該底材,及該第二金屬化層之一電氣路徑。 Embodiment 1 : A method of forming a semiconductor device, the method comprising: providing a substrate comprising a layer of semiconductor material on a layer of electrically insulating material; the substrate being opposite to the layer of electrically insulating material Forming a first metallization layer comprising one of a plurality of conductive members; forming a plurality of inter-wafer via connections to at least partially pass through the substrate, and forming the inter-wafer through Passing through at least one of the wafers through the metallization layer and the layer of semiconductor material; one of the layers of semiconductor material opposite the first side of the layer of semiconductor material on the substrate a second metallization layer comprising a plurality of electrically conductive members; and a first processed semiconductor structure carried by the substrate on a first side of the layer of semiconductor material and carried by the substrate to the layer of semiconductor A second processed semiconductor structure between the first side of the material provides an electrical path through the first metallization layer, the substrate, and the second metallization layer.

實施例2:如實施例1之方法,其中提供該底材包括選定該底材使之包含一絕緣體上半導體(SeOI)底材。 Embodiment 2: The method of Embodiment 1, wherein providing the substrate comprises selecting the substrate to comprise a semiconductor-on-insulator (SeOI) substrate.

實施例3:如實施例2之方法,其中選定該底材使之包含一絕緣體上半導體(SeOI)底材包括選定該底材使之包含一絕緣體上矽(SOI)底材。 Embodiment 3. The method of Embodiment 2 wherein the substrate is selected to comprise a semiconductor-on-insulator (SeOI) substrate comprising selecting the substrate to comprise a silicon-on-insulator (SOI) substrate.

實施例4:如實施例1至3中任一項之方法,其中該層半導體材料具有之平均總厚度為大約1微米或更薄,且其中該層電氣絕緣材料包括一層氧化物材料,該層氧化物材料具有之平均總厚度為大約300nm或更薄。 The method of any one of embodiments 1 to 3, wherein the layer of semiconductor material has an average total thickness of about 1 micron or less, and wherein the layer of electrically insulating material comprises a layer of oxide material, the layer The oxide material has an average total thickness of about 300 nm or less.

實施例5:如實施例1至4中任一項之方法,其中形成該些晶圓間透通連結中至少一個晶圓間透通連結使之穿過該金屬化層及該層半導體材料更包括形成該些晶圓間透通連結中至少一個晶圓間透通連結使之穿過該層電氣絕緣材料。The method of any one of embodiments 1 to 4, wherein forming at least one of the inter-wafer via bonds is formed through the metallization layer and the layer of semiconductor material The method includes forming a through-wafer via interconnect between the inter-wafer via bonds to pass through the layer of electrically insulating material.

實施例6:如實施例1至5中任一項之方法,其更包括在該層半導體材料之第一面,將該第一已處理半導體構造及該第二已處理半導體構造至少其中之一黏附至該底材。The method of any one of embodiments 1 to 5, further comprising at least one of the first processed semiconductor structure and the second processed semiconductor structure on the first side of the layer of semiconductor material Adhered to the substrate.

實施例7:如實施例6之方法,其中在該層半導體材料之第一面將該第一已處理半導體構造及該第二已處理半導體構造至少其中之一黏附至該底材包括於金屬對金屬直接黏附之一製程中,在低於大約400℃之一個溫度或多個溫度下,將該第一已處理半導體構造及該第二已處理半導體構造至少其中之一直接黏附至該底材。Embodiment 7: The method of Embodiment 6, wherein at least one of the first processed semiconductor construction and the second processed semiconductor construction is adhered to the substrate on a first side of the layer of semiconductor material to be included in the metal pair In one of the processes of direct metal adhesion, at least one of the first processed semiconductor construction and the second processed semiconductor construction is directly adhered to the substrate at a temperature or temperatures less than about 400 °C.

實施例8:如實施例1至7中任一項之方法,其更包括在該層半導體材料之第一面,將該第一已處理半導體構造及該第二已處理半導體構造至少其中之一原地形成於該底材上。The method of any one of embodiments 1 to 7, further comprising at least one of the first processed semiconductor structure and the second processed semiconductor structure on the first side of the layer of semiconductor material It is formed on the substrate in situ .

實施例9:如實施例1至8中任一項之方法,其中提供一電氣路徑更包括組構該電氣路徑使之穿過該第一金屬化層之至少一個導電部件、穿過該金屬化層及該層半導體材料之該些晶圓間透通連結之至少一個晶圓間透通連結、該第二金屬化層之至少一個導電部件,及該些晶圓間透通連結之至少另一個晶圓間透通連結。The method of any one of embodiments 1 to 8, wherein providing an electrical path further comprises structuring the electrical path through the at least one electrically conductive member of the first metallization layer, through the metallization And at least one inter-wafer via connection between the layer and the semiconductor material of the layer of semiconductor material, at least one conductive component of the second metallization layer, and at least one other of the inter-wafer via connections Inter-wafer connection.

實施例10:如實施例1至9中任一項之方法,其更包括在構造上及電氣上將該第二金屬化層之至少一個導電部件連結至另一底材之一個導電部件。The method of any one of embodiments 1 to 9, further comprising structurally and electrically joining the at least one electrically conductive member of the second metallization layer to one of the electrically conductive members of the other substrate.

實施例11:如實施例1至10中任一項之方法,其更包括從由一電子信號處理器裝置、一電子記憶裝置、一電磁輻射發射器裝置,及一電磁輻射接收器裝置所構成之集合中,各別選定該第一已處理半導體構造及該第二已處理半導體構造。The method of any one of embodiments 1 to 10, further comprising: consisting of an electronic signal processor device, an electronic memory device, an electromagnetic radiation emitter device, and an electromagnetic radiation receiver device The first processed semiconductor structure and the second processed semiconductor structure are each selected in the set.

實施例12:如實施例11之方法,其更包括:選定該第一已處理半導體構造使之包括一電子信號處理器裝置;及選定該第二已處理半導體構造使之包括一電子記憶裝置、一發光二極體、一雷射二極體,及一太陽能電池至少其中之一。Embodiment 12: The method of Embodiment 11, further comprising: selecting the first processed semiconductor structure to include an electronic signal processor device; and selecting the second processed semiconductor structure to include an electronic memory device, At least one of a light emitting diode, a laser diode, and a solar cell.

實施例13:一半導體構造,其包括:一底材,其包括一層半導體材料;該底材上之一第一金屬化層,其位於該層半導體材料之一第一面;該底材上之一第二金屬化層,其位於與該層半導體材料之第一面相反之該層半導體材料之一第二面;複數個晶圓間透通連結,其至少部分穿過該第一金屬化層及該底材之該層半導體材料;一第一已處理半導體構造,由該底材承載於該層半導體材料之第一面;以及一第二已處理半導體構造,由該底材承載於該層半導體材料之第一面;其中一電氣路徑從該第一已處理半導體構造穿過該第一金屬化層之一導電部件、該些晶圓間透通連結之一第一晶圓間透通連結、該第二金屬化層之一導電部件,及該些晶圓間透通連結之一第二晶圓間透通連結,延伸至該第二已處理半導體構造。Embodiment 13: A semiconductor construction comprising: a substrate comprising a layer of semiconductor material; a first metallization layer on the substrate, the first surface of the layer of semiconductor material; the substrate a second metallization layer on a second side of one of the layers of semiconductor material opposite the first side of the layer of semiconductor material; a plurality of inter-wafer interconnects that at least partially pass through the first metallization layer And a layer of semiconductor material of the substrate; a first processed semiconductor structure carried by the substrate on a first side of the layer of semiconductor material; and a second processed semiconductor structure carried by the substrate a first side of the semiconductor material; wherein the electrical path passes from the first processed semiconductor structure through one of the first metallization layer conductive members, and the inter-wafer transparent connections are interconnected between the first wafers And electrically connecting one of the conductive members of the second metallization layer and the second wafer between the inter-wafer vias to the second processed semiconductor structure.

實施例14:如實施例13之半導體構造,其中該底材包括一絕緣體上半導體(SeOI)底材。Embodiment 14: The semiconductor construction of Embodiment 13, wherein the substrate comprises a semiconductor-on-insulator (SeOI) substrate.

實施例15:如實施例14之半導體構造,其中該絕緣體上半導體(SeOI)底材包括一絕緣體上矽(SOI)底材。Embodiment 15: The semiconductor construction of Embodiment 14, wherein the semiconductor-on-insulator (SeOI) substrate comprises a silicon-on-insulator (SOI) substrate.

實施例16:如實施例14或15之半導體構造,其中該層半導體材料具有大約1微米或更薄之平均總厚度。Embodiment 16: The semiconductor construction of Embodiment 14 or 15, wherein the layer of semiconductor material has an average total thickness of about 1 micron or less.

實施例17:如實施例14至16中任一項之半導體構造,其中該些晶圓間透通連結中至少一個晶圓間透通連結至少部分穿過該SeOI底材之一層電氣絕緣材料。The semiconductor construction of any one of embodiments 14 to 16, wherein at least one of the inter-wafer via bonds is at least partially passed through a layer of electrically insulating material of the SeOI substrate.

實施例18:如實施例13至17中任一項之半導體構造,其中該第一已處理半導體構造及該第二已處理半導體構造至少其中之一在該層半導體材料之第一面被黏附至該底材。The semiconductor construction of any one of embodiments 13 to 17, wherein at least one of the first processed semiconductor construction and the second processed semiconductor construction is adhered to the first side of the layer of semiconductor material to The substrate.

實施例19:如實施例18之半導體構造,其中該第一已處理半導體構造及該第二已處理半導體構造至少其中之一之一金屬部件被直接黏附至該些晶圓間透通連結中至少一個晶圓間透通連結。The semiconductor structure of embodiment 18, wherein at least one of the first processed semiconductor structure and the second processed semiconductor structure is directly adhered to the inter-wafer via junctions A wafer is connected through the gap.

實施例20:如實施例13至19中任一項之半導體構造,其中該電氣路徑在該第一已處理半導體構造及該第二已處理半導體構造之間連續延伸,穿過該底材、該第一金屬化層,及該第二金屬化層。The semiconductor construction of any one of embodiments 13 to 19, wherein the electrical path extends continuously between the first processed semiconductor construction and the second processed semiconductor construction, through the substrate, the a first metallization layer, and a second metallization layer.

實施例21:如實施例13至20中任一項之半導體構造,其中該第二金屬化層之至少一個導電部件在電氣上耦合至另一底材之一導電部件。The semiconductor construction of any one of embodiments 13 to 20, wherein the at least one electrically conductive component of the second metallization layer is electrically coupled to one of the electrically conductive components of the other substrate.

實施例22:如實施例13至21中任一項之半導體構造,其中該第一已處理半導體構造及該第二已處理半導體構造中的每一個皆包括一電子信號處理器裝置、一電子記憶裝置、一電磁輻射發射器裝置,及一電磁輻射接收器裝置其中之一。The semiconductor construction of any one of embodiments 13 to 21, wherein each of the first processed semiconductor structure and the second processed semiconductor structure comprises an electronic signal processor device, an electronic memory One of a device, an electromagnetic radiation emitter device, and an electromagnetic radiation receiver device.

實施例23:如實施例22之半導體構造,其中:該第一已處理半導體構造包括一電子信號處理器裝置;以及該第二已處理半導體構造包括一電子記憶裝置、一發光二極體、一雷射二極體,及一太陽能電池至少其中之一。Embodiment 23: The semiconductor construction of embodiment 22, wherein: the first processed semiconductor structure comprises an electronic signal processor device; and the second processed semiconductor structure comprises an electronic memory device, a light emitting diode, At least one of a laser diode and a solar cell.

上述之本發明示範性實施例並不會限制本發明之範圍。這些實施例僅為本發明實施例之範例,本發明係由所附之申請專利範圍及其法律同等效力所界定。任何等同之實施例均屬於本發明之範圍內。對熟悉本發明所屬技術領域者而言,除本說明書所示及所述者外,對於本揭露之各種修改,例如替換所述該些元件之有用組合,將因本說明書之敘述而變得顯而易見。此等修改例亦落在所附之申請專利之範圍內。本說明書所用之標題僅為清楚說明及便於理解而提供,該些標題並不會限制以下之申請專利範圍。The above described exemplary embodiments of the invention do not limit the scope of the invention. These examples are merely examples of embodiments of the invention, which are defined by the scope of the appended claims and their legal equivalents. Any equivalent embodiments are within the scope of the invention. For those skilled in the art to which the invention pertains, various modifications of the present disclosure, such as a substitute for the useful combinations of the elements, will become apparent from the description of the specification. . Such modifications are also intended to fall within the scope of the appended claims. The headings used in the present specification are provided for clarity and ease of understanding, and such titles do not limit the scope of the following claims.

100、170...底材100, 170. . . Substrate

104...半導體材料104. . . semiconductors

105...電氣絕緣材料105. . . Electrical insulation material

106...基底106. . . Base

107、128...主要表面107, 128. . . Main surface

110...半導體材料之一部分110. . . Part of semiconductor materials

112...離子植入平面112. . . Ion implantation plane

120、160A、160B...已處理半導體構造120, 160A, 160B. . . Processed semiconductor construction

122...電晶體122. . . Transistor

124...第一金屬化層124. . . First metallization layer

125...介電材料125. . . Dielectric material

126、156...導電部件126, 156. . . Conductive component

130...透通連結130. . . Transparent link

140...載體底材140. . . Carrier substrate

154...第二金屬化層154. . . Second metallization layer

156’...通孔156’. . . Through hole

162...微凸塊或微球162. . . Microbump or microsphere

172...凸塊或焊接球172. . . Bump or solder ball

藉由參照以下詳細說明及所附圖式,可更充分了解本發明之實施例,其中:Embodiments of the present invention can be more fully understood by reference to the following detailed description and appended claims.

圖1為一絕緣體上半導體(SeOI)底材之簡化截面圖,該底材可以為本發明之方法之實施例所採用;1 is a simplified cross-sectional view of a semiconductor-on-insulator (SeOI) substrate that can be employed in embodiments of the method of the present invention;

圖2為一簡化截面圖,其呈現一種可以用於製作圖1之SeOI底材之方法;Figure 2 is a simplified cross-sectional view showing a method that can be used to fabricate the SeOI substrate of Figure 1;

圖3為圖1之SeOI底材之簡化俯視圖,其綱要性地呈現該底材上有複數個已處理半導體構造;3 is a simplified top plan view of the SeOI substrate of FIG. 1 with an outline of a plurality of processed semiconductor structures on the substrate;

圖4為一簡化截面圖,其綱要性地呈現複數個電晶體形成於圖1之SeOI底材之一層半導體材料之中及之上;4 is a simplified cross-sectional view schematically showing a plurality of transistors formed in and on a layer of semiconductor material of the SeOI substrate of FIG. 1;

圖5為一簡化截面圖,其呈現一第一金屬化層形成於該些電晶體上方及圖1之SeOI底材之該層半導體材料之第一面;5 is a simplified cross-sectional view showing a first metallization layer formed over the plurality of transistors and a first side of the layer of semiconductor material of the SeOI substrate of FIG. 1;

圖6A至6F係用於呈現本發明之方法之實施例,該些方法可以用於形成一構造,該構造包含由圖5之底材所承載之兩個或更多已處理半導體構造,該些方法並可用於在電氣上互連該些已處理半導體構造至少其中兩個;6A through 6F are diagrams for presenting embodiments of the method of the present invention, which may be used to form a configuration comprising two or more processed semiconductor structures carried by the substrate of Figure 5, The method can be used to electrically interconnect at least two of the processed semiconductor structures;

圖6A呈現晶圓間透通連結之製作,該些晶圓間透通連結穿過該第一金屬化層及圖5所示之SeOI底材之該層半導體材料;FIG. 6A illustrates the fabrication of a through-wafer via connection between the wafers through the first metallization layer and the layer of semiconductor material of the SeOI substrate illustrated in FIG. 5;

圖6B呈現在該第一金屬化層與該SeOI底材相反之一面,一載體底材被黏附至該第一金屬化層;Figure 6B is shown on the opposite side of the first metallization layer and the SeOI substrate, a carrier substrate is adhered to the first metallization layer;

圖6C呈現該SeOI底材之一部分被移除,以在與該載體底材相反之一面露出穿過該底材之該些晶圓間透通連結;Figure 6C shows that a portion of the SeOI substrate is removed to provide a see-through connection between the wafers through the substrate on a side opposite the carrier substrate;

圖6D呈現在該SeOI底材之該層半導體材料與該第一金屬化層相反之一面,一第二金屬化層形成於該層半導體材料上;6D is a side of the layer of semiconductor material of the SeOI substrate opposite to the first metallization layer, a second metallization layer is formed on the layer of semiconductor material;

圖6E呈現該載體底材及圖6D所示構造之其他部分被移除;Figure 6E shows the carrier substrate and other portions of the configuration shown in Figure 6D removed;

圖6F呈現在該SeOI底材之該層半導體材料第一面上,額外之已處理半導體構造被黏附至圖6E之構造並在電氣上與其耦合,該圖更呈現在該SeOI底材之該層半導體材料第二面上,該半導體構造與另一底材之黏附及電氣耦合;Figure 6F is presented on the first side of the layer of semiconductor material of the SeOI substrate, the additional processed semiconductor structure being adhered to and electrically coupled to the structure of Figure 6E, the figure being further presented in the layer of the SeOI substrate On the second side of the semiconductor material, the semiconductor structure is adhered and electrically coupled to another substrate;

圖7A至7F與圖6A至6F類似,係用於呈現本發明之方法之額外實施例,該些方法可以用於形成一構造,其包含由圖5之底材所承載之兩個或更多已處理半導體構造,該些方法並可以用於在電氣上連結該些已處理半導體構造至少其中兩個,其中該SeOI底材之一層電氣絕緣材料並未在處理過程中被移除;Figures 7A through 7F are similar to Figures 6A through 6F and are used to present additional embodiments of the method of the present invention, which may be used to form a configuration comprising two or more of the substrates carried by Figure 5 The semiconductor construction has been processed, and the method can be used to electrically connect at least two of the processed semiconductor structures, wherein one layer of the SeOI substrate electrical insulation material is not removed during processing;

圖8與圖5類似,其呈現一第一金屬化層形成於該些電晶體上方及圖1之SeOI底材之該層半導體材料之第一面,包括其上並無電晶體形成之SeOI底材區域;8 is similar to FIG. 5, showing a first metallization layer formed on the first surface of the semiconductor material above the transistor and the SeOI substrate of FIG. 1, including a SeOI substrate having no crystal formed thereon. region;

圖9A至9F與圖6A至6F類似,係用於呈現本發明之方法之額外實施例,該些方法可以用於形成一構造,其包含由圖8之構造所承載之兩個或更多已處理半導體構造,該些方法並可以用於在電氣上連結該些已處理半導體構造至少其中兩個,其中該SeOI底材之一層電氣絕緣材料在處理過程中被移除;9A through 9F are similar to Figs. 6A through 6F for presenting additional embodiments of the method of the present invention, which may be used to form a configuration comprising two or more of the configurations carried by the configuration of Fig. 8. Processing semiconductor structures, and the methods can be used to electrically connect at least two of the processed semiconductor structures, wherein one layer of the SeOI substrate electrical insulation material is removed during processing;

圖10A至10F與圖9A至9F類似,係用於呈現本發明之方法之額外實施例,該些方法可以用於形成一構造,其包含由圖8之構造所承載之兩個或更多已處理半導體構造,該些方法並可以用於在電氣上連結該些已處理半導體構造至少其中兩個,其中該SeOI底材之一層電氣絕緣材料並未在處理過程中被移除;Figures 10A through 10F are similar to Figures 9A through 9F and are used to present additional embodiments of the method of the present invention, which may be used to form a configuration comprising two or more of the configurations carried by the configuration of Figure 8. Processing semiconductor structures, and the methods can be used to electrically connect at least two of the processed semiconductor structures, wherein one layer of the SeOI substrate electrical insulation material is not removed during processing;

圖11為一已處理半導體構造之簡化截面圖,該已處理半導體構造與圖10F所呈現者類似,但本圖呈現多個已處理半導體構造在該SeOI底材之第一面直接黏附至一第一金屬化層,且另一底材在該SeOI底材之第二面直接黏附至一第二金屬化層;以及11 is a simplified cross-sectional view of a processed semiconductor structure similar to that presented in FIG. 10F, but showing a plurality of processed semiconductor structures directly attached to a first side of the SeOI substrate. a metallization layer, and another substrate directly adhered to a second metallization layer on the second side of the SeOI substrate;

圖12為一已處理半導體構造之簡化截面圖,該已處理半導體構造與圖7F所呈現者類似,但本圖呈現多個已處理半導體構造直接黏附在該SeOI底材之第一面,且另一底材在該SeOI底材之第二面直接黏附至一金屬化層。Figure 12 is a simplified cross-sectional view of a processed semiconductor structure similar to that presented in Figure 7F, but showing a plurality of processed semiconductor structures directly attached to the first side of the SeOI substrate, and A substrate is directly adhered to a metallization layer on the second side of the SeOI substrate.

100、170...底材100, 170. . . Substrate

104...半導體材料104. . . semiconductors

105...電氣絕緣材料105. . . Electrical insulation material

106...基底106. . . Base

Claims (18)

一種形成一半導體裝置之方法,其包括:提供一底材,該底材包括在一層電氣絕緣材料上之一層半導體材料;在該底材上與該層電氣絕緣材料相反之該層半導體材料之一第一面形成包含複數個導電部件之一第一金屬化層;形成複數個晶圓間透通連結使之至少部分穿過該底材,且形成該些晶圓間透通連結中至少一個晶圓間透通連結,使之穿過該金屬化層及該層半導體材料;在該底材上與該層半導體材料之第一面相反之該層半導體材料之一第二面,形成包含複數個導電部件之一第二金屬化層;以及在由該底材承載於該層半導體材料之第一面之一第一已處理半導體構造以及由該底材承載於該層半導體材料之第一面之一第二已處理半導體構造間,提供連續穿過該第一金屬化層、該底材,及該第二金屬化層之一電氣路徑。A method of forming a semiconductor device, comprising: providing a substrate comprising a layer of semiconductor material on a layer of electrically insulating material; and one of the layers of semiconductor material opposite the layer of electrically insulating material on the substrate Forming, by the first surface, a first metallization layer comprising a plurality of conductive members; forming a plurality of inter-wafer via connections to at least partially pass through the substrate, and forming at least one of the inter-wafer via connections The circular space is transparently connected to pass through the metallization layer and the layer of semiconductor material; and the second surface of the layer of semiconductor material opposite to the first surface of the layer of semiconductor material is formed on the substrate to comprise a plurality of a second metallization layer of a conductive member; and a first processed semiconductor structure carried by the substrate on a first side of the layer of semiconductor material and carried by the substrate on a first side of the layer of semiconductor material A second processed semiconductor structure provides an electrical path through the first metallization layer, the substrate, and the second metallization layer. 如申請專利範圍第1項之方法,其中形成該些晶圓間透通連結中至少一個晶圓間透通連結使之穿過該金屬化層及該層半導體材料更包括形成該些晶圓間透通連結中至少一個晶圓間透通連結使之穿過該層電氣絕緣材料。The method of claim 1, wherein forming at least one of the inter-wafer via bonds through the metallization layer and the layer of semiconductor material further comprises forming the inter-wafer At least one inter-wafer via joint in the through-connection is passed through the layer of electrically insulating material. 如申請專利範圍第1項之方法,其更包括在該層半導體材料之第一面,將該第一已處理半導體構造及該第二已處理半導體構造至少其中之一黏附至該底材。The method of claim 1, further comprising adhering at least one of the first processed semiconductor structure and the second processed semiconductor structure to the substrate on a first side of the layer of semiconductor material. 如申請專利範圍第3項之方法,其中在該層半導體材料之第一面將該第一已處理半導體構造及該第二已處理半導體構造至少其中之一黏附至該底材包括於金屬對金屬直接黏附之一製程中,在低於大約400℃之一個溫度或多個溫度下,將該第一已處理半導體構造及該第二已處理半導體構造至少其中之一直接黏附至該底材。The method of claim 3, wherein at least one of the first processed semiconductor structure and the second processed semiconductor structure is adhered to the substrate on the first side of the layer of semiconductor material to be included in the metal to metal In one of the direct adhesion processes, at least one of the first processed semiconductor construction and the second processed semiconductor construction is directly adhered to the substrate at a temperature or temperatures less than about 400 °C. 如申請專利範圍第1項之方法,其更包括在該層半導體材料之第一面,將該第一已處理半導體構造及該第二已處理半導體構造至少其中之一原地形成於該底材上。The method of claim 1, further comprising forming at least one of the first processed semiconductor structure and the second processed semiconductor structure in situ on the first side of the layer of semiconductor material on. 如申請專利範圍第1項之方法,其中提供一電氣路徑更包括組構該電氣路徑使之穿過該第一金屬化層之至少一個導電部件、穿過該金屬化層及該層半導體材料之該些晶圓間透通連結之至少一個晶圓間透通連結、該第二金屬化層之至少一個導電部件,及該些晶圓間透通連結之至少另一個晶圓間透通連結。The method of claim 1, wherein providing an electrical path further comprises structuring the electrical path through the at least one electrically conductive member of the first metallization layer, through the metallization layer, and the layer of semiconductor material The at least one inter-wafer via connection between the wafers, the at least one conductive member of the second metallization layer, and the at least one other of the inter-wafer via connections are transparently connected. 如申請專利範圍第1項之方法,其更包括在構造上及電氣上將該第二金屬化層之至少一個導電部件連結至另一底材之一個導電部件。The method of claim 1, further comprising structurally and electrically joining the at least one electrically conductive member of the second metallization layer to one of the electrically conductive members of the other substrate. 如申請專利範圍第1項之方法,其更包括從由一電子信號處理器裝置、一電子記憶裝置、一電磁輻射發射器裝置,及一電磁輻射接收器裝置所構成之集合中,各別選定該第一已處理半導體構造及該第二已處理半導體構造。The method of claim 1, further comprising selecting from the group consisting of an electronic signal processor device, an electronic memory device, an electromagnetic radiation transmitter device, and an electromagnetic radiation receiver device. The first processed semiconductor structure and the second processed semiconductor structure. 如申請專利範圍第8項之方法,其更包括選定該第一已處理半導體構造使之包括一電子信號處理器裝置;及選定該第二已處理半導體構造使之包括一電子記憶裝置、一發光二極體、一雷射二極體,及一太陽能電池至少其中之一。The method of claim 8, further comprising selecting the first processed semiconductor structure to include an electronic signal processor device; and selecting the second processed semiconductor structure to include an electronic memory device, a light emitting At least one of a diode, a laser diode, and a solar cell. 一半導體構造,其包括:一底材,其包括一層半導體材料;該底材上之一第一金屬化層,其位於該層半導體材料之一第一面;該底材上之一第二金屬化層,其位於與該層半導體材料之第一面相反之該層半導體材料之一第二面;複數個晶圓間透通連結,其至少部分穿過該第一金屬化層及該底材之該層半導體材料;一第一已處理半導體構造,由該底材承載於該層半導體材料之第一面;以及一第二已處理半導體構造,由該底材承載於該層半導體材料之第一面;其中一電氣路徑從該第一已處理半導體構造穿過該第一金屬化層之一導電部件、該些晶圓間透通連結之一第一晶圓間透通連結、該第二金屬化層之一導電部件,及該些晶圓間透通連結之一第二晶圓間透通連結,延伸至該第二已處理半導體構造。A semiconductor construction comprising: a substrate comprising a layer of semiconductor material; a first metallization layer on the substrate on a first side of the layer of semiconductor material; and a second metal on the substrate a layer on a second side of one of the layers of semiconductor material opposite the first side of the layer of semiconductor material; a plurality of inter-wafer interconnects that at least partially pass through the first metallization layer and the substrate a layer of semiconductor material; a first processed semiconductor structure carried by the substrate on a first side of the layer of semiconductor material; and a second processed semiconductor structure carried by the substrate on the layer of semiconductor material One of the electrical paths from the first processed semiconductor structure through one of the first metallization layer conductive members, the inter-wafer via connections, the first inter-wafer via connection, the second A conductive member of one of the metallization layers and one of the inter-wafer via connections are electrically connected to the second wafer and extend to the second processed semiconductor structure. 如申請專利範圍第10項之半導體構造,其中該底材包括一絕緣體上半導體(SeOI)底材。The semiconductor construction of claim 10, wherein the substrate comprises a semiconductor-on-insulator (SeOI) substrate. 如申請專利範圍第11項之半導體構造,其中該些晶圓間透通連結中至少一個晶圓間透通連結至少部分穿過該SeOI底材之一層電氣絕緣材料。The semiconductor structure of claim 11, wherein at least one of the inter-wafer via bonds is at least partially passed through a layer of electrically insulating material of the SeOI substrate. 如申請專利範圍第10項之半導體構造,其中該第一已處理半導體構造及該第二已處理半導體構造至少其中之一在該層半導體材料之第一面被黏附至該底材。The semiconductor construction of claim 10, wherein at least one of the first processed semiconductor construction and the second processed semiconductor construction is adhered to the substrate on a first side of the layer of semiconductor material. 如申請專利範圍第13項之半導體構造,其中該第一已處理半導體構造及該第二已處理半導體構造至少其中之一之一金屬部件被直接黏附至該些晶圓間透通連結中至少一個晶圓間透通連結。The semiconductor structure of claim 13, wherein at least one of the first processed semiconductor structure and the second processed semiconductor structure is directly adhered to at least one of the inter-wafer via bonds Inter-wafer connection. 如申請專利範圍第10項之半導體構造,其中該電氣路徑在該第一已處理半導體構造及該第二已處理半導體構造之間連續延伸,穿過該底材、該第一金屬化層,及該第二金屬化層。The semiconductor structure of claim 10, wherein the electrical path extends continuously between the first processed semiconductor structure and the second processed semiconductor structure, through the substrate, the first metallization layer, and The second metallization layer. 如申請專利範圍第10項之半導體構造,其中該第二金屬化層之至少一個導電部件在電氣上耦合至另一底材之一導電部件。A semiconductor construction according to claim 10, wherein at least one of the electrically conductive members of the second metallization layer is electrically coupled to one of the electrically conductive members of the other substrate. 如申請專利範圍第10項之半導體構造,其中該第一已處理半導體構造及該第二已處理半導體構造中的每一個皆包括一電子信號處理器裝置、一電子記憶裝置、一電磁輻射發射器裝置,及一電磁輻射接收器裝置其中之一。The semiconductor structure of claim 10, wherein each of the first processed semiconductor structure and the second processed semiconductor structure comprises an electronic signal processor device, an electronic memory device, and an electromagnetic radiation emitter. A device, and one of an electromagnetic radiation receiver device. 如申請專利範圍第17項之半導體構造,其中:該第一已處理半導體構造包括一電子信號處理器裝置;以及該第二已處理半導體構造包括一電子記憶裝置、一發光二極體、一雷射二極體,及一太陽能電池至少其中之一。The semiconductor structure of claim 17, wherein: the first processed semiconductor structure comprises an electronic signal processor device; and the second processed semiconductor structure comprises an electronic memory device, a light emitting diode, and a lightning At least one of a diode and a solar cell.
TW101104599A 2011-03-31 2012-02-13 Methods for directly bonding together semiconductor structures, and bonded semiconductor structures formed using such methods TWI517226B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/077,364 US8338294B2 (en) 2011-03-31 2011-03-31 Methods of forming bonded semiconductor structures including two or more processed semiconductor structures carried by a common substrate, and semiconductor structures formed by such methods
FR1153080A FR2973943B1 (en) 2011-04-08 2011-04-08 METHODS OF FORMING BONDED SEMICONDUCTOR STRUCTURES COMPRISING TWO SEMICONDUCTOR STRUCTURES TREATED OR MORE SUPPORTED BY A COMMON SUBSTRATE, AND SEMICONDUCTOR STRUCTURES FORMED THEREFROM

Publications (2)

Publication Number Publication Date
TW201239970A TW201239970A (en) 2012-10-01
TWI517226B true TWI517226B (en) 2016-01-11

Family

ID=46993282

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101104599A TWI517226B (en) 2011-03-31 2012-02-13 Methods for directly bonding together semiconductor structures, and bonded semiconductor structures formed using such methods

Country Status (3)

Country Link
KR (1) KR101341373B1 (en)
CN (1) CN102738026B (en)
TW (1) TWI517226B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3422415B1 (en) * 2014-02-28 2023-08-02 LFoundry S.r.l. Semiconductor device comprising a laterally diffused mos transistor

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2002108A (en) * 1931-12-24 1935-05-21 Hal G Child Exercising device
US5635761A (en) * 1994-12-14 1997-06-03 International Business Machines, Inc. Internal resistor termination in multi-chip module environments
US6841862B2 (en) 2000-06-30 2005-01-11 Nec Corporation Semiconductor package board using a metal base
FR2818010B1 (en) 2000-12-08 2003-09-05 Commissariat Energie Atomique METHOD OF MAKING A THIN LAYER INVOLVING THE INTRODUCTION OF GAS SPECIES
JP4092890B2 (en) * 2001-05-31 2008-05-28 株式会社日立製作所 Multi-chip module
JP3861669B2 (en) * 2001-11-22 2006-12-20 ソニー株式会社 Manufacturing method of multichip circuit module
TW569416B (en) * 2002-12-19 2004-01-01 Via Tech Inc High density multi-chip module structure and manufacturing method thereof
TWI251916B (en) * 2003-08-28 2006-03-21 Phoenix Prec Technology Corp Semiconductor assembled heat sink structure for embedding electronic components
US7371662B2 (en) * 2006-03-21 2008-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a 3D interconnect and resulting structures
JP4956128B2 (en) * 2006-10-02 2012-06-20 ルネサスエレクトロニクス株式会社 Manufacturing method of electronic device
TWI355057B (en) 2007-07-10 2011-12-21 Unimicron Technology Corp Wire bonding type package substrate having heat-co

Also Published As

Publication number Publication date
CN102738026A (en) 2012-10-17
KR101341373B1 (en) 2013-12-16
TW201239970A (en) 2012-10-01
KR20120112270A (en) 2012-10-11
CN102738026B (en) 2014-11-05

Similar Documents

Publication Publication Date Title
US8637995B2 (en) Bonded semiconductor structures including two or more processed semiconductor structures carried by a common substrate
US20200168584A1 (en) Methods of forming bonded semiconductor structures, and semiconductor structures formed by such methods
US11217563B2 (en) Fully interconnected heterogeneous multi-layer reconstructed silicon device
US8617925B2 (en) Methods of forming bonded semiconductor structures in 3D integration processes using recoverable substrates, and bonded semiconductor structures formed by such methods
US9391011B2 (en) Semiconductor structures including fluidic microchannels for cooling and related methods
TW201222773A (en) Integrated circuit device and method of forming the same
KR102622314B1 (en) Integrated circuit package and method
US11810900B2 (en) Semiconductor packages stacked by wafer bonding process and methods of manufacturing the semiconductor packages
KR20120112091A (en) Methods of forming bonded semiconductor structures, and semiconductor structures formed by such methods
US20210327866A1 (en) Integrated circuit package and method
Kurita et al. Vertical integration of stacked DRAM and high-speed logic device using SMAFTI technology
US20240145430A1 (en) Integrated circuit package and method of forming same
US20240021554A1 (en) Integrated circuit package and method of forming thereof
TWI517226B (en) Methods for directly bonding together semiconductor structures, and bonded semiconductor structures formed using such methods
TWI543273B (en) Bonded semiconductor structures formed by methods of forming bonded semiconductor structures in 3d integration processes using recoverable substrates
US11664315B2 (en) Structure with interconnection die and method of making same
US20230314702A1 (en) Integrated circuit package and method of forming same
US20240047338A1 (en) Integrated Circuit Packages and Methods of Forming the Same
US20240153911A1 (en) Method for forming semiconductor device and semiconductor device fabricated thereby
TW202418478A (en) Interposer structure and manufacturing method thereof
Song et al. Key process development on 300mm wafer for 2.5 D/3D integration
Koyanagi 3D-IC Technology Using Ultra-Thin Chips

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees