TWI406322B - 無需光阻或乾蝕刻之形成圖案化硬遮罩膜(rfp)的處理順序 - Google Patents

無需光阻或乾蝕刻之形成圖案化硬遮罩膜(rfp)的處理順序 Download PDF

Info

Publication number
TWI406322B
TWI406322B TW098105497A TW98105497A TWI406322B TW I406322 B TWI406322 B TW I406322B TW 098105497 A TW098105497 A TW 098105497A TW 98105497 A TW98105497 A TW 98105497A TW I406322 B TWI406322 B TW I406322B
Authority
TW
Taiwan
Prior art keywords
hard mask
mask layer
substrate
annealing
plasma
Prior art date
Application number
TW098105497A
Other languages
English (en)
Other versions
TW200945415A (en
Inventor
Srinivas D Nemani
Shankar Venkatraman
Ellie Y Yieh
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200945415A publication Critical patent/TW200945415A/zh
Application granted granted Critical
Publication of TWI406322B publication Critical patent/TWI406322B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Description

無需光阻或乾蝕刻之形成圖案化硬遮罩膜(RFP)的處理順序
本發明實施方式大致係關於光微影蝕刻,且不限於無光阻式圖案化(resist free patterning,RFP)光微影蝕刻。
一般來說,光微影蝕刻是微製造過程中用來專一性地移除一部分薄膜所會使用到的處理。典型情況是利用光線將光罩上的圖形轉移到基板上之光敏性化學光阻上。利用一系列的化學處理將曝光圖案刻進光阻下的材料中。在複雜的積體電路中,晶圓甚至需要經歷高達50次的光微影蝕刻循環。
傳統的光微影蝕刻處理可包含以下步驟:預備、施加光阻、曝光、顯影、蝕刻及移除。利用將晶圓加熱至足以驅散任何殘存在晶圓表面上之水氣的溫度,來預備好該晶圓。曾經儲存過的晶圓表面必須先經過化學清潔,移除表面上的任何污染物。施加液態或氣態的促黏劑(如,六甲基二矽氮烷(hexamethyl disilazane,HMDS)),以幫助光阻黏附到晶圓上。
接著以各種沉積技術,例如,旋塗、化學氣相沉積、原子層沉積、物理氣相沉積及其之變化等,來將光阻覆蓋在晶圓表面。接著,對鍍覆有光阻的晶圓進行軟烘烤或預烘烤,以驅散過量的溶劑。預烘烤之後,將光阻暴露在強烈的光線下。曝光後,正型光阻在在化性上會變得較不活潑,相反的,負型光阻則會變得較活潑。此種化學變化使得某些光阻可被顯影溶液移除。在顯影前,通常會執行一次曝光後烘烤,以減少因入射光的缺陷式干擾或破壞式干擾所產生的駐波效應。
接著,對晶圓實施硬烘烤。在某些情況下,此硬烘烤是在120℃到180℃下實施約20至30分鐘。此硬烘烤可使剩下的光阻硬化,變成更有耐性的保護膜以供將來離子佈植、濕蝕刻或電漿蝕刻用。在硬烘烤之後,以一種能夠移除基板上未受保護膜保護之最上層區域的液態(「濕式」)或電漿化學試劑,來蝕刻晶圓。
蝕刻後,從基板上移除光阻。可使用液態光阻剝除劑改變光阻化性,使其不再黏附在基板上。或者,利用灰化(以含氧電漿將光阻氧化)來移除光阻。尚有其他技術和/或改良方式可用在光微影蝕刻系統中。
這些傳統的光微影蝕刻處理都相當耗時且繁複,本發明實施方式則是專注在降低光微影蝕刻系統之處理繁複度與時間需求。
依據本發明一實施方式揭示一種使用紫外光來圖案化硬遮罩膜的方法與系統。本發明實施方式可減輕為了製造硬遮罩圖案而沉積及蝕刻光阻時所會產生的問題。先在沉積腔室中,將硬遮罩層(如,二氧化矽)沉積在基板上。在某些情況下,沉積後,對此硬遮罩層實施烘烤及退火硬化。之後,以紫外光(如,小於348nm的光線)將部分硬遮罩層曝光。紫外光會使硬遮罩材料上出現曝光圖案以及未曝光部分。曝光後,可執行蝕刻處理(如,包括HF、NH4 OH、SCl、RCA之濕蝕刻),將硬遮罩上未曝光部分移除。蝕刻後,對硬遮罩施以退火、烘烤或電漿處理。
退火處理包括蒸氣退火、熱退火、電感耦合電漿退火、電容耦合電漿退火、紫外光退火、電子束退火(e-束退火)、酸氣相催化劑退火、鹼氣相催化劑退火、及微波退火。此退火可於惰性氣體環境下實施,例如在N2 、Ar、O2 、H2 O、NH3 、N2 /H2 和N2 O等氣體環境下實施。此外,電漿處理可包括電容耦合電漿及電感耦合電漿處理。此電漿處理可在N2 、Ar、O2 、H2 O、NH3 、N2 /H2 和N2 O等氣體環境下實施。
基板可包括矽基板、III-V族複合基板、矽/鍺基板、磊晶基板(epi-substrate)、絕緣層上覆矽基板、顯示器基板、液晶顯式器基板、電漿顯示器基板、電致發光燈基板、發光二極體基板。此外,可使用一種處理(如,旋塗、化學氣相沉積、原子層沉積及物理氣相沉積)而在沉積腔室內進行一或多製程和/或步驟。
透過以下提供的詳細說明,將可更了解本揭示內容的其它應用。需知本揭露書中的實施細節與特定實施方式,僅是為了闡述本發明,本發明範疇並不限於這些實施例中。
以下說明只提供較佳例示性實施方式,本發明範疇、應用及揭示內容的組合並不限於這些實例。下隨之說明係使此領域中具備一般技藝人士能依照說明來實施本揭示中較佳實例,但需知在不悖離所請範圍原則下,仍可對該些較佳實例進行各種改良、變化或修飾。
本發明實施方式包括在不需要沉積光阻與蝕刻的情況下,提供圖案化硬遮罩層的製程、方法及裝置。本發明實施方式中會沉積硬遮罩層(如,包含有二氧化矽的硬遮罩層)到基板上。在某些實施方式中,這些硬遮罩層在曝光前會需要先經過退火或烘烤處理。可將部分硬遮罩層暴露在紫外光下,以便在硬遮罩層上產生曝光圖案。曝光後,以濕蝕刻移除硬遮罩層上未曝光部分,並留下已曝光部分。此濕蝕刻可包括,例如,HF溶液。濕蝕刻之後,在某些實施方式中,對這些硬遮罩層施以電漿處理或退火處理,以進一步改善這些硬遮罩層的性質,以供將來使用。此種實施方式的各種改良或變化,也包含在本發明範疇內。
這種硬遮罩圖案可應用在製造半導體、微電子機械系統以及其它元件上。
第1圖示出典型硬遮罩蝕刻處理的各個步驟。在步驟100中提供基板110。在基板110上沉積硬遮罩層115,結果示於步驟101中,接著在硬遮罩層115上沉積光阻120,結果示於步驟102中。現在請參考103,以UV光130將光阻120曝光成特定圖案。此UV光130可來自一步進儀或其他光微影系統。接著可使用PR顯影步驟將暴露在UV光130下的光阻120部分移除,結果示於步驟104中。接著,對硬遮罩進行乾蝕刻或濕蝕刻,結果示於步驟105中。光阻蝕刻後,以灰化製程將光阻移除,結果示於步驟106中,接著進行濕蝕刻處理步驟107。如附圖及文字說明所顯示,典型的硬遮罩蝕刻製程需要使用繁複的處理步驟,最主要的是必須用到需進行沉積與蝕刻步驟的光阻。雖然上述蝕刻硬遮罩的製程尚有多種變化,但一般硬遮罩蝕刻必定會包含光阻的沉積與蝕刻步驟。
相反的,本發明實施方式包括不需使用到沉積與蝕刻光阻的硬遮罩。第2圖示出依據本發明一不需使用光阻的硬遮罩蝕刻處理的實施方式。與第1圖100中類似,於步驟201中提供基板110。此基板110可以是一種矽基板、III-V族複合基板、矽/鍺基板、磊晶基板、絕緣層上覆矽基板、顯示器基板(如,液晶顯式器(LCD)基板、電漿顯示器基板、電致發光(EL)燈基板、或發光二極體(LED)基板)。在某些實施方式中,基板110可包括至少一結構,例如,溝渠結構、阱、接合面、二極體、電晶體、金屬氧化物半導體場效電晶體(MOSFET)、層間介電性(IDL)結構、金屬間介電性(IMD)結構、電路、其它半導體結構或其之各種組合。基板110可以是半導體晶圓(如,200mm、300mm、400mm等的矽晶圓)。在某些實施方式中,基板110可具有至少一溝渠。在某些實施方式中,基板110可以是半導體晶圓(如,200mm、300mm、400mm等的矽晶圓),且可包括在先前步驟中形成的結構、元件組件等。舉例來說,基板可包括具有較高之高寬比的溝渠,例如,高寬比在5:1或以上,6:1或以上,7:1或以上,8:1或以上,9:1或以上,10:1或以上,11:1或以上,12:1或以上等等。
步驟202中示出沉積在基板110表面上的硬遮罩層115,此硬遮罩層115可以是一層氧化矽層,而且可使用以下任何一種沉積技術來沉積此硬遮罩層115,包括:旋塗、化學氣相沉積、原子層沉積、和/或物理氣相沉積。
依據本發明,此硬遮罩層可包括氧化矽。舉例來說,用來沉積此硬遮罩層的化學品包括可調整的SiOC(其內含在烘烤條件下可調整的碳、包括有烘烤條件和/或前驅物化學品之SiO、和/或具有包括NH3 為氮源之前驅物化學品的SiON)。可使用各種其它沉積技術來沉積此硬遮罩層。本揭示內容中例舉了一些技術。
沉積後,可使此硬遮罩層115接受一次非必要的退火處理。此退火處理可透過提高E來強化膜層。退火也可改善此硬遮罩層的光學性質,例如改變此硬遮罩層的n及k值。此退火可包括單一步驟或多步驟退火。此退火也可以是濕式或乾式退火。
依據本發明一種實施方式,可使用單一步驟的退火處理。依據一種實施方式,此退火可在約30℃至750℃的溫度及包含有N2 、Ar和/或其他惰性氣體的環境下實施。此外,此烘烤條件可包括O2 、H2 O、NH3 和/或N2 O。在本發明的另一實施方式中,可透過在實質乾燥(即,乾燥的氮氣、氦氣、氩氣等)的環境下,將沉積膜層加熱到約300℃至約1000℃(如,約600℃至約900℃)的溫度下來進行退火。此退火處理可移除沉積膜層中的水氣並將Si-OH基轉變成氧化矽。此經過退火處理的氧化矽層的膜層性質較佳(即,WERR值在約6至約3,或更小的範圍),且介電性質佳(即,k值接近或等於純矽)。在某些實施方式中,此退火步驟可在氮氣下於約900℃的溫度下實施約1小時。
在某些實施方式中,可使用多步驟的退火處理,包括一種兩步驟的退火,例如,先對硬遮罩層115施以濕式退火,例如在蒸氣下加熱膜層至約650℃的溫度;接著,再對硬遮罩層115施以乾式退火,例如在幾近無水氣的環境下(如,乾燥的氮氣下),加熱膜層至約900℃的高溫。
除了乾式及濕式熱退火外,也可使用其他的退火技術來使硬遮罩層115退火。這些技術包括蒸氣退火、熱退火、電感式耦接電漿(inductively coupled plasma,ICP)退火、紫外光退火、電子束退火、酸氣相催化劑退火、鹼氣相催化劑退火、及/或微波退火等等。
如步驟203所示,可將硬遮罩層115暴露在紫外光130下。圖中示出一模式之UV光130入射至硬遮罩層115表面。此UV光130,舉例來說,可包括約436nm、365nm、248nm、193nm或157nm波長的光線。此UV光130的曝光步驟可於一步進儀(stepper)或其他光微影裝置中進行。步進儀可讓UV光130通過一遮罩或光罩,使得可在硬遮罩層115表面上產生UV光130的圖案。可使用各種影像圖案化技術、裝置和/或處理,以UV光130在硬遮罩上創造出圖案。
待硬遮罩層115被暴露在UV光圖案之後,可施以濕蝕刻來移除硬遮罩膜上未被曝光的部分,結果示於204中。濕蝕刻包括使用內含HF的蝕刻劑。蝕刻劑中還可包括額外的成分,例如,HF、NH4 OH、SCL和或RCA。濕蝕刻中可使用能改善硬遮罩膜上曝光部分與未曝光部分間之蝕刻選擇性的成分物質和/或濃度。
濕蝕刻後,可實施電漿處理和/或退火來調整硬遮罩層的性質,以供將來應用。退火可以是濕式退火、乾式退火、蒸氣退火、紫外光退火、電子束退火、酸氣相催化劑退火、鹼氣相催化劑退火、及微波退火,單步驟或多步驟退火等等。此退火可包含有N2 、Ar和/或其他惰性氣體的環境下或是含有O2 、H2 O、NH3 、N2 /H2 和N2 O之類的反應性環境下實施。電漿處理可包括在O2 、N2 、Ar、H2 O、N2 O和/或惰性氣體的環境下,實施電容耦合電漿處理和/或電感耦合電漿處理。
第3圖的流程圖示出依據本發明一實施方式之UV硬遮罩圖案化處理的各個步驟。在區塊305中,將硬遮罩膜沉積在基板上。此硬遮罩膜可包括,例如,氧化矽。此沉積方式可包括,例如,旋塗、化學氣相沉積、原子層沉積、和物理氣相沉積。沉積後,在某些情況下,可如區塊310所示,對此硬遮罩膜進行烘烤或退火。可採用任一種退火技術,在區塊315中,將硬遮罩膜暴露在UV光圖案中。曝光時間長短視硬遮罩膜化學性質、退火期間(如果有的話)、氣體環境和/或硬遮罩條件。待UV曝光後,可在區塊320中,使用濕蝕刻將硬遮罩膜移除。蝕刻後,可在區塊325中,實施一非必要的電漿處理和/或退火,來調整硬遮罩膜的性質。
第4圖的流程圖示出在基板上形成氧化物層之例示方法400的各個步驟。方法400包括在沉積腔室中提供基板110。此基板110可以是一種矽基板、III-V族複合基板、矽/鍺基板、磊晶基板、絕緣層上覆矽基板、顯示器基板(如,液晶顯式器(LCD)基板、電漿顯示器基板、電致發光(EL)燈基板、或發光二極體(LED)基板)。在某些實施方式中,基板110可包括至少一結構,例如,溝渠結構、阱、接合面、二極體、電晶體、金屬氧化物半導體場效電晶體(MOSFET)、層間介電性(IDL)結構、金屬間介電性(IMD)結構、電路、其它半導體結構或其之各種組合。基板110可以是半導體晶圓(如,200mm、300mm、400mm等的矽晶圓)。在某些實施方式中,基板110可以具有至少一溝渠。在某些實施方式中,基板110可以是半導體晶圓(如,200mm、300mm、400mm等的矽晶圓),且可包括在先前步驟中形成的結構、元件組件等。舉例來說,基板可包括具有較高之高寬比的溝渠,例如,高寬比在5:1或以上,6:1或以上,7:1或以上,8:1或以上,9:1或以上,10:1或以上,11:1或以上,12:1或以上等等。
在某些實施方式中,方法400可包括在步驟404中,於沉積腔室外的位置處遠端產生原子氧前驅物。此原子氧前驅物可經由使內含氧的前驅物解離而形成,這些前驅物如,氧分子(O2 )、臭氧(O3 )和氮-氧化合物(如,NO、NO2 、N2 O等)、氫-氧合物(如,H2 O、H2 O2 等)、碳-氧合物(如,CO、CO2 等)、以及其他含氧的前驅物及這些前驅物的組合。
在某些實施方式中,此原子氧前驅物可經由使內含臭氧的前驅物解離而形成。這些內含臭氧的前驅物可以是由氧氣與臭氧所組成的混合物。舉例來說,可將氧氣提供至臭氧產生器中。在臭氧產生器中,至少一部分的氧氣可被臭氧化而形成臭氧。在某些實施方式中,此氧氣的流速在約3slm(標準公升/分鐘)至約20slm間。待臭氧化後,氧氣中的臭氧重量%在約6%至約20%間。
在某些實施方式中,將內含氧的前驅物解離產生原子氧的步驟可透過熱解離、UV光解離、和/或電漿解離等方式來完成。電漿解離涉及在遠端電漿產生腔室內撞擊氦、氩等氣體來產生電漿,然後將氧前驅物引到電漿中,來產生原子氧前驅物。
在步驟406中,將原子氧前驅物引到沉積腔室內,使其首次與矽前驅物(其係於步驟408中被引入至沉積腔室內)混合。在步驟410中,此原子氧前驅物可與矽前驅物(及反應腔室中可能存在的其他沉積前驅物)在約-10℃至約200℃的溫度與約10torr至約760torr之總腔室壓力下反應,形成氧化矽硬遮罩膜115(如第2圖所示)。氧化矽膜115可降低溝渠與溝渠間的深寬比值。
矽前驅物之C:Si原子比約為8或更小(如,C:Si原子比約為7、6、5、4、3、2、1或更小)。此代表對矽前驅物之每一個矽原子來說,碳原子可少於8個。
在某些實施方式中,此矽前驅物可以是矽氧烷化合物,例如,三乙氧矽氧烷(TRIES)、四甲氧矽氧烷(TMOS)、三甲氧矽氧烷(TRIMOS)、六甲氧二矽氧烷(HMODS)、八甲氧三矽氧烷(OMOTS)、和/或八甲氧十矽氧烷(OMODDS)等其他矽氧烷化合物:
在其他實施方式中,此矽前驅物可以是包括一或多個氮基的矽氮氧烷化合物(silazoxane)。這些矽氮氧烷化合物包括六甲氧二矽氮氧烷(hexamethoxydisilazoxane,HMDS-H)、甲基六甲氧二矽氮氧烷(methyl hexamethoxydisilazoxane,HMDS-CH3 )、氯六甲氧二矽氮氧烷(chlorohexamethoxydisilazoxane,HMDS-Cl)、六乙氧二矽氮氧烷(hexaethoxydisilazoxane,HEDS-H)、九甲氧三矽氮氧烷(nonamethoxytrisilazoxane,NMTS)、和八甲氧環矽氮氧烷(octamethoxycyclicsilazoxane,OMCS)等其他矽氧烷化合物:
在另外其他實施方式中,此矽前驅物可以是一種包括有一或多個鹵素基(如,氟、氯、溴或碘)之鹵化的矽氧烷化合物。舉例來說,此鹵化的矽氧烷化合物可以是氯化的矽氧烷化合物,例如,四氯矽烷(tetraclorosilane,TECS)、二氯二乙氧矽氧烷(dichlorodiethoxysiloxane,DCDES)、氯化三乙氧矽氧烷(chlorotriethoxysiloxane,CTES)、六氯二矽氧烷(hexachlorodisiloxane,HCDS),和或八氯三矽氧烷(octachlorotrisiloxane,OCDS)等其他氯化的矽氧烷化合物。
此矽前驅物中氧:矽比值約在0、0.5、1、2、3、4、5、6等或更高。舉例來說,TMOS中的氧:矽比值約為4。其他矽前驅物,例如,TRIES及TRIMOS的氧:矽比值約為3。其他例如HCDS的氧:矽比值約為0.5,且TECS的氧:矽比值則為0。
此矽前驅物中可包括Si-O-Si鍵結,一如HMODS、OMOTS、OMODDS、HCDS和OCTS等其他有機矽化合物中所含鍵結一樣。此矽前驅物中的矽鍵結有助於形成具有較少之來自碳及羥基團之汙染的SiOx薄膜。
在某些實施方式中,此矽前驅物可包括氨基矽烷,例如三矽基氨(trisilylamine,TSA)、六甲基二矽氮烷(hexcmethyldisilazane,HMDS)、雜氮矽三環(silatrane)、四(二甲基氨)矽烷(tetrakis(dimethylamino)silane)、二(二乙基氨)矽烷(bis(diethylamino)silane)、二-叔丁基氨矽烷(bis-tert-butylaminosilane)、二(二甲基氨)矽烷(bis(dimethylamino)silane,BDMAS)、三(二甲基氨)氯矽烷(tris(dimethylamino)chlorosilane)、和甲基雜氮矽三環(methylsilatrane)等其他化合物。
在其他實施方式中,此矽前驅物可包括二矽烷類,包括烷氧二矽烷、烷氧-烷基二矽烷、和烷氧-乙醯氧二矽烷。此烷氧二矽烷可包括:
其中R1-6 可分別為C1-3 烷基氧基基團。例如,此烷氧二矽烷可包括六甲氧基二矽烷和六乙氧基二矽烷等其他烷氧二矽烷類。
此烷氧二矽烷類也可包括具有烷氧基團鍵結到矽原子上的環狀二矽烷化合物。舉例來說,此烷氧環狀矽烷可包括八乙氧環丁矽烷、十甲氧環戊矽烷和十二甲氧環己矽烷等。某些烷氧二矽烷類的例子繪示如下:
此烷氧二矽烷類可包括:
其中R7-12 可分別為C1-3 烷基或C1-3 烷氧基,且其中至少一R7-12 為烷基且至少一R7-12 是烷基氧基基團。烷氧基烷基二矽烷也可包括具有烷基與烷氧基的環狀二矽烷,例如,丁矽烷、戊矽烷、己矽烷、庚矽烷、辛矽烷等,具有至少一烷基與烷氧基鍵結於其上。實例包括:八甲基-1,4-二氧-2,3,5,6-四矽環己烷;1,4-二氧-2,3,5,6-三矽環己烷和1,2,3,4,5,6-六甲氧基-1,2,3,4,5,6-六甲基環己矽烷等其他烷氧基-烷基環狀矽烷類。某些烷氧基-烷基二矽烷化合物的實例如下:
烷氧-乙醯氧二矽烷可包括:
其中R13-17 可分別為C1-3 烷基、C1-3 烷氧基或乙醯氧基,且其中至少一R13-17 可為烷氧基且至少一R13-17 可為乙醯氧基。
在另外實施方式中,此矽前驅物可包括有機環狀矽烷,例如,環丁矽烷、環戊矽烷、環己矽烷、環庚矽烷、環辛矽烷等。
在某些實施方式中,可先將此矽前驅物與載氣混合,之後再引入至沉積腔室中。載氣可以是不會實質干擾在基板110上形成氧化物膜層115的非活性氣體。載氣的實例包括氦、氖、氩及氫等其他氣體。
在方法400的實施方式中,在引入沉積腔室前,不可將原子氧前驅物與矽前驅物先混合。這些前驅物是經由圍繞反應腔室配置之個別、獨立的前驅物入口進入腔室內。舉例來說,原子氧前驅物可由腔室頂部的入口進入,而直接位在基板上方。此入口可引導氧前驅物往與基板沉積表面實質垂直的方向流動。同時,矽前驅物可由圍繞腔室側面的一或多個入口進入腔室,這些入口可引導矽前驅物往與基板沉積表面實質平行的方向流動。
在某些實施方式中,可令原子氧前驅物與矽前驅物通過多埠口噴頭上的個別埠口。舉例來說,位在基板上方的噴頭可包括一模式之多個開口,以供前驅物可進入沉積腔室內。可提供一第一子集合的開口來流入原子氧前驅物,同時提供一第二子集合的開口來流入矽前驅物。通過不同子集合之前驅物間為彼此流體隔絕的狀態,直到離開進入沉積腔室為止。有關前驅物處理設備的其他細節與類型設計揭示在2006年5月30日題申,且本案為共同受讓人之美國臨時申請案第60/803,499號,標題為「Process Chamber For Dielectric Gapfill」,其揭示內容在此併入做為參考。
因原子氧前驅物與矽前驅物可在沉積腔室中反應,因此可於步驟410中,在基板沉積表面上形成矽氧化物層115。最初的氧化物層可能具有欲求的流動性,並可遷移進入沉積表面上的間隙、溝槽、孔、隙縫等處。此使得方法400能提供幾乎沒有孔及細縫的氧化物填充在間隙、溝槽及其他具有高深寬比值(如,深寬比值約為5:1、6:1、7:1、8:1、9:1、10:1、11:1和12:1或更高)的結構中。接著可在區塊412中將此氧化矽層硬化。
參照第5A圖,其為CVD系統10的垂直截面示圖,其中示出一真空或處理腔室15,此腔室15包括腔室壁15a和腔室蓋組件15b。此CVD系統10包含氣體分配岐管11,用以分配處理氣體到停放在處理腔室15中央之加熱平台12上之基板(未示出)。氣體分配岐管11可由導電材料製成,用來當做形成電容式電漿時的電極。處理期間,基板(如,半導體晶圓)是停放在加熱平台12之平坦表面12a上。可控制平台12,使在一較低的加載/卸載位置(如,第5A圖所示)與較高的處理位置(如,第5A圖中的虛線所示)之間移動,其與岐管11緊密相鄰。還有一包括感應器的中央板(未示出),用來提供晶圓上之位置資訊。
經由習知平坦、圓形氣體分配面板13a上的多個孔洞來將沉積與載氣體引入到腔室15內。詳言之,沉積處理氣體經由入口岐管11流入腔室,穿過習知、多孔式阻隔板,再穿過氣體分配面板13a上的多個孔洞。
在到達岐管11之前,從氣體源經由氣體管線輸入沉積與載氣體到一混合系統內,使其混合,之後再送到岐管11。一般來說,每一處理氣體的供應管線包括(i)多個安全關閉閥(未示出),可用來自動或手動式地關閉流入腔室內的氣體;和(ii)質流控制器(未示出),用來測量經由供應管線流入的氣體。當製程中使用到有毒氣體時,可以習知方式在每一氣體供應管線上設置多個安全關閉閥。
在CVD系統10上執行的沉積處理可以是熱處理或電漿強化處理。在電漿強化處理中,以RF電源在氣體分配面板13a與平台12之間施加電力,以激發處理氣體混合物來在氣體分配面板13a與平台12之間的圓柱形區域(此區域被稱為「反應區」)中形成電漿。電漿中的組成反應後可沉積形成欲求膜層到支撐在平台12上的半導體晶圓表面上。RF電力是混頻RF電力,一般可供應13.56MHz之高頻與360KHz之低頻電力來加強解離被引入至真空腔室15中的反應性物種。在熱處理中,不會使用RF電力,且處理氣體混合物彼此熱反應而沉積欲求膜層到支撐在平台12上的半導體晶圓表面上,該平台12是被電阻式加熱來提供反應所需的熱能。
在電漿強化沉積處理期間,電漿可加熱整個處理腔室15,包括圍繞著排氣通道23與關閉閥24的腔室主體15a的腔室壁。當電漿尚未被打開或是在熱沉積期間,可在處理腔室15的腔室壁15a中循環流動一熱流體,以維持腔室在高溫下。腔室壁15a中其他的通道並未繪出。用來加熱腔室壁15a的熱流體包括典型的流體類別,即,水性乙二醇或油性熱傳流體。此加熱作用(稱為透過「熱交換」而進行的加熱作用)可有利地降低或排除不欲求反應產物的冷凝並改善處理氣體的揮發性產物及可能汙染製程的其他汙染物(如果其冷凝在冷卻真空通道壁上並於沒有氣流流動期問遷移回到處理腔室內的話)的排除作用。
以真空幫浦(未示出)將未沉積成為一層之氣體混合物的其他剩餘部分,包括反應副產物,抽離腔室15。詳言之,從圍繞著反應區的一環狀、槽型開口16將廢氣排入一環狀排氣室17中。此環狀槽16與氣室17是由介於腔室圓柱狀側壁15a(包括壁上的上方介電襯層19)的頂部和環狀腔室蓋20的底部之間的間隙所共同界定出來的。環狀槽16與氣室17之360°圓形對稱性與均勻性對於達成處理氣體在晶圓上方的均勻流動性非常重要,如此才能在晶圓上沉積出均一的膜層。
來自排氣腔室17的氣流在排氣腔室17之水平延伸部分21下方流動,通過觀看部分(未示出),穿過下方延伸氣體通道23,通過真空關閉閥24(其主體與下方腔室壁15a一體成形),並經由前緣(未示出)進入與外部真空幫浦(未示出)相連的排氣口25。
以設計成兩整圈平行同心圓形式的內嵌式單迴圈內嵌加熱器元件來電阻式加熱平台12上的晶圓支撐盤(較佳是鋁、陶瓷或其之組合)。此加熱器元件的外部與支撐盤圓周平行,至於其內部則是位在具有較小半徑的一同心圓路徑上。此加熱器元件的線路會通過平台12的支柱。
典型地,任一或全部的腔室襯墊、氣體入口歧管面板及各式其他反應器硬體是由諸如鋁、陽極化鋁、或陶瓷製成。此類CVD設備的實例之一揭示於美國專利第5,558,717號中,標題為「CVD Processing Chmaber」,在此併入其內容做為參考。
當需經由腔室10側壁上的插入/移除開口26以機器臂刃(未示出)將晶圓移入或移出腔室15主體時,可利用舉升機制之馬達32(第5A圖)和其之晶圓舉升銷12b來升高或降下已加熱的平台組件12。馬達32可於處理位置14與較低的晶圓加載位置間來抬高或降下平台組件12。馬達、連接到供應線的閥或流動控制器、氣體傳送系統、節流閥、RF電源及腔室和基板加熱系統均由系統控制器透過控制線(部分示出)來控制。控制器倚賴光感應器的回饋訊號來決定可動機械組件(如,利用適當馬達在控制器作用下進行移動的節流閥和承載器)的移動位置。
在例示的實施方式中,系統控制器包括硬碟驅動器(記憶體)、軟碟驅動器和處理器。此處理器包括單機電腦(single-board computer,SBC)、類比和數位輸入/輸出卡、介面卡和步進式馬達控制器卡。CVD系統10的各部件均符合用以界定基板、卡盒和連接器尺寸與類型的Versa Modular European(VEM)標準。此VEM標準也界定匯流排結構為16-位元資料匯流排和24-位址匯流排。
系統控制器可控制CVD機器上的全部活動。此系統控制器可執行存放在電腦可讀媒體(如,記憶體)上的系統控制軟體。較佳是,此記憶體是硬碟,但也可以是其他種形式的記憶體。電腦程式包括多組指令組,其可指出與某一特定處理相關的時間、氣體混合物、腔室壓、腔室溫度、RF電力級別、承載器位置和其他參數。儲存在其他記憶體元件上的電腦程式包括,例如,軟碟或其他適當的驅動器,藉以用來操作控制器。
可利用透過控制器執行的電腦程式產品,來實施一用來沉積膜層到基板上的處理或一用來清潔腔室15的處理。這些電腦程式碼可由習知的電腦可讀程式語言寫成:例如,68000組合語言、C、C++、Pascal、Fortran或是其他。利用習知的文碼編輯器將適當的程式碼存成一單一檔案或多個檔案,然後存放在電腦可讀媒體中,例如電腦的記憶體系統內。如果存入的編碼文字屬於高階語言,就必須實施編輯,之後將所得的編輯碼與預先編輯好的微軟視窗常式之目標碼連結。為執行該連結,系統使用者致動目標碼,使得電腦系統將該碼載入至記憶體中。接著,CPU可讀取並執行該編碼,以執行由該程式所識別的工作。
使用者與控制器間的界面是經由CRT螢幕50a和光筆50b,如第5B圖所示,其為系統螢幕和基板處理系統(可包括一或多個腔室)之CVD系統10的簡化圖。在最佳實施方式中使用了兩個螢幕50a,一個安裝在潔淨室壁上供所有操作者使用,另一個安裝在腔室壁後,供維修技術人員使用。螢幕50a可同時顯示相同的資訊,但只有一隻光筆50b是可以作動的。光筆50b頂端的光感應器可偵測由CRT顯示器所發出的光。欲選擇一特定螢幕或功能時,操作者只要觸碰顯示器上的一特定區域並壓住光筆50b上的按鈕即可。所觸碰的區域就會改變它的提示顏色(highlighted color),或者顯示出一個新的畫面或選單,來確認光筆與顯示螢幕間的連通資料。也可利用其他的裝置,例如鍵盤、滑鼠、或其他指向或聯通裝置來取代光筆50b或與光筆50b一起作用,讓使用者可與控制器聯絡。
第5A圖顯示出安裝在處理腔室15之腔室蓋組件15b上的遠端電漿產生器60,該腔室蓋組件15b包括氣體分配面板13a和氣體分配歧管11。以一安裝轉接器64將遠端電漿產生器60安裝在腔室蓋組件15b上,如第5A圖所示。轉接器64一般是由金屬製成。有一混合裝置70連接到氣體分配歧管11的上游側(如第5A圖所示)。此混合裝置70包括一混合插入件72(位在混合區之槽內),用來混合處理氣體。在轉接器64與混合裝置70之間設有一陶瓷絕緣體66(第5A圖)。此陶瓷絕緣體66可由陶瓷材料製成,例如氧化鋁(純度99%)、鐵氟龍、或其他類似物。安裝時,混合裝置70與陶瓷絕緣體66可形成一部分的腔室蓋組件15b。此陶瓷絕緣體66可隔絕金屬轉接器64使其不與混合裝置70和氣體分配歧管11接觸,來使腔室蓋組件15b中形成二次電漿的機率降至最小,詳述於下。利用一個三向閥來控制處理氣體直接流往處理腔室15或穿過遠端電漿產生器60流往處理腔室15的情形。
遠端電漿產生器60最好是一個小型、自己自足的單元,且可被方便地安裝在腔室蓋組件15b上並可在不需昂貴地花費或耗時的改裝下,被輕易地反向安裝到要拆離的腔室上。一種適當的單元是應用科學與技術公司(Applied Science and Technology,Inc,Woburn,Mass)出品的ASTRON產生器。此ASTRON產生器可使用低場超環面電漿來將處理氣體解離。在一實例中,此電漿可解離包括含氟氣體(如,NF3 )在內的處理氣體和一載氣(如,氩氣),以產生可用來清潔沉積在處理腔室15內之膜層的自由氟原子。
以上詳述了數種實施方式,此領域中習知技藝人是應可了解在不偏離本發明精神下,本發明尚有各種改良、替代或等效物可使用。此外,為了簡潔且不模糊本發明起見,有多種已知的處理或元件並未在此詳述。因此,本發明範疇並不限於上述說明。
當提及數值時,需知除非文中另有所指,否則在所揭示範圍的上限與下限間之每一中間插入數值,至其下限單位的十分之一,均應視為已明確揭示。在任一所述數值或是所述數值中的中間插入數值及任何其他所述數值或所述數值中的中間插入數值之間的每一小範圍都是發明範疇。這些小範圍的上限與下限可分別被包括或排除在該範圍外,且每一範圍,無論其任一或兩端點被包括或排除在該範圍,均屬本發明範疇,受所述範圍特定排除之上、下限的限制。當所述範圍包括兩端點之一或兩者時,也涵蓋排除其一或其二的範圍在內。
如所述及附隨之請求項中所述,除非另做說明,否則單數形式的「一(a,an)及該(the)」包括其複數形式。因此,舉例來說,「一方法」包括多個這種方法,且「該前驅物」包括一或多個前驅物及其之等效物。
此外,說明書及以下附隨的請求項中所使用到的「包含(comprises,comprising)」、「包括(include,including,includes)」意指明確敘述含有所述特徵、數值、組件或步驟,但不排除有一或多其他特徵、數值、組件或步驟的存在。
10...CVD系統
11...氣體分配岐管
12...平台
12a...平坦表面
12b...晶圓舉升銷
14...處理位置
15...處理腔室
15a...腔室壁
15b...腔室蓋組件
16...環狀、槽型開口
17...環狀排氣室
19...上方介電襯層
20...腔室蓋
21...水平延伸部分
23...排氣通道
24...關閉閥
25...排氣口
32...馬達
50a...CRT螢幕50a
50b...光筆
60...遠端電漿產生器
64...轉接器
66...陶瓷絕緣體
70...混合裝置
72...混合插入件
100、101、102、103、104、105、106...步驟
107...濕蝕刻處理
110...基板
115...硬遮罩層、矽氧化物層
120...光阻
130...UV光
201、202、203、204...步驟
305、310、315、320、325...區塊
400...方法
402、404、406、408、410、412...步驟
第1圖示出典型硬遮罩蝕刻處理的各種步驟;
第2圖是依據本發明一實施方式,硬遮罩蝕刻處理中各處理步驟的結果;
第3圖是依據本發明一實施方式,用來圖案化硬遮罩之方法的流程圖;
第4圖為一處理的流程圖,其包括依據本發明一實施方式之用來形成氧化物層於基板上之方法的各處理步驟;
第5A圖是一例示的薄膜沉積系統的垂直截面示圖;
第5B圖是一薄膜沉積系統的例示的系統監視器/控制器組件的簡圖。
在附隨圖示中,使用相同元件符號來表示類似的組件和/或特徵。此外,相同類型的各式組件可由虛線和用以區別類似組件之第二標號的元件符號來區分。如果說明書中僅使用第一標號,則該第一標號之說明適用於所有具相同第一標號之類似組件(無論其第二標號為何)。
110...基板
115...硬遮罩層
130...UV光
201、202、203、204...步驟

Claims (15)

  1. 一種透過使用紫外光進行無光阻式圖案化一硬遮罩層的方法,該方法包含以下步驟:在一沉積腔室內,沉積一硬遮罩層到一基板上,該硬遮罩層包含氧化矽;在一升高的溫度下將該硬遮罩層退火一段時間;之後將該硬遮罩層的多個部分直接暴露在紫外光下,其中暴露在紫外光下的該硬遮罩層的多個部分形成該硬遮罩層的暴露部份的一圖案;及使用一濕蝕刻圖案化該硬遮罩層,該濕蝕刻移除該硬遮罩層的多個未曝露的部份,同時將該硬遮罩層的該等暴露部分留在該基材上。
  2. 如請求項1所述之方法,其中該退火是選自由一蒸氣退火、一熱退火、一電感耦合電漿退火、一電容耦合電漿退火、一紫外光退火、一電子束退火(e-束退火)、一酸氣相催化劑退火、一鹼氣相催化劑退火及一微波退火組成的群組。
  3. 如請求項1所述之方法,進一步包含以下步驟:在圖案化該硬遮罩層之後,退火該硬遮罩層的其餘部份。
  4. 如請求項1所述之方法,其中該退火是在包含有一惰性氣體的氣體氛圍(atmosphere)下發生的。
  5. 如請求項1所述之方法,其中該退火是在一種氣體氛圍內發生,該氣體氛圍包含一氣體,該氣體選自由N2 、Ar、O2 、H2 O、NH3 、N2 /H2 及N2 O組成的群組。
  6. 如請求項1所述之方法,進一步包含以下步驟:在圖案化後,提供一電漿處理予以該硬遮罩層。
  7. 如請求項6所述之方法,其中該電漿是選自由電容耦合電漿與一電感耦合電漿所組成的群組。
  8. 如請求項6所述之方法,其中該電漿處理是在一氣體氛圍下發生,該氣體氛圍包含一氣體,該氣體選自N2 、Ar、O2 、H2 O、NH3 、N2 /H2 與N2 O組成之群組。
  9. 如請求項1所述之方法,其中該紫外光包含波長小於或等於348nm的光。
  10. 如請求項1所述之方法,其中該濕蝕刻包含一蝕刻劑,該蝕刻劑係選自由HF與NH4 OH所組成的群組。
  11. 如請求項1所述之方法,其中該硬遮罩層是透過使用一處理所沉積,該處理選自由旋塗、化學氣相沉積、原子層沉積及物理氣相沉積組成的群組。
  12. 如請求項1所述之方法,其中該基板包含選自由下列組成的群組的一基板:一矽基板、一III-V族複合基板、一矽/鍺基板、一絕緣層上覆矽基板、一顯示器基板、一液晶顯示器基板、一電漿顯示器基板、一電致發光燈基板(electroluminescence lamp substrate)和一發光二極體基板。
  13. 如請求項1所述之方法,其中該硬遮罩層包含碳。
  14. 如請求項1所述之方法,其中該硬遮罩層包含氮。
  15. 一種透過使用紫外光進行無光阻式圖案化一硬遮罩層的方法,該方法包含以下步驟: 在一沉積腔室內,沉積一硬遮罩層到一基板上,該硬遮罩層包含氧化矽;在一升高的溫度下將該硬遮罩層暴露至一第一退火處理一段時間;之後將該硬遮罩層的多個部分直接暴露在紫外光下,其中暴露在紫外光下的該硬遮罩層的多個部分形成該硬遮罩層的暴露部份的一圖案;使用一濕蝕刻圖案化該硬遮罩層,該濕蝕刻移除該硬遮罩層的多個未曝露的部份,同時將該硬遮罩層的該等暴露部分留在該基材上;及,之後將留在該基材上的該硬遮罩層的該等暴露部分暴露至一第二退火處理。
TW098105497A 2008-02-20 2009-02-20 無需光阻或乾蝕刻之形成圖案化硬遮罩膜(rfp)的處理順序 TWI406322B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/034,000 US8153348B2 (en) 2008-02-20 2008-02-20 Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch

Publications (2)

Publication Number Publication Date
TW200945415A TW200945415A (en) 2009-11-01
TWI406322B true TWI406322B (zh) 2013-08-21

Family

ID=40955443

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098105497A TWI406322B (zh) 2008-02-20 2009-02-20 無需光阻或乾蝕刻之形成圖案化硬遮罩膜(rfp)的處理順序

Country Status (6)

Country Link
US (1) US8153348B2 (zh)
JP (1) JP5559065B2 (zh)
KR (1) KR101515082B1 (zh)
CN (1) CN101965626A (zh)
TW (1) TWI406322B (zh)
WO (1) WO2009105347A2 (zh)

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
JP2012084602A (ja) * 2010-10-07 2012-04-26 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置システム
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
KR101590082B1 (ko) * 2011-11-23 2016-01-29 어플라이드 머티어리얼스, 인코포레이티드 실리콘 산화물 화학 기상 증착 포토레지스트 평탄화를 위한 장치들 및 방법들
KR101920711B1 (ko) * 2012-01-16 2018-11-22 삼성전자주식회사 박막 패터닝 방법 및 이를 이용한 반도체소자의 제조방법
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
CN104253037A (zh) * 2013-06-30 2014-12-31 无锡华润上华半导体有限公司 一种改善刻蚀糊胶的方法
JP5943888B2 (ja) * 2013-08-28 2016-07-05 株式会社東芝 半導体装置の製造方法
JPWO2015041007A1 (ja) * 2013-09-20 2017-03-02 並木精密宝石株式会社 基板とその製造方法、及び発光素子とその製造方法、及びその基板又は発光素子を有する装置
CN104821274B (zh) * 2014-01-31 2018-09-11 台湾积体电路制造股份有限公司 无需光刻胶的带电粒子束图案化
JP6495025B2 (ja) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
US9934969B2 (en) 2014-01-31 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Charged-particle-beam patterning without resist
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
FR3021454B1 (fr) * 2014-05-20 2019-12-13 Centre National De La Recherche Scientifique (Cnrs) Procede de fabrication d'un materiau semi-conducteur incluant une couche de nitrure d'element iii semi-polaire
KR101776265B1 (ko) 2014-05-29 2017-09-19 제일모직 주식회사 하드마스크 층의 형성 방법, 반도체 소자의 제조방법, 및 이에 따른 반도체 소자
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9184060B1 (en) * 2014-11-14 2015-11-10 Lam Research Corporation Plated metal hard mask for vertical NAND hole etch
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
TWI670756B (zh) * 2014-12-22 2019-09-01 美商應用材料股份有限公司 藉由沉積調整來解決fcvd的線條彎曲
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US20170125241A1 (en) * 2015-10-30 2017-05-04 Applied Materials, Inc. Low temp single precursor arc hard mask for multilayer patterning application
US9996004B2 (en) 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
KR102090152B1 (ko) * 2015-12-30 2020-03-17 맷슨 테크놀로지, 인크. 밀리세컨드 어닐 시스템을 위한 챔버 벽 가열
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
WO2018052476A1 (en) 2016-09-14 2018-03-22 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
US20180261464A1 (en) * 2017-03-08 2018-09-13 Tokyo Electron Limited Oxide film removing method, oxide film removing apparatus, contact forming method, and contact forming system
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR20210076999A (ko) 2018-11-14 2021-06-24 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
JP7326077B2 (ja) 2019-09-10 2023-08-15 キオクシア株式会社 エッチングマスクの形成方法および半導体装置の製造方法
CN110783417B (zh) * 2019-11-08 2021-06-29 国家纳米科学中心 一种硅表面制作密度可调的锥状陷光结构的方法及制得的黑硅
KR102539806B1 (ko) 2020-01-15 2023-06-05 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
US11935746B2 (en) * 2021-03-10 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern formation through mask stress management and resulting structures

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6511793B1 (en) * 1999-03-24 2003-01-28 Lg Electronics Inc. Method of manufacturing microstructure using photosensitive glass substrate
US20070212648A1 (en) * 2006-03-07 2007-09-13 Asml Netherlands B.V. Method and system for enhanced lithographic patterning
TW200737290A (en) * 2001-08-31 2007-10-01 Applied Materials Inc Method of a single wafer wet/dry cleaning apparatus

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH08305028A (ja) * 1995-04-28 1996-11-22 Toshiba Corp レジスト
JPH08320568A (ja) * 1995-05-26 1996-12-03 Hitachi Ltd パターン形成方法及び感光膜形成方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
JP3435318B2 (ja) * 1996-08-22 2003-08-11 株式会社東芝 パターン形成方法
US5873781A (en) * 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP3410968B2 (ja) * 1998-06-22 2003-05-26 株式会社東芝 パターン形成方法および感光性組成物
JP2000353649A (ja) * 1999-06-09 2000-12-19 Tokyo Electron Ltd 基板処理装置および基板処理方法
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
DE19958904C2 (de) * 1999-12-07 2002-01-24 Infineon Technologies Ag Verfahren zur Herstellung einer Hartmaske auf einem Substrat
US6461980B1 (en) * 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
NL1014274C2 (nl) * 2000-02-03 2001-08-16 Tele Atlas Bv Stelsel voor het beveiligen van op een datadrager aanwezige data.
US6448416B1 (en) * 2000-06-16 2002-09-10 Corning Incorporated Electron acceptors for polymeric thin film waveguide media
US6614181B1 (en) * 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6660662B2 (en) * 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6447651B1 (en) * 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
US6528332B2 (en) * 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US6794290B1 (en) * 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US7361599B2 (en) * 2002-09-03 2008-04-22 Texas Instruments Incorporated Integrated circuit and method
US7080528B2 (en) * 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6900002B1 (en) * 2002-11-19 2005-05-31 Advanced Micro Devices, Inc. Antireflective bi-layer hardmask including a densified amorphous carbon layer
US6884685B2 (en) * 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6830624B2 (en) * 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7109114B2 (en) * 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
JP5205741B2 (ja) * 2006-11-14 2013-06-05 富士通セミコンダクター株式会社 半導体装置の製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6511793B1 (en) * 1999-03-24 2003-01-28 Lg Electronics Inc. Method of manufacturing microstructure using photosensitive glass substrate
TW200737290A (en) * 2001-08-31 2007-10-01 Applied Materials Inc Method of a single wafer wet/dry cleaning apparatus
US20070212648A1 (en) * 2006-03-07 2007-09-13 Asml Netherlands B.V. Method and system for enhanced lithographic patterning

Also Published As

Publication number Publication date
WO2009105347A3 (en) 2009-11-12
JP5559065B2 (ja) 2014-07-23
KR20100128302A (ko) 2010-12-07
US8153348B2 (en) 2012-04-10
KR101515082B1 (ko) 2015-04-24
JP2011520242A (ja) 2011-07-14
US20090208880A1 (en) 2009-08-20
CN101965626A (zh) 2011-02-02
TW200945415A (en) 2009-11-01
WO2009105347A2 (en) 2009-08-27

Similar Documents

Publication Publication Date Title
TWI406322B (zh) 無需光阻或乾蝕刻之形成圖案化硬遮罩膜(rfp)的處理順序
KR101329285B1 (ko) 에스티아이를 위한 실리콘 디옥사이드의 고품질 유전 필름의 제조: 하프 ⅱ― 원격 플라즈마 향상된 증착 공정을 위한 상이한 실록산―기재 전구체의 용도
JP5530062B2 (ja) 薄膜プロセスの方法
JP5225268B2 (ja) 二酸化シリコンの膜質を高める新規な堆積プラズマ硬化サイクルプロセス
CN101802984B (zh) 在基板上形成氧化硅层的方法
KR100687367B1 (ko) 얕은 트렌치 절연을 위한 자기-평탄화 유전체층을 형성하는 방법
US6156149A (en) In situ deposition of a dielectric oxide layer and anti-reflective coating
TWI395269B (zh) 以harpii 處理固化烷氧矽烷前驅物沉積之二氧化矽薄膜的方法
TWI442471B (zh) 形成至少一介電層之方法與系統
KR101108613B1 (ko) 미세 패턴의 형성 방법 및 성막 장치
JP4629678B2 (ja) 基板上に材料を堆積させる方法。
JP4364438B2 (ja) 高膜品質で水素含有量の低い窒化ケイ素を堆積するプラズマプロセス
US7611758B2 (en) Method of improving post-develop photoresist profile on a deposited dielectric film
US20110053375A1 (en) Method for processing amorphous carbon film, and semiconductor device manufacturing method using the method