TW200945415A - Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch - Google Patents

Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch Download PDF

Info

Publication number
TW200945415A
TW200945415A TW098105497A TW98105497A TW200945415A TW 200945415 A TW200945415 A TW 200945415A TW 098105497 A TW098105497 A TW 098105497A TW 98105497 A TW98105497 A TW 98105497A TW 200945415 A TW200945415 A TW 200945415A
Authority
TW
Taiwan
Prior art keywords
hard mask
annealing
substrate
deposition
mask layer
Prior art date
Application number
TW098105497A
Other languages
Chinese (zh)
Other versions
TWI406322B (en
Inventor
Srinivas D Nemani
Shankar Venkatraman
Ellie Y Yieh
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200945415A publication Critical patent/TW200945415A/en
Application granted granted Critical
Publication of TWI406322B publication Critical patent/TWI406322B/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating

Abstract

Method and systems for patterning a hardmask film using ultraviolet light is disclosed according to one embodiment of the invention. Embodiments of the present invention alleviate the processing problem of depositing and etching photoresist in order to produce a hardmask pattern. A hardmask layer, such as, silicon oxide, is first deposited on a substrate within a deposition chamber. In some cases, the hardmask layer is baked or annealed following deposition. After which, portions of the hardmask layer are exposed with ultraviolet light. The ultraviolet light produces a pattern of exposed and unexposed portions of hardmask material. Following the exposure, an etching process, such as a wet etch, may occur that removes the unexposed portions of the hardmask. Following the etch, the hardmask may be annealed, baked or subjected to a plasma treatment.

Description

200945415 六、發明說明: 【發明所屬之技術領域】 本發明實施方式大致係關於光微影蝕刻,且不限於 無光阻式圖案化(resist free patterning, R_FP)光微影# 刻0 © 【先前技術】 一般來說,光微影蝕刻是微製造過程中用來專一 性地移除一部分薄膜所會使用到的處理。典型情況是 利用光線將光罩上的圖形轉移到基板上之光敏性化學 光阻上。利用一系列的化學處理將曝光圖案刻進光阻 下的材料中。在複雜的積體電路中,晶圓甚至需要經 歷高達50次的光微影蝕刻循環。 ❿ 傳統的 光微影餘刻處理可包含以下步驟:預備、 施加光阻、曝光、顯影、蝕刻及移除。利用將晶圓加 熱至足以驅散任何殘存在晶圓表面上之水氣的溫度, 來預備好該晶圓。曾經儲存過的晶圓表面必須先經過 化學清潔’移除表面上的任何污染物.施加液態或氣 態的促黏劑(如’六甲基二矽氮烷(hexamethyl disilazane,HMDS)),以幫助光阻黏附到晶圓上。 接著以各種沉積技術,例如,旋塗、化學氣相沉 積、原子層沉積、物理氣相沉積及其之變化等,來將 200945415 f阻覆蓋在晶圓表面。接著,對鐘覆有光阻的晶圓進 订軟烘烤或預烘烤,以驅散過量的溶劑。預烘烤之後, 將光阻暴露在強烈的光線下。曝光後,JL型光阻在在 減上會變得較W相反的,貞型光_會變得 肖活潑。此種化學變化使得某些光阻可被顯影溶液移 除在顯影前,通常會執行-次曝光後烘烤,以減少 目人射光的缺陷式干擾或破壞式干擾所產生的駐波效 ❹ 應。 接著,對晶圓實施硬烘烤。在某些情況下,此硬 烘烤是在120。(:到180它下實施約2〇至3〇分鐘。此硬 洪烤可使剩下的光阻硬化,變成更有耐性的保護膜以 供將來離子佈植、濕蝕刻或電漿蝕刻用。在硬烘烤之 後,以一種能夠移除基板上未受保護膜保護之最上層 區域的液態(濕式」)或電漿化學試劑,來餘刻晶圓。 Φ 蝕刻後,從基板上移除光阻。可使用液態光阻剝 除劑改變光阻化性,使其不再黏附在基板上。或者, 利用灰化(以含氧電漿將光阻氧化)來移除光阻。尚有 其他技術和/或改良方式可用在光微影蝕刻系統中。 這些傳統的光微影蝕刻處理都相當耗時且繁複, 本發明實施方式則是專注在降低光微影蝕刻系統之處 理繁複度與時間需求。 【發明内容】 5 200945415 依據本發明一實施方式揭示一種使用紫外光來圖 案化硬遮罩膜的方法與系統。本發明實施方式可減輕 為了製造硬遮罩圖案而沉積及蝕刻光阻時所會產生的 間題。先在沉積腔室中,將硬遮罩層(如,二氧化矽) 沉積在基板上。在某些情況下,沉積後,對此硬遮罩 層實施烘烤及退火硬化。之後,卩紫外光(如,小於 348 nm的光線)將部分硬遮罩層曝光。紫外光會使硬 遮罩材料上出現曝光圖案以及未曝光部分。曝光後, 可執行蝕刻處理(如,包括HF、NH4〇h、sci、rca 之濕蝕刻)’將硬遮罩上未曝光部分移除。蝕刻後,對 硬遮罩施以退火、烘烤或電漿處理。 退火處理包括蒸氣退火、熱退火、電感耦合電漿 退火、電容耦合電漿退火、紫外光退火、電子束退火 (e-束退火)、酸氣相催化劑退火、鹼氣相催化劑退火、 及微波退火。此退火可於惰性氣體環境下實施,例如 在A、Ar、Ο:、Η"、仙3、n2/H2和n2〇等氣體環境 下實施。此外,電漿處理可包括電容耦合電漿及電感 耦合電漿處理《此電漿處理可在n2、Ar、〇2、h2〇、 NH3、Νζ/Η2和等氣體環境下實施。 基板可包括矽基板、ΠΙ_ν族複合基板、矽/鍺基 板、磊晶基板(epi-Substrate)、絕緣層上覆矽基板、顯 示器基板、液晶顯式器基板、電漿顯示器基板、電致 發光燈基板、發光二極體基板。此外,可使用一種處 6 200945415 理(如,旋塗、化學氣相沉積、原子層沉積及物理氣相 沉積)而在沉積腔室内進行一或多製程和/或步驟。 透過以下提供的詳細說明,將可更了解本揭示内 容的其它應用。需知本揭露書中的實施細節與特定實 施方式,僅是為了闡述本發明,本發明範疇並不限於 這些實施例中。 β 【實施方式】 以下說明只提供較佳例示性實施方式,本發明範 疇、應用及揭示内容的組合並不限於這些實例。下隨 之說明係使此領域中具備一般技藝人士能依照說明來 實施本揭示中較佳實例,但需知在不悖離所請範圍原 則下,仍可對該些較佳實例進行各種改良、變化或修 飾。 ® 本發明實施方式包括在不需要沉積光阻與蝕刻的 情況下,提供圖案化硬遮罩層的製程、方法及裝置。 本發明實施方式中會沉積硬遮罩層(如,包含有二氧化 矽的硬遮罩層)到基板上。在某些實施方式中,這些硬 遮罩層在曝光前會需要先經過退火或烘烤處理。可將 部分硬遮罩層暴露在紫外光下,以便在硬遮罩層上產 生曝光圖案。曝光後,以濕蝕刻移除硬遮罩層上未曝 光部分,並留下已曝光部分。此濕蝕刻可包括,例如, 7 200945415 HF溶液。濕蝕刻之後,在某些實施方式中,對這些硬 遮罩層施以電漿處理或退火處理,以進一步改善這些 硬遮罩層的性質,以供將來使用。此種實施方式的各 種改良或變化,也包含在本發明範疇内。 這種硬遮罩圖案可應用在製造半導體、微電子機 械系統以及其它元件上。200945415 VI. Description of the Invention: Technical Field of the Invention The embodiments of the present invention generally relate to photolithography etching, and are not limited to resist free patterning (R_FP) photolithography #刻0 © [previously Technology] In general, photolithography is the process used to specifically remove a portion of a film during microfabrication. Typically, light is used to transfer the pattern on the reticle to a photosensitive chemical photoresist on the substrate. The exposure pattern is engraved into the material under the photoresist using a series of chemical treatments. In complex integrated circuits, wafers even require up to 50 photolithographic etch cycles. ❿ Traditional photolithography processing can include the following steps: preparation, application of photoresist, exposure, development, etching, and removal. The wafer is prepared by heating the wafer to a temperature sufficient to dissipate any moisture remaining on the surface of the wafer. The surface of the wafer that has been stored must first be chemically cleaned to remove any contaminants from the surface. Apply a liquid or gaseous adhesion promoter (such as 'hexamethyl disilazane (HMDS)) to help The photoresist adheres to the wafer. The 200945415 f resistance is then applied to the wafer surface by various deposition techniques such as spin coating, chemical vapor deposition, atomic layer deposition, physical vapor deposition, and the like. Next, the wafer coated with the photoresist is soft baked or pre-baked to disperse excess solvent. After prebaking, the photoresist is exposed to intense light. After exposure, the JL type photoresist will become opposite to W when it is reduced, and the 贞-type light will become more lively. Such chemical changes allow certain photoresists to be removed by the developing solution. Typically, post-exposure post-baking is performed to reduce the standing wave effect produced by defective or destructive interference. . Next, the wafer is hard baked. In some cases, this hard bake is at 120. (: to 180 it is carried out for about 2 to 3 minutes. This hard bake hardens the remaining photoresist to become a more resistant protective film for future ion implantation, wet etching or plasma etching. After hard baking, the wafer is left in a liquid (wet) or plasma chemistry that removes the uppermost layer of the substrate that is not protected by the protective film. Φ After etching, remove from the substrate Photoresist. A liquid photoresist stripper can be used to change the photoresist resistance so that it no longer adheres to the substrate. Alternatively, the photoresist can be removed by ashing (oxidation of the photoresist with an oxygen-containing plasma). Other techniques and/or improvements can be used in photolithographic etching systems. These conventional photolithographic etching processes are quite time consuming and cumbersome, and embodiments of the present invention focus on reducing the complexity of photolithographic etching systems. [Description of Requirements] 5 200945415 A method and system for patterning a hard mask film using ultraviolet light is disclosed according to an embodiment of the present invention. Embodiments of the present invention can reduce deposition and etching of photoresist for manufacturing a hard mask pattern. Time A problem will arise: a hard mask layer (eg, hafnium oxide) is first deposited on the substrate in the deposition chamber. In some cases, the hard mask layer is baked and annealed after deposition. After hardening, 卩 ultraviolet light (eg, light less than 348 nm) exposes a portion of the hard mask layer. The ultraviolet light causes an exposure pattern and an unexposed portion to appear on the hard mask material. After exposure, an etch process can be performed (eg, , including HF, NH4〇h, sci, rca wet etching) 'Removing the unexposed portion of the hard mask. After etching, the hard mask is annealed, baked or plasma treated. Annealing includes steam annealing , thermal annealing, inductively coupled plasma annealing, capacitively coupled plasma annealing, ultraviolet annealing, electron beam annealing (e-beam annealing), acid gas phase catalyst annealing, alkali vapor phase catalyst annealing, and microwave annealing. It is implemented in an inert gas atmosphere, for example, in a gas environment such as A, Ar, Ο:, Η", 仙3, n2/H2, and n2〇. In addition, plasma processing may include capacitively coupled plasma and inductively coupled plasma processing. "This plasma treatment can be The substrate can be implemented in n2, Ar, 〇2, h2 〇, NH3, Νζ/Η2, and the like. The substrate can include a ruthenium substrate, a ΠΙ ν family composite substrate, a ruthenium/iridium substrate, an epi-substrate, and an insulating layer. a substrate, a display substrate, a liquid crystal display substrate, a plasma display substrate, an electroluminescent lamp substrate, and a light-emitting diode substrate. Further, a place can be used, such as spin coating, chemical vapor deposition, Atomic layer deposition and physical vapor deposition) and one or more processes and/or steps in the deposition chamber. Other applications of the present disclosure will be more apparent from the detailed description provided below. The details and specific embodiments are merely illustrative of the invention, and the scope of the invention is not limited to the embodiments. [Embodiment] The following description provides only the preferred exemplary embodiments, and the combinations of the invention, the application, and the disclosure are not limited to these examples. The following description will enable those skilled in the art to implement the preferred embodiments of the present disclosure in accordance with the description, but it should be understood that various modifications may be made to the preferred embodiments without departing from the scope of the claimed invention. Change or modification. ® Embodiments of the invention include processes, methods, and apparatus for providing a patterned hard mask layer without the need to deposit photoresist and etch. In a preferred embodiment of the invention, a hard mask layer (e.g., a hard mask layer comprising ruthenium dioxide) is deposited onto the substrate. In some embodiments, these hard mask layers may need to be annealed or baked prior to exposure. A portion of the hard mask layer can be exposed to ultraviolet light to create an exposure pattern on the hard mask layer. After exposure, the unexposed portions of the hard mask layer are removed by wet etching and the exposed portions are left behind. This wet etch can include, for example, a 7 200945415 HF solution. After wet etching, in some embodiments, the hard mask layers are subjected to a plasma treatment or annealing treatment to further improve the properties of these hard mask layers for future use. Various modifications or variations of such embodiments are also included within the scope of the invention. This hard mask pattern can be applied to the fabrication of semiconductors, microelectronic mechanical systems, and other components.

第1圖示出典型硬遮罩蝕刻處理的各個步驟。在 步驟丨〇〇中提供基板110〇在基板110上沉積硬遮罩 層115,結果示於步驟101中,接著在硬遮罩層115 上沉積光阻120,結果示於步驟1〇2中。現在請參考 1〇3’以UV光130將光阻12〇曝光成特定圖案此 UV光13〇可來自一步進儀或其他光微影系統。接著 可使用PR顯影步驟將暴露在1;乂光13〇下的光阻12〇 部分移除,結果示於㈣1Q4中。接著,料遮罩進 行乾蝕刻或濕蝕刻’結果示於㈣1〇5中。光阻蝕刻 後,以灰化製程將光阻移除,結果示於步驟ι〇6中, 接著進行濕㈣處理步冑⑽。如附圖及文字說㈣ 顯不’典型的硬遮罩钮刻製程需要使用繁複的處理步 最主要的疋必須用到需進行沉積與钱刻步驟的光 阻。雖然上述蝕刻硬遮罩的製程尚有多種變化,但一 般硬遮罩㈣必定會包含光阻的沉積與㈣步驟。 相反的’本發明實施方式包括不需使用到沉積與 钱刻光阻的硬遮罩。坌?圓_ 1 第2圖不出依據本發明一不需使 8 200945415 用光阻的硬遮罩蝕刻虚 別慝理的實施方式。與第1圖100 中紹以,於步称201中提供基板110。此基板110可 以疋種梦基板' Ιπ_ν族複合基板、碎/鍺基板、蟲 晶基板、絕緣層上覆妙基板、顯示器基板(如,液晶顯 式器(LCD)基板、電黎顯示器基板、電致發光(叫燈基 板或發光一極體(LED)基板)。在某些實施方式中, 基板110可包括至少—結構,例如,㈣結構牌、 接合面、一極體、電晶體、金屬氧化物半導體場效電 晶體(MOSFET)、層間介電性(IDL)結構、金屬間介電 性(IMD)結構、電路、其它半導體結構或其之各種組 &。基板110可以是半導體晶圓(如,2〇〇mm、3〇〇mm、 400mm等的矽晶圓)β在某些實施亨式_,基板ιι〇 可具有至少一溝渠《在某些實施方式中,基板11〇可 以是半導體晶圓(如’ 200mm、300mm、400mm等的矽 晶圓)’且可包括在先前步驟中形成的結構、元件組件 等。舉例來說,基板可包括具有較高之高寬比的溝渠, 例如’南寬比在5 . 1或以上,6 : 1或以上,7 : 1或 以上’ 8 : 1或以上,9 : 1或以上,1 〇 : 1或以上,〗i : 1或以上,12 : 1或以上等等。 步驟202中不.出沉積在基板11.〇表面上的硬遮罩 層115’此硬遮罩層115可以是一層氧化矽層,而且 可使用以下任何一種沉積技術來沉積此硬遮罩層 11 5 ’包括:旋塗、化學氣相沉積、原子層沉積、和/ 200945415 或物理氣相沉積。 依據本發明,此硬遮罩層可包括氧化矽。舉例來 說,用來沉積此硬遮罩層的化學品包括可調整的 Si〇c(其内含在烘烤條件下可調整的碳、包括有烘烤條 件和/或前驅物化學品之Sio、和/或具有包括NH3為氮 源之前驅物化學品的sioN)。可使用各種其它沉積技 術來沉積此硬遮罩層。本揭示内容中例舉了一些技術。 沉積後,可使此硬遮罩層115接受一次非必要的 退火處理。此退火處理可透過提高E來強化膜層。退 火也可改善此硬遮罩層的光學性質,例如改變此硬遮 罩層的η及1值。此退火可包括單一步驟或多步驟退 火。此退火也可以是濕式或乾式退火。 依據本發明一種實施方式,可使用單一步驟的退 火處理。依據一種實施方式,此退火可在約3〇„c至75〇 ® C的溫度及包含有N2、Ar和/或其他惰性氣體的環境 下實施。此外,此烘烤條件可包括〇2、Η"、WHS和/ 或Νζ〇。在本發明的另一實施方式中,可透過在實質 乾燥(即,乾燥的氮氣、氦氣、氩氣等)的環境下,將 沉積膜層加熱到約30(rc至約1〇〇〇它(如約6〇〇它至 約900。〇的溫度下來進行退火。此退火處理可移除沉 積膜層中的水氣並將Si_〇H基轉變成氧化石夕。此經過 退火處理的氧化矽層的膜層性質較佳(即,werr值在 約6至約3,或更小的範圍),且介電性質佳(即,]^值 200945415 接近或等於純矽)。在某些實施方式中,此退火步驟可 在氮氣下於約90(rc的溫度下實施約i小時。 在某些實施方式中,可使用多步驟的退火處理, 包括一種兩步驟的退火,例如,先對硬遮罩層ιΐ5施 以濕式退火,例如在蒸氣下加熱膜層至約65〇t的溫 度;接著,再對硬遮罩層115施以乾式退火,例如在 幾近無水氣的環境下(如,乾燥的氮氣下),加熱骐層 ❹ 至約900°C的高溫。 除了乾式及濕式熱退火外,也可使用其他的退火 技術來使硬遮罩層115退火。這些技術包括蒸氣退 火熱退火、電感式輕接電漿(inductively coupled plasma,ICP)退火、紫外光退火、電子束退火、酸氣相 催化劑退火、驗氣相催化劑退火、及/或微波退火等等。 如步驟203所示’可將硬遮罩層115暴露在紫外 光130下。圖中示出一模式之UV光130入射至硬遮 ❹ 罩層115表面》此UV光U0,舉例來說,可包括約 43 6nm、3 65nm、248nm、193nm 或 157nm 波長的光線。 此UV光130的曝光步称可於一步進儀(stepper)或其 他光微影裝置中進行。步進儀可讓UV光130通過一 遮罩或光罩’使得可在硬遮罩層115表面上產生UV 光130的圖案。可使用各種影像圖案化技術、裝置和/ 或處理’以UV光130在硬遮罩上創造出圖案。 待硬遮罩層115被暴露在UV光圖案之後,可施以 200945415 濕蝕刻來移除硬遮罩膜上未被曝光的部分,結果示於 204中。濕蝕刻包括使用内含HF的蝕刻劑。蝕刻劑中 還可包括額外的成分,例如,HF、NH4〇H、SCL和或 RCA。濕蝕刻中可使用能改善硬遮罩膜上曝光部分與 未曝光部分間之蝕刻選擇性的成分物質和/或濃度。 濕蝕刻後,可實施電漿處理和/或退火來調整硬遮 罩層的性質,以供將來應用。退火可以是濕式退火、 ® 式退火、蒸氣退火、紫外光退火、電子束退火、酸 耽相催化劑退火、驗氣相催化劑退火、及微波退火, 單步驟或多步驟退火等等。此退火可包含有Ν2、Αγ 和/或其他惰性氣體的環境不或是含有〇2、η2〇、Νη3、 Νζ/Η2和Ν2〇之類的反應性環境下實施。電漿處理可 包括在〇2、Ν2、Ar、Η?0、Ν2〇和/或惰性氣體的環境 下,實施電容耦合電漿處理和/或電感耦合電漿處理。 _ 第3圖的流程圖示出依據本發明一實施方式之υν 硬遮罩圖案化處理的各個步驟。在區塊3〇5中,將硬 遮罩膜沉積在基板上。此硬遮罩膜可包括,例如,氧 化矽。此沉積方式可包括,例如,旋塗、化學氣相沉 積、原子層沉積、和物理氣相沉積。沉積後,在某些 情況下’可如區塊3 1 〇所示,對此硬遮罩膜進行烘烤 或退火。可採用任一種退火技術,在區塊3 15中,將 硬遮罩膜暴露在UV光圖案中。曝光時間長短視硬遮 罩膜化學性質、退火期間(如果有的話)、氣體環境和/ 12 200945415 或硬遮罩條件。待UV曝光後,可在區塊320中,使 用濕蝕刻將硬遮罩膜移除。蝕刻後,可在區塊325中, 實施一非必要的電漿處理和/或退火,來調整硬遮罩膜 的性質。 第4圖的流程圖示出在基板上形成氧化物層之例 示方法400的各個步縣。方法400包括在沉積腔室中 提供基板110。此基板110可以是一種;ε夕基板、iii_v 族複合基板、矽/鍺基板、磊晶基板、絕緣層上覆矽基 板、顯示器基板(如,液晶顯式器(LCD)基板、電漿顯 示器基板、電致發光(EL)燈基板、或發光二極體(led) 基板)。在某些實施方式中,基板110可包括至少一結 構,例如,溝渠結構、阱、接合面、二極體、電晶體、 金屬氧化物半導體場效電晶體(MOSFET)、層間介電性 (IDL)結構、金屬間介電性(IMD)結構、電路、其它半 導體結構或其之各種組合。基板110可以是半導體晶 圓(如,200mm、300mm、400mm等的碎晶圓)。在某 些實施方式中,基板110可以具有至少一溝渠。在某 些實施方式中,基板110可以是半導體晶圓(如, 200mm、300 mm、400mm等的石夕晶圓)’且可包括在先 前步驟中形成的結構、元件組件等。舉例來說,基板 可包括具有較高之高寬比的溝渠’例如,高寬比在5 : 1或以上,6: 1或以上’7: 1或以上,8: 1或以上, 9 : 1或以上,10 : 1或以上’ 11 : 1或以上,12 : 1 13 200945415 或以上等等。 在某些實施方式中,方法400可包括在步驟4〇4 中,於沉積腔室外的位置處遠端產生原子氧前驅物。 此原子氧前驅物可經由使内含氧的前驅物解離而形 成,這些前驅物如,氧分子(〇2)、臭氧(Ο;)和氮氧化 合物(如,NO、N02、n2〇等.)、氫-氧合物(如,Η"、 he»2等)、碳·氧合物(如,c〇、c〇2等)、以及其他含 © 氧的前驅物及這些前驅物的組合。 在某些實施方式中,此原子氧前驅物可經由使内 含臭,的前驅物解離而形成。這些内含臭氧的前驅物 可以疋由氧氣與臭氧所組成的混合物。舉例來說,可 f氧氣提供至臭氧產生器中。在臭氧產生器中,至少 一部分的氧氣可被臭氧化而形成臭氧。在某些實施方 式中此氧氣的流速在約3 slm (標準公升/分鐘)至約 ® lm間。待臭氧化後’氧氣中的臭氧重量。/〇在約6% 至約20%間。 在某些實施方式中’將内含氧的前驅物解離產生 原子氧的步驟可透過熱解離、uv光解離、和/或電聚 ^等方式來70成。電漿解離涉及在遠端電漿產生腔 =内撞擊氦、氩等氣體來產生電漿,然後將氧前驅物 弓丨到電漿中’來產生原子氧前驅物。 在步驟4 〇 6中,將原子氧前驅物引到沉積腔室内, 、首次與矽前驅物(其係於步驟4〇8中被引入至沉 14 eFigure 1 shows the various steps of a typical hard mask etch process. A substrate 110 is provided in step 〇, and a hard mask layer 115 is deposited on the substrate 110. The result is shown in step 101, and then a photoresist 120 is deposited on the hard mask layer 115. The results are shown in step 1〇2. Referring now to 1〇3', the photoresist 12 is exposed to a specific pattern by UV light 130. This UV light 13 can come from a stepper or other photolithography system. The photoresist 12 暴露 exposed under 1 乂 13 〇 can then be partially removed using a PR development step and the results are shown in (4) 1Q4. Next, the material mask is subjected to dry etching or wet etching. The results are shown in (4) 1〇5. After the photoresist etching, the photoresist is removed by an ashing process, and the result is shown in step ι6, followed by a wet (four) process step (10). As shown in the figure and the text (4), the typical hard mask button engraving process requires the use of complicated processing steps. The most important one must use the photoresist to be deposited and engraved. Although there are many variations in the process of etching the hard mask described above, the general hard mask (4) must contain the deposition of the photoresist and (4) steps. Conversely, embodiments of the invention include hard masks that do not require the use of deposition and motive photoresist. dust? Circle _ 1 Figure 2 illustrates an embodiment in which a hard mask etched with a photoresist is not required in accordance with the present invention. The substrate 110 is provided in the step 201 as shown in FIG. The substrate 110 can be used as a composite substrate, a Ιπ_ν composite substrate, a ruthenium/ruthenium substrate, a worm substrate, an insulating substrate, a display substrate (eg, a liquid crystal display (LCD) substrate, an electric display substrate, and an electric Luminescence (called a light substrate or a light-emitting diode (LED) substrate). In some embodiments, the substrate 110 can include at least a structure, for example, (4) structural cards, joint faces, a pole body, a transistor, a metal oxide Semiconductor field effect transistor (MOSFET), interlayer dielectric (IDL) structure, inter-metal dielectric (IMD) structure, circuit, other semiconductor structure or various groups thereof. The substrate 110 may be a semiconductor wafer ( For example, a germanium wafer of 2 mm, 3 mm, 400 mm, etc.) β may have at least one trench in some implementations. In some embodiments, the substrate 11 may be a semiconductor. Wafers (eg, '200 wafers of '200 mm, 300 mm, 400 mm, etc.') may include structures, component components, etc. formed in previous steps. For example, the substrate may include trenches having a high aspect ratio, such as 'South wide ratio at 5. 1 Above, 6:1 or more, 7:1 or more '8:1 or more, 9:1 or more, 1 〇: 1 or more, 〗 i: 1 or more, 12:1 or more, etc. Step 202 The hard mask layer 115' deposited on the surface of the substrate 11. The hard mask layer 115 may be a layer of tantalum oxide, and the hard mask layer may be deposited using any of the following deposition techniques. Including: spin coating, chemical vapor deposition, atomic layer deposition, and / 200945415 or physical vapor deposition. According to the present invention, the hard mask layer may include tantalum oxide. For example, for depositing the hard mask layer The chemical includes an adjustable Si〇c (which contains carbon that is adjustable under baking conditions, Sio including baking conditions and/or precursor chemicals, and/or has a precursor that includes NH3 as a nitrogen source) The sioN of the chemical. Various other deposition techniques can be used to deposit the hard mask layer. Some techniques are exemplified in the present disclosure. After deposition, the hard mask layer 115 can be subjected to an optional annealing treatment. Annealing can enhance the film by increasing E. Annealing can also improve the hard cover. The optical properties of the layer, such as varying the η and 1 values of the hard mask layer. The anneal may comprise a single step or a multi-step anneal. The anneal may also be wet or dry anneal. According to one embodiment of the invention, a single Annealing of the step. According to one embodiment, the annealing may be carried out at a temperature of about 3 〇 c to 75 〇 C and in an environment containing N 2 , Ar and/or other inert gases. Including 〇2, Η", WHS and/or Νζ〇. In another embodiment of the invention, the film can be deposited in an environment of substantially dry (ie, dry nitrogen, helium, argon, etc.) The layer is heated to about 30 (rc to about 1 Torr) (e.g., about 6 Torr to about 900). The temperature of the crucible is annealed. This annealing treatment removes moisture from the deposited film layer and converts the Si_〇H group into oxidized stone. The annealed ruthenium oxide layer preferably has a film property (i.e., a werr value in the range of from about 6 to about 3, or less), and the dielectric properties are good (i.e., the value of 200945415 is close to or equal to that of pure ruthenium). ). In certain embodiments, this annealing step can be carried out under nitrogen at a temperature of about 90 (rc) for about i hours. In certain embodiments, a multi-step annealing process can be used, including a two-step annealing, such as First, the hard mask layer ιΐ5 is first subjected to wet annealing, for example, heating the film layer under steam to a temperature of about 65 〇t; then, the hard mask layer 115 is subjected to dry annealing, for example, in the vicinity of almost no gas. Under ambient conditions (eg, under dry nitrogen), the crucible layer is heated to a high temperature of about 900° C. In addition to dry and wet thermal annealing, other annealing techniques can be used to anneal the hard mask layer 115. Including vapor annealing thermal annealing, inductively coupled plasma (ICP) annealing, ultraviolet annealing, electron beam annealing, acid vapor phase catalyst annealing, gas phase catalyst annealing, and/or microwave annealing, etc. Step 203 shows that the hard mask layer 115 can be exposed to the ultraviolet light 130. A mode of UV light 130 is incident on the surface of the hard mask layer 115. This UV light U0, for example, can include About 43 6nm, 3 65nm, 24 Light at 8 nm, 193 nm or 157 nm. The exposure step of this UV light 130 can be performed in a stepper or other photolithography device. The stepper allows UV light 130 to pass through a mask or mask. The pattern of UV light 130 can be created on the surface of the hard mask layer 115. Various image patterning techniques, devices, and/or processes can be used to create a pattern on the hard mask with UV light 130. The mask layer 115 to be hardened After being exposed to the UV light pattern, a wet etching of 200945415 can be applied to remove the unexposed portions of the hard mask film, and the results are shown in 204. Wet etching includes the use of an etchant containing HF. Additional ingredients are included, such as HF, NH4 〇 H, SCL, and or RCA. Component materials and/or concentrations that improve the etch selectivity between the exposed and unexposed portions of the hard mask film can be used in wet etching. After etching, plasma treatment and/or annealing may be performed to adjust the properties of the hard mask layer for future use. Annealing may be wet annealing, ® annealing, vapor annealing, ultraviolet annealing, electron beam annealing, acid strontium Phase catalyst annealing, gas test Chemical annealing, microwave annealing, single-step or multi-step annealing, etc. The annealing may include Ν2, Αγ and/or other inert gases in an environment other than 〇2, η2〇, Νη3, Νζ/Η2 and Ν2 Performed in a reactive environment such as helium. Plasma treatment may include capacitively coupled plasma processing and/or inductively coupled electricity in an environment of 〇2, Ν2, Ar, Η0, Ν2〇, and/or inert gas. Slurry Processing. The flowchart of Figure 3 illustrates the various steps of the υν hard mask patterning process in accordance with an embodiment of the present invention. In block 3〇5, a hard mask film is deposited on the substrate. This hard mask film may include, for example, cerium oxide. Such deposition methods may include, for example, spin coating, chemical vapor deposition, atomic layer deposition, and physical vapor deposition. After deposition, in some cases, the hard mask film may be baked or annealed as shown in block 31. The hard mask film may be exposed to the UV light pattern in block 3 15 using any of the annealing techniques. The length of exposure depends on the chemical properties of the hard mask, during annealing (if any), the gaseous environment, and the conditions of the hard mask. After UV exposure, the hard mask film can be removed using wet etching in block 320. After etching, a non-essential plasma treatment and/or annealing may be performed in block 325 to adjust the properties of the hard mask film. The flowchart of Fig. 4 shows various steps of an exemplary method 400 for forming an oxide layer on a substrate. The method 400 includes providing a substrate 110 in a deposition chamber. The substrate 110 may be a type of ε 基板 substrate, a iii_v group composite substrate, a 矽/锗 substrate, an epitaxial substrate, an insulating layer overlying ruthenium substrate, a display substrate (eg, a liquid crystal display (LCD) substrate, a plasma display substrate , an electroluminescence (EL) lamp substrate, or a light-emitting diode (led) substrate). In some embodiments, the substrate 110 can include at least one structure, such as a trench structure, a well, a bonding surface, a diode, a transistor, a metal oxide semiconductor field effect transistor (MOSFET), and an interlayer dielectric (IDL). Structure, inter-metal dielectric (IMD) structure, circuit, other semiconductor structure, or various combinations thereof. The substrate 110 may be a semiconductor wafer (e.g., a chip of 200 mm, 300 mm, 400 mm, etc.). In some embodiments, the substrate 110 can have at least one trench. In some embodiments, the substrate 110 can be a semiconductor wafer (e.g., a 200 mm, 300 mm, 400 mm, etc.) and can include structures, component components, and the like formed in the prior steps. For example, the substrate may comprise a trench having a higher aspect ratio 'eg, an aspect ratio of 5: 1 or above, 6: 1 or more '7: 1 or more, 8: 1 or more, 9: 1 Or above, 10: 1 or above ' 11 : 1 or above, 12 : 1 13 200945415 or above and so on. In certain embodiments, method 400 can include generating an atomic oxygen precursor at a distal end of the deposition chamber at step 4〇4. The atomic oxygen precursor can be formed by dissociating an oxygen-containing precursor such as oxygen molecules (〇2), ozone (Ο;), and nitrogen oxides (eg, NO, N02, n2〇, etc.). ), hydrogen-oxygen compounds (eg, Η ", he»2, etc.), carbon oxy-compounds (eg, c〇, c〇2, etc.), and other oxygen-containing precursors and combinations of these precursors . In certain embodiments, the atomic oxygen precursor can be formed by dissociating the internally odorous precursor. These ozone-containing precursors can be a mixture of oxygen and ozone. For example, f oxygen can be supplied to the ozone generator. In an ozone generator, at least a portion of the oxygen can be ozonated to form ozone. In some embodiments, the flow rate of this oxygen is between about 3 slm (standard liters per minute) to about ® lm. The weight of ozone in the oxygen after ozonation. / 〇 between about 6% to about 20%. In some embodiments, the step of dissociating the oxygen-containing precursor to produce atomic oxygen can be 70% by thermal dissociation, uv photodissociation, and/or electropolymerization. Plasma dissociation involves the generation of an atomic oxygen precursor by injecting a gas such as helium, argon, etc. into the plasma at the far end plasma generation chamber, and then arching the oxygen precursor into the plasma. In step 4 〇 6, the atomic oxygen precursor is introduced into the deposition chamber, first with the ruthenium precursor (which is introduced into the sink 14 e in step 4〇8)

H3C0 .〇ch3 h3c〇 〇ch3 Tetramethoxysiloxane (TMOS) H OC2H5 c2h5o oc2h5 Triethoxysiloxane (TRIES) 200945415 積腔室内)混合。在步驟410中,此原子氧前驅物可與 矽前驅物(及反應腔室中可能存在的其他沉積前驅物) 在約-10°C至約200°c的溫度與約10 torr至約760 torr 之總腔室壓力下反應,形成氧化矽硬遮罩膜115(如第 2圖所示)。氧化矽膜115可降低溝渠與溝渠間的深寬 比值。 矽前驅物之C : Si原子比約為8或更小(如,C : Si原子比約為7、6、5、4、3、2、1或更小)。此代表 對矽前驅物之每一個矽原子來說,碳原子可少於8個。 在某些實施方式中,此矽前驅物可以是矽氧烷化 合物,例如,三乙氧矽氧烷(TRIES)、四甲氧矽氧烷 (TMOS)、三甲氧矽氧烷(TRIMOS)、六曱氧二矽氧烷 (HM0DS)、八甲氧三矽氧烷(0M0TS)、和/或八曱氧十 矽氧烷(0MODDS)等其他矽氧烷化合物·· Η严 〆\ h3co och3H3C0 .〇ch3 h3c〇 〇ch3 Tetramethoxysiloxane (TMOS) H OC2H5 c2h5o oc2h5 Triethoxysiloxane (TRIES) 200945415 The chamber is mixed. In step 410, the atomic oxygen precursor may be associated with a ruthenium precursor (and other deposition precursors that may be present in the reaction chamber) at a temperature of from about -10 ° C to about 200 ° C and from about 10 torr to about 760 torr. The reaction is carried out under the total chamber pressure to form a yttrium oxide hard mask film 115 (as shown in Fig. 2). The ruthenium oxide film 115 reduces the aspect ratio between the trench and the trench. The C:Si atomic ratio of the ruthenium precursor is about 8 or less (e.g., C: Si atomic ratio is about 7, 6, 5, 4, 3, 2, 1 or less). This represents less than eight carbon atoms per ruthenium atom of the ruthenium precursor. In certain embodiments, the ruthenium precursor can be a oxoxane compound, for example, triethoxy methoxy hydride (TRIES), tetramethoxy methoxy hydride (TMOS), trimethoxy methoxy hydride (TRIMOS), six Other oxane compounds such as oxime dioxane (HM0DS), octamethoxytrioxane (0M0TS), and/or octadecyloxydecane (0MODDS)·· Η 〆 \ h3co och3

Trimethoxysiloxane (TRIMOS) H3CO、 ,OCH3 H3CO. OCH3 OCH3 H3CO-Trimethoxysiloxane (TRIMOS) H3CO, , OCH3 H3CO. OCH3 OCH3 H3CO-

Si——〇——Si- -OCH3Si——〇——Si- -OCH3

H3COH3CO

Si-O -Si- -Si-—OCH3Si-O -Si- -Si--OCH3

H3CO OCH3H3CO OCH3

H3COH3CO

Hexamethoxydisiloxane (HMODS) OCH3 Octamethoxytrisiloxane (OMOTS) OCH3 15 200945415Hexamethoxydisiloxane (HMODS) OCH3 Octamethoxytrisiloxane (OMOTS) OCH3 15 200945415

och3 I Si——O一Si /〇CH3 4 / i / l-OCHg H3CO——Si——ώ——&一 h3co ο 〇Och3 I Si——O—Si /〇CH3 4 / i / l-OCHg H3CO——Si——ώ——&一 h3co ο 〇

H3COH3CO

Si—-一φ——SLI / \ I / 9 d och3° 〇1/ 1/ Si——〇——Si OCH3 、〇CH3Si—-a φ——SLI / \ I / 9 d och3° 〇1/ 1/ Si——〇——Si OCH3, 〇CH3

Octametho^dodecasiloxane (OMODDS) H3CO--Si-O、 .OCH3/ \ / 〇 Si^ 、OCH3 H3CO——Si Ω 〇 •Si、 H3CO I 、och3 och3 Octamethoxycyclicsiloxane ❹ 在其他實施方式中,此矽前驅物可以是包括一或 多個氮基的石夕氮氧烧化合物(silazoxane)。這些矽氮氧 烷化合物包括六曱氧二矽氮氧烷 (hexamethoxydisilazoxane,HMDS-H)、曱基六甲氧二 碎 氣氧烧 (methyl hexamethoxydisilazoxane, HMDS-CH3)、 氣六甲氧二矽氮氧烷 (chlorohexamethoxydisilazoxane, HMDS-C1)、六乙氧 二梦氮氧烧(hexaethoxydisilazoxane,HEDS-H)、九曱 氧三石夕氮氧烧(nonamethoxytrisilazoxane, NMTS)、和 八甲氧環石夕氮氧烧(octamethoxycyclicsilazoxane, OMCS)等其他矽氧烷化合物: 200945415 e h3c〇\ H3CO——Si-HzC〇/ -N- /〇CH3 -Si-—OCH3 \〇ch3 H3CO、 ch3 〇CH3 H3CO--Si-N-Si-OCH3Octametho^dodecasiloxane (OMODDS) H3CO--Si-O, .OCH3/ \ / 〇Si^ , OCH3 H3CO - Si Ω 〇•Si, H3CO I , och3 och3 Octamethoxycyclicsiloxane ❹ In other embodiments, the ruthenium precursor can Is a silazoxane comprising one or more nitrogen groups. These indole oxynitride compounds include hexamethoxydisilazoxane (HMDS-H), methyl hexamethoxydisilazoxane (HMDS-CH3), and gas hexamethoxydiazoxide ( Chlorohexamethoxydisilazoxane, HMDS-C1), hexaethoxydisilazoxane (HEDS-H), nonamethoxytrisilazoxane (NMTS), and octamethoxycyclic silazoxane (octamethoxycyclicsilazoxane, Other oxane compounds such as OMCS): 200945415 e h3c〇\ H3CO——Si-HzC〇/ -N- /〇CH3 -Si-—OCH3 \〇ch3 H3CO, ch3 〇CH3 H3CO--Si-N-Si- OCH3

Hexamethoxydisilazoxane (HMDS-H) H3CO\ 『 /OCH3 H3CO--Si--N-Si;-OCH3 H3CO Chlorohexamelhoxydi! (HMDS-Cl) OCH3 silazoxaneHexamethoxydisilazoxane (HMDS-H) H3CO\ 『 /OCH3 H3CO--Si--N-Si;-OCH3 H3CO Chlorohexamelhoxydi! (HMDS-Cl) OCH3 silazoxane

lethoxytnsilazoxane (NMTS) H3CO 〇CH3 Methyl hexamethoxydisilazoxane (HMDS-CH3) c2h5o、 C2H5O— )Si-N- /〇C2H5 -Si—OC2H5 C2H5O OC2H5 Hexaethoxydislazoxane (HEDS-H)Lethoxytnsilazoxane (NMTS) H3CO 〇CH3 Methyl hexamethoxydisilazoxane (HMDS-CH3) c2h5o, C2H5O—)Si-N- /〇C2H5 -Si—OC2H5 C2H5O OC2H5 Hexaethoxydislazoxane (HEDS-H)

H3COH3CO

H H3CO——Si-N /OCH3〇 、Si\ 、OCH3 H3CO-H H3CO——Si-N /OCH3〇 , Si\ , OCH3 H3CO-

Si HN——Si I、〇CH3 OCH3 Octamethoxycyclicsilazoxane (OMCS)Si HN - Si I, 〇CH3 OCH3 Octamethoxycyclicsilazoxane (OMCS)

H3CO 在另外其他實施方式中,此矽前驅物可以是一種 包括有一或多個鹵素基(如,氟、氯、漠或破)之鹵化 的矽氧烷化合物。舉例來說,此鹵化的矽氧烷化合物 可以是氣化的矽氧烷化合物,例如,四氣矽烷 (tetraclorosilane, TECS)、二氣二乙氧破氧烧 (dichlorodiethoxy siloxane,DCDES)、氣化三乙氧石夕氧 烧(chlorotriethoxysiloxane,CTES)、六氯二石夕氧燒 (hexachlorodi si loxane, HCDS), 和或八氯三矽氧烷 (octachlorotrisiloxane,OCDS)等其他氯化的石夕氧燒化 17 200945415 合物。H3CO In still other embodiments, the ruthenium precursor can be a halogenated oxosiloxane compound comprising one or more halo groups (e.g., fluorine, chlorine, desert or broken). For example, the halogenated oxoxane compound may be a vaporized oxoxane compound, for example, tetraclorosilane (TECS), dichlorodiethoxy siloxane (DCDES), gasification three. Other chlorinated oxime oxygenation of chlorotriethoxysiloxane (CTES), hexachlorodi si loxane (HCDS), or octachlorotrisiloxane (OCDS) 17 200945415 Compound.

Cl OC2H5 OC2H5Cl OC2H5 OC2H5

Cl——Si——Cl I Cl Tetrachlorosilane (TECS)Cl——Si——Cl I Cl Tetrachlorosilane (TECS)

Cl——Si——OC2H5Cl——Si——OC2H5

ClCl

Dichlorodiethoxysiloxane (DCDES)Dichlorodiethoxysiloxane (DCDES)

Cl-Si-OC5H5Cl-Si-OC5H5

I OC2H5I OC2H5

Chlorotriethoxysiloxane (CTES)Chlorotriethoxysiloxane (CTES)

ClCl

Ci a.Ci a.

ClCl

ClCl

a- -Si——0——Si——Cl ci- •Si-〇-Si-〇-Si-Cl a ci Hexachlorodisiloxane (HCDS)A- -Si——0——Si——Cl ci- •Si-〇-Si-〇-Si-Cl a ci Hexachlorodisiloxane (HCDS)

Cl CI Octachlorotrisiloxane (OCTS)Cl CI Octachlorotrisiloxane (OCTS)

Cl 此矽前驅物中氧:矽比值約在〇、〇. 5、1、2、3、 4、5、6等或更高。舉例來說,TMOS中的氧:矽比 值約為4。其他矽前驅物,例如,TRIES及TRIMOS 的氧:矽比值約為3。其他例如HCDS的氧:矽比值 約為0.5,且TECS的氧:矽比值則為0。Cl The oxygen: 矽 ratio of the ruthenium precursor is about 〇, 〇. 5, 1, 2, 3, 4, 5, 6, etc. or higher. For example, the oxygen:twist ratio in TMOS is about 4. Other ruthenium precursors, for example, TRIES and TRIMOS have an oxygen: 矽 ratio of about 3. Other oxygen, e.g., HCDS ratios are about 0.5, and the oxygen:ruth ratio of TECS is zero.

此矽前驅物中可包括Si-0-Si鍵結,一如HMODS、 OMOTS、OMODDS ' HCDS和OCTS等其他有機矽化 合物中所含鍵結一樣。此矽前驅物中的矽鍵結有助於 形成具有較少之來自碳及羥基團之汙染的SiOx薄膜。 在某些實施方式中,此矽前驅物可包括氨基矽 烧,例如三碎基氨(trisilylamine,TS A)、六曱基二梦氣 烧(hexamethyldisilazane, HMDS)、雜 H .石夕三環 (silatrane)、 四(二曱 基氨)石夕烧 18 200945415 (tetrakis(dimethylamino)silane)、二(二乙基氨)石夕烧 (bis(diethylamino)silane) ' 二-叔 丁基氨石夕烧 (bis-tert-butylaminosilane)、二(二曱基氨)石夕烧 (bis(dimethylamino)silane,BDMAS)、三(二曱基氨)氣 石夕烧(tris(dimethylamino)chlorosilane)、和甲基雜氮石夕 三環(methylsilatrane)等其他化合物。The ruthenium precursor may include a Si-0-Si bond, as is the bond contained in other organic ruthenium compounds such as HMODS, OMOTS, OMODDS 'HCDS, and OCTS. The ruthenium linkage in the ruthenium precursor helps to form a SiOx film with less contamination from carbon and hydroxyl groups. In certain embodiments, the ruthenium precursor may include amino oxime, such as trisilylamine (TS A), hexamethyldisilazane (HMDS), heterogeneous H. Silatrane), tetrakis(didecylamino)shi shochu 18 200945415 (tetrakis(dimethylamino)silane), bis(diethylamino)silane 'di-tert-butylammonium sulphide (bis-tert-butylaminosilane), bis(dimethylamino)silane (BDMAS), tris(dimethylamino)chlorosilane, and methyl Other compounds such as methylsilatrane.

SiH3 H3C- ch3 -Si CH3 ,Si:SiH3 H3C-ch3 -Si CH3 ,Si:

H3CH3C

N ^CH3 、ch3 H3Si- 'SiH3N ^CH3 , ch3 H3Si- 'SiH3

HH

Trisilylamine (TSA)Trisilylamine (TSA)

Hexamethyldisilazane (HMDS)Hexamethyldisilazane (HMDS)

(H3C)2N、 (h3c)2n/ /N(CH3)2 Si\ N(CH3)2(H3C)2N, (h3c)2n/ /N(CH3)2 Si\ N(CH3)2

(C2H5>2N /H (H3C)2N(C2H5>2N /H (H3C)2N

(H3Q2N-^Si—Cl (C2H5)2N H (H3C)2N(H3Q2N-^Si-Cl (C2H5)2N H (H3C)2N

Tetrakis(dimethylamino)silane Bis(diethylainino)silane Tris(dimethylamino)chlorosilaneTetrakis(dimethylamino)silane Bis(diethylainino)silane Tris(dimethylamino)chlorosilane

在其他實施方式中,此矽前驅物可包括二矽烷 類,包括烷氧二矽烷、烷氧-烷基二矽烷、和烷氧-乙 醯氧二矽烷。此烷氧二矽烷可包括: 19 200945415 R2~~^Si-Si<—-R5 R, 、 其中Rw可分別為Cw烷基氧基基團。例如,此烷氧 二矽烷可包括六曱氧基二矽烷和六乙氧基二矽烷等其 他烷氧二矽烷類。 此烷氧二矽烷類也可包括具有烷氧基團鍵結到矽 原子上的環狀二矽烷化合物。舉例來說,此烷氧環狀 矽烷可包括八乙氧環丁矽烷、十曱氧環戊矽烷和十二 曱氧環己矽烷等。某些烷氧二矽烷類的例子繪示如下: (H3C)0. .0(CH3) (H3C)O^Si—Si^〇(CH3) (H3C)0X \〇(ch3) Hexamethoxydisilane H3CH2CO\ /〇CH2CH3 H3CH2CO—^Si-Si^-"〇CH2〇H3 h3ch2c〇/ 、OCH2CH3In other embodiments, the ruthenium precursor may include dioxanes including alkoxydioxanes, alkoxy-alkyldioxanes, and alkoxy-ethoxydioxanes. The alkoxydioxane may include: 19 200945415 R2~~^Si-Si<--R5 R, wherein Rw may be a Cw alkyloxy group, respectively. For example, the alkoxydioxane may include other alkoxydioxanes such as hexamethoxydioxane and hexaethoxydioxane. The alkoxydioxane may also include a cyclic dioxane compound having an alkoxy group bonded to a ruthenium atom. For example, the alkoxycyclodecane may include octaethoxycyclobutane, decahydrocyclopentane, dodecaoxycyclohexane, and the like. Some examples of alkoxydioxanes are shown below: (H3C)0. .0(CH3) (H3C)O^Si—Si^〇(CH3) (H3C)0X \〇(ch3) Hexamethoxydisilane H3CH2CO\ /〇 CH2CH3 H3CH2CO—^Si-Si^-"〇CH2〇H3 h3ch2c〇/ , OCH2CH3

HexaethoxydisilaneHexaethoxydisilane

H3CO y〇CH3 h3co、 \Si, /OCH3 H3CO- H3CO、 -SiI •.Si、H3CO y〇CH3 h3co, \Si, /OCH3 H3CO- H3CO, -SiI •.Si,

SiI ,Si s〇CH3 och3SiI, Si s〇CH3 och3

H3COH3CO

Si OCH3 H3CO 〇CH3 Dodecamethoxycyclohexasilane 此烷氧二矽烷類可包括: R7\^ ^^^Rio R8~~~pSl-Siv^-RnSi OCH3 H3CO 〇CH3 Dodecamethoxycyclohexasilane This alkoxydioxane may include: R7\^ ^^^Rio R8~~~pSl-Siv^-Rn

Rg 其中R7_12可分別為C!_3烷基或Cm烷氧基,且其中至 20 200945415 少一 117.12為烷基且至少一 r7_12是烷基氧基基團。烷 氧基烷基二矽烷也可包括具有烷基與烷氧基的環狀二 石夕烧,例如,丁石夕烧、戊石夕烧、己石夕烧、庚石夕烧、辛 矽烷等,具有至少一烷基與烷氧基鍵結於其上。實例 包括:八甲基-1,4 -二氧- 2,3,5,6-四妙ί衷己炫>,1,4 -二氧 -2,3,5,6-三矽環己烷和 1,2,3,4,5,6-六曱氧基 -1,2,3,4,5,6-六曱基環己矽烷等其他烷氧基-烷基環狀 ❿ 矽烷類。某些烷氧基-烷基二矽烷化合物的實例如下: H3Cn ch3 H3C\ )Si O H3c〆 I I λ ii一-ch3 Sl ch3 H3CT、CH3 octamethyl-l,4-dioxa-2,3,5,6-tetrasilacyclohexaneRg wherein R7_12 may be C!_3 alkyl or Cm alkoxy, respectively, and wherein up to 20 200945415 one 117.12 is an alkyl group and at least one r7_12 is an alkyloxy group. The alkoxyalkyldioxane may also include a cyclic bismuth sulphide having an alkyl group and an alkoxy group, for example, butyl sulphate, pentylene sulphate, hexa sulphur, stagnation, octane, etc. , having at least one alkyl group and an alkoxy group bonded thereto. Examples include: octamethyl-1,4-dioxy-2,3,5,6-tetramous 衷 己 炫 >, 1,4 -dioxy-2,3,5,6-trioxane Other alkoxy-alkyl cyclic decanes such as alkane and 1,2,3,4,5,6-hexamethoxy-1,2,3,4,5,6-hexamethylenecyclohexane . Examples of certain alkoxy-alkyldioxane compounds are as follows: H3Cn ch3 H3C\)Si O H3c〆II λ ii-ch3 Sl ch3 H3CT, CH3 octamethyl-l, 4-dioxa-2,3,5,6 -tetrasilacyclohexane

l,4-dioxa-2,3,5,6-tetrasilacyclohexane ❿ h3ch2co^ .OCH2CH3 H3C-—pSi-Si^—-〇Η3 H3CH2CO’ 、OCH2CH3 1,1,2,2-tetraethoxy-1,2-dimethyldisilane 烷氧-乙醯氧二矽烷可包括: R13\ ^R16 R14~~~;Si-Si<—R17 R15’ 、R18 其中R13_17可分別為Cw烷基、(^_3烷氧基或乙醯氧 基,且其中至少一 Rn-17可為烷氧基且至少一 r13_17 21 200945415 可為乙醯氧基。 ,此矽前驅物可包括有機環狀 、環戊矽烷、環己矽烷、環庚 在另外實施方式中 矽烷,例如,環丁矽烷 矽烷、環辛矽烷等。 在某些實施方式中,可畀脾 & 八了先將此矽别驅物與載氣混 口 引入至况積腔室中。載氣可以是不會實質l,4-dioxa-2,3,5,6-tetrasilacyclohexane ❿ h3ch2co^ .OCH2CH3 H3C--pSi-Si^--〇Η3 H3CH2CO', OCH2CH3 1,1,2,2-tetraethoxy-1,2-dimethyldisilane The alkoxy-acetoxydioxane may include: R13\^R16 R14~~~; Si-Si<-R17 R15', R18 wherein R13_17 may be Cw alkyl, (^_3 alkoxy or ethoxylated, respectively). And wherein at least one Rn-17 can be an alkoxy group and at least one r13_17 21 200945415 can be an ethoxycarbonyl group. The ruthenium precursor can include an organic ring, cyclopentane, cyclohexane, and cycloglycan. By way of example, decane, for example, cyclobutane decane, cyclooctane, etc. In certain embodiments, the spleen & first introduces the sputum drive with a carrier gas mixture into the conditional chamber. Carrier gas can be no substantial

干擾在基& m上形絲化物制U5㈣活性氣 體。載氣的實例包括者 ^ 詞a括氦、氖、氩及氫等其他氣體。 在方法400的實施方式中,在引入沉積腔室前, :可將原子氧前驅物與石夕前驅物先混合。這些前驅物 疋經由圍繞反應腔室配置之個別、獨立的前驅物入口 進入腔至内。舉例來說,原子氧前驅物可由腔室頂部 的入口進人’而直接位在基板上方^此人口可引導氧 前驅物往與基板沉積表面實質垂直的方向流動。同 時,石夕前驅物可由圍繞腔室側面的一或多個入口進入 腔室’這些人π可引㈣前驅物往與基板沉積表面實 質平行的方向流動。 在某些實施方式中,可令原子氧前驅物與石夕前驅 物通過多琿口喷頭上的個別埠口。舉例來說,位在基 板上方的噴頭可包括一模式之多個開口,以供前驅物 可進入沉積腔室内。可提供一第一子集合的開口來流 入原:氧前驅物,同時提供一第二子集合的開口來流 入矽刖驅物。通過不同子集合之前驅物間為彼此流體 22 200945415 隔絕的狀態’直到離開進入沉積腔室為止。有關前驅 物處理設備的其他細節與類型設計揭示在2〇〇6年5 月30曰題申且本案為共同受讓人之美國臨時申請案 第 6〇/8〇3,499 號,標題為「Process Chamber For DieleCtricGapfiUj,其揭示内容在此併入做為參考。 因原子氧前驅物與矽前驅物可在沉積腔室中反 應,因此可於步驟41〇 .中,在基板沉積表面上形成矽 ® 化物層115。最初的氧化物層可能具有欲求的流動 性,並可遷移進入沉積表面上的間隙、溝槽、孔、隙 縫等處。此使得方法400能提供幾乎沒有孔及細缝的 氧化物填充在間隙、溝槽及其他具有高深寬比值(如, 深寬比值約為 5:1、6:1、7:1、8:1、9:1、1〇:1、11:1 和12:1或更高)的結構中。接著可在區塊412中將此 氧化碎層硬化。 φ 參照第5A圖’其為CVD系統10的垂直戴面示圖, 其中示出一真空或處理腔室15,此腔室15包括腔室 壁15a和腔室蓋組件15b。此CVD系統10包含氣體 刀配岐管11 ’用以分配處理氣體到停放在處理腔室15 中央之加熱平台12上之基板(未示出氣體分配岐管 U可由導電材料製成,用來當做形成電容式電漿時的 電極。處理期間,基板(如,半導體晶圓)是停放在加 熱平台12之平坦表面12a上。可控制平台12,使在 —較低的加載/卸載位置(如,第5A圖所示)與較高的 23 200945415 處理位置(如,第5A圖中的虛線所示)之間移動,其與 岐管11緊密相鄰。還有一包括感應器的中央板(未示 出)’用來提供晶圓上之位置資訊。 經由習知平坦、圓形氣體分配面板13a上的多個 孔洞來將沉積與載氣體引入到腔室15内。詳言之,沉 積處理氣體經由入口岐管11流入腔室,穿過習知、多 孔式阻隔板,再穿過氣體分配面板13a上的多個孔洞。 ® 在到達岐管11之前,從氣體源經由氣體管線輸入 /儿積與載氣體到一混合系統内’使其混合,之後再送 到岐管11。一般來說,每一處理氣體的供應管線包括 (i)多個女全關閉閥(未示出),可用來自動或手動式地 關閉流入腔室内的氣體,·和(ii)質流控制器(未示出), 用來測量經由供應管線流入的氣體。當製程中使用到 有毒氣體時,可以習知方式在每一氣體供應管線上設 置多個安全關閉閥。 藝 在CVD系統1〇上執行的沉積處理可以是熱處理 或電漿強化處理。在電漿強化處理中,以RF電源在 氣體分配面板13a與平台12之間施加電力,以激發處 理氣體混合物來在氣體分配面板13a與平台12之間的 圓柱形區域(此區域被稱為「反應區」)中形成電漿。 電漿中的組成反應後可沉積形成欲求膜層到支撐在平 台12上的半導體晶圓表面上。RF電力是混頻電 力,一般可供應13.56贿2之高頻與36〇KHz之低頻 24 200945415 電力來加強解離被引入至真空腔室15中的反應性物 種。在熱處理中,不會使帛RFf力,且處理氣體混 〇物彼此熱反應而》儿積欲求膜層到支撑在平台12上 的半導體晶圓表面上,該平台12是被電阻式加熱來提 供反應所需的熱能。 在電漿強化沉積處理期間,電漿可加熱整個處理 腔室15’包括圍繞著排氣通道23與關閉閥24的腔室 © 纟體15a的腔室壁。當電漿尚未被打開或是在熱沉積 期間,可在處理腔室15的腔室壁15a中循環流動—熱 流體,以維持腔室在高溫下。腔室壁15a中其他的通 道並未繪出。用來加熱腔室壁15a的熱流體包括典型 的流體類別,即,水性乙二醇或油性熱傳流體。此加 熱作用(稱為透過「熱交換」而進行的加熱作用)可有 利地降低或排除不欲求反應產物的冷凝並改善處理氣 ❿ 體的揮發性產物及可能汙染製程的其他汙染物(如果 其冷凝在冷卻真空通道壁上並於沒有氣流流動期間遷 移回到處理腔室内的話)的排除作用。 以真空幫浦(未示出)將未沉積成為一層之氣體混 σ物的其他剩餘部分’包括反應副產物,抽離腔室 15。詳言之,從圍繞著反應區的一環狀、槽型開口 16 將廢氣排入一環狀排氣室17中。此環狀槽16與氣室 17疋由介於腔室圓柱狀側壁15a (包括壁上的上方介 電襯層19)的頂部和環狀腔室蓋2〇的底部之間的間隙 25 200945415 所共同界Μ來的。環狀槽16與氣室17之鳩。 對稱性與均勾性核氣时晶圓切的均勻 流動性非常重要,如并士热+ θ _ 如此才能在晶圓上沉積出均—的膜 層。 、Interfering with the formation of U5 (IV) active gas on the base & m. Examples of carrier gases include those in which the word a is sputum, helium, argon, and hydrogen. In an embodiment of method 400, prior to introduction into the deposition chamber, the atomic oxygen precursor may be first mixed with the Shixia precursor. These precursors are introduced into the chamber via separate, independent precursor inlets disposed around the reaction chamber. For example, the atomic oxygen precursor can be introduced into the substrate by the inlet at the top of the chamber and directly above the substrate. This population can direct the oxygen precursor to flow substantially perpendicular to the substrate deposition surface. At the same time, the Shixi precursor may enter the chamber by one or more inlets around the side of the chamber. These persons may induce the (4) precursor to flow in a direction substantially parallel to the substrate deposition surface. In some embodiments, the atomic oxygen precursor and the Shixia precursor can be passed through individual mouthpieces on the multi-mouth nozzle. For example, a showerhead positioned above the substrate can include a plurality of openings in a mode for the precursor to enter the deposition chamber. An opening of the first subset can be provided to flow into the original: oxygen precursor while providing an opening of the second subset to flow into the crucible. Through the different subsets, the precursors are fluid to each other 22 200945415 isolated state 'until leaving the deposition chamber. Other details and types of design relating to the precursor treatment equipment are disclosed in the US Provisional Application No. 6〇/8〇3,499, entitled “Process Chamber,” in May 30, 2006. For DieleCtricGapfiUj, the disclosure of which is incorporated herein by reference. Because the atomic oxygen precursor and the ruthenium precursor can react in the deposition chamber, a ruthenium compound layer can be formed on the substrate deposition surface in step 41. 115. The initial oxide layer may have desirable fluidity and may migrate into gaps, trenches, holes, slits, etc. on the deposition surface. This allows method 400 to provide oxide filling with almost no pores and slits. Gap, groove, and other high aspect ratio values (eg, aspect ratios of approximately 5:1, 6:1, 7:1, 8:1, 9:1, 1〇:1, 11:1, and 12:1) The structure may be hardened in block 412. φ Referring to Figure 5A, which is a vertical wear view of CVD system 10, showing a vacuum or processing chamber 15 This chamber 15 includes a chamber wall 15a and a chamber lid assembly 15b. This CVD system 10 pack The gas knife is equipped with a manifold 11' for distributing the processing gas to the substrate on the heating platform 12 parked in the center of the processing chamber 15 (the gas distribution manifold U is not shown to be made of a conductive material for forming a capacitive plasma) Electrode during processing. During processing, the substrate (eg, semiconductor wafer) is parked on the flat surface 12a of the heating platform 12. The platform 12 can be controlled to be in a lower loading/unloading position (eg, as shown in FIG. 5A) Moving between the higher 23 200945415 processing position (as indicated by the dashed line in Figure 5A), which is in close proximity to the manifold 11. There is also a central panel (not shown) including the sensor 'used to Providing positional information on the wafer. The deposition and carrier gas are introduced into the chamber 15 via a plurality of holes in a conventional flat, circular gas distribution panel 13a. In detail, the deposition process gas flows in through the inlet manifold 11 The chamber passes through a conventional, porous baffle and then passes through a plurality of holes in the gas distribution panel 13a. ® before the manifold 11 is reached, the gas source is supplied via a gas line to the gas reservoir to a gas mixture. Within the system' The mixture is then sent to the manifold 11. In general, the supply line for each process gas includes (i) a plurality of female full shut-off valves (not shown) that can be used to automatically or manually shut off the gas flowing into the chamber. , and (ii) a mass flow controller (not shown) for measuring the inflow of gas through the supply line. When toxic gases are used in the process, multiple safety can be set on each gas supply line in a conventional manner. The deposition process performed on the CVD system 1 may be a heat treatment or a plasma strengthening treatment. In the plasma strengthening treatment, electric power is applied between the gas distribution panel 13a and the stage 12 with an RF power source to excite the processing gas. The mixture forms a plasma in a cylindrical region between the gas distribution panel 13a and the platform 12 (this region is referred to as a "reaction zone"). The composition in the plasma can be deposited to form a desired film layer onto the surface of the semiconductor wafer supported on the stage 12. The RF power is a mixed power, typically supplying a high frequency of 13.56 bribes and a low frequency of 36 kHz. 24 200945415 Power to enhance dissociation of reactive species introduced into the vacuum chamber 15. In the heat treatment, the RFf force is not caused, and the process gas mixture is thermally reacted with each other to form a film layer on the surface of the semiconductor wafer supported on the stage 12, which is provided by resistive heating. The heat energy required for the reaction. During the plasma enhanced deposition process, the plasma can heat the entire processing chamber 15' including the chamber walls surrounding the chambers 15 of the exhaust passage 23 and the shutoff valve 24. When the plasma has not been opened or during thermal deposition, a flow-hot fluid can be circulated in the chamber wall 15a of the processing chamber 15 to maintain the chamber at a high temperature. Other channels in the chamber wall 15a are not depicted. The thermal fluid used to heat the chamber wall 15a includes a typical fluid class, i.e., an aqueous glycol or an oily heat transfer fluid. This heating (referred to as heating by "heat exchange") can advantageously reduce or eliminate condensation of the undesired reaction product and improve the volatile products of the treated gas enthalpy and other contaminants that may contaminate the process (if The elimination of condensing on the walls of the cooling vacuum channel and migrating back into the processing chamber during the absence of gas flow. The remaining portion of the gas mixture σ which is not deposited as a layer is included by a vacuum pump (not shown), including reaction by-products, and is evacuated from the chamber 15. In particular, the exhaust gas is discharged into an annular discharge chamber 17 from an annular, slotted opening 16 surrounding the reaction zone. The annular groove 16 and the plenum 17 are common to the gap 25 200945415 between the top of the cylindrical side wall 15a of the chamber (including the upper dielectric lining 19 on the wall) and the bottom of the annular chamber cover 2〇. The world is coming. The annular groove 16 is adjacent to the gas chamber 17. Uniform fluidity of wafer dicing during symmetry and uniformity of nuclear gas is very important, such as shisha heat + θ _ to deposit a uniform film on the wafer. ,

來自排氣腔室17的氣流在排氣腔室17之水平延 伸部分下方流動’通過觀看部分(未示出),穿過下 方延伸氣體,通道23,通過真空關閉閥24(其主體與下 方腔至壁15a體成形並經由前緣(未示出)進入與 外部真空幫浦(未示出)相連的排氣口 25。 以設計成兩整圈平行同心圓形式的内嵌式軍迴圈 内嵌加熱器it件來電阻式加熱平# 12上的晶圓支撐 盤(較佳是銘、陶究或其之組合)。此加熱器元件的外 胃平行’至於其内部則是位在具有較小 半徑的一同心、圓路徑上。此加熱器元件的線路會通過 平台12的支柱。 典型地,任一或全部的腔室襯墊、氣體人口歧管 面板及各式其他反應器硬趙是由諸如紹、陽極化紹、 或陶兗製成。此類CVD設備的實例之一揭示於美國專 利第5,558,717號中,標題為「CVD p⑽ Chmaber」,在此併入其内容做為參考。 當需經由腔室1〇側壁上的插入/移除開口 %以機 器臂刀(未示出)將晶圓移入或移出腔室15主體時,可 利用舉升機制之馬達32(第5A圖)和其之晶圓舉升鎖 26 200945415 12 b 來井;g; + v阿或降下已加熱的平台組件12。馬達32可於 處理位署 1 /1 A 14與較低的晶圓加載位置間來抬高或降下 矣曰./»1 σ °开12。馬達、連接到供應線的閥或流動控制 器氣體傳送系統、節流閥、RJF電源及腔室和基板加 熱系統均士 j ^ u J田系統控制器透過控制線(部分示出)來控 制控制器倚賴光感應器的回饋訊號來決定可動機械The air flow from the exhaust chamber 17 flows under the horizontal extension of the exhaust chamber 17 'through the viewing portion (not shown), the gas extends through the lower portion, the passage 23, through the vacuum shut-off valve 24 (the body and the lower chamber) The wall 15a is shaped and enters an exhaust port 25 connected to an external vacuum pump (not shown) via a leading edge (not shown). It is designed as two full-circle parallel concentric circular in-line military loops. Insert the heater to replace the wafer support plate on the resistive heating plate (preferably the name, the ceramics or a combination thereof). The outer part of the heater element is parallel to the inside of the heater element. A concentric, circular path of small radii. The line of this heater element will pass through the struts of the platform 12. Typically, any or all of the chamber liners, gas population manifold panels, and various other reactors are It is made, for example, by singular, anodizing, or ceramic. One of the examples of such a CVD apparatus is disclosed in U.S. Patent No. 5,558,7, the entire disclosure of which is incorporated herein by reference. Need to insert through the side wall of the chamber /Remove the opening % When the wafer is moved into or out of the body of the chamber 15 by a robotic arm cutter (not shown), the motor 32 of the lifting mechanism (Fig. 5A) and the wafer lift lock 26 thereof may be utilized. b to the well; g; + v or lower the heated platform assembly 12. The motor 32 can be raised or lowered between the processing 1 / 1 A 14 and the lower wafer loading position. / / 1 σ ° open 12. Motor, valve connected to the supply line or flow controller gas delivery system, throttle valve, RJF power supply and chamber and substrate heating system are all in the system control through the control line (partial display Out) to control the controller to rely on the feedback signal of the light sensor to determine the movable machine

、件(如’利用適當馬達在控制器作用下進行移動的節 流閥和承裁器)的移動位置。The moving position of a piece (such as a throttle valve and a carrier that moves with a suitable motor under the action of a controller).

在例示的實施方式中,系統控制器包括硬碟驅動 器(記憶體)、軟碟驅動器和處理器。此處理器包括單 機電腦(slngle_b()ard _puter>,獄)、類比和數位輸入 /輸出卡、介面卡和步進式馬達控制器卡^ CVD系統 10的各部件均符合用以界定基板、卡盒和連接器尺寸 與類型的 Versa Modular European (VEM)標準。此 VEM 標準也界疋匯流排結構& 16_位元資料匯流排和2心位 址匯流排。 系統控制器可控制CVD機器上的全部活動。此系 統控制器可執行存放在電腦可讀媒體(如,記憶體)^ 的系統控制軟體。較佳是’此記憶體是硬碟,但也可 以是其他種形式的記憶體。電腦程式包括多组指令 :’其:指出與某一特定處理相關的時間、氣體混合 、腔至愚、腔室溫度、灯電力級別、承載器位置和 八他參數。储存在其他記㈣元件上的電腦程式包 27 200945415 括例如,軟碟或其他適當的驅動器,藉以用來操 控制器。 乍 可利用透過控制器執行的電腦程式產品,來實施 、積膜層到基板上的處理或一用來清潔腔官 15的由主 、恩理。這些電腦程式碼可由習知的電腦可讀程式 〇〇 〇寫成:例如,68000組合語言、C、C + +、Pascah _ ortran或是其他。利用習知的文碼編輯器將適當的程 式碼存成一單一檔案或多個檔案,然後存放在電腦可 = 體中 例如電腦的記憶體系統内。如果存入的編 碼文字屬於高階語言,就必須實施編輯,之後將所得 的編輯竭與預先編輯好的微軟視窗⑧常式之目標码連 結。為執行該連結,系統使用者致動目標碼,使得電 腦系統將該碼載入至記憶體中。接著,CPU可讀取並 執行該編碼’以執行由該程式所識別的工作。 ®. 使用者與控制器間的界面是經由CRT螢幕5〇a和 光筆50b ’如第5B圖所示,其為系統螢幕和基板處理 系統(可包括一或多個腔室)之CVD系統10的簡化 圖。在最佳實施方式中使用了兩個螢幕50a,—個安 裝在潔淨室壁上供所有操作者使用’另一個安裝在腔 室壁後’供維修技術人員使用》螢幕50a可同時顯示 相同的資訊’但只有一隻光筆50b是可以作動的。光 筆5 Ob頂端的光感應器可偵測由CRT顯示器所發出的 光。欲選擇一特定螢幕或功能時’操作者只要觸碰顯 28 200945415 不器上的一特定區域並壓住光筆鳩上的按紐即可。 所觸碰的區域就會改變它的提示顏色(highHghted 或者顯示出-個新的畫面或選單,來確認光筆 肖顯示螢幕間的連通資料。也可利用其他的裝置,例 如鍵盤、滑鼠、或其他指向或聯通裝置來取代光筆_ 或與光筆50b起作用,讓使用者可與控制器聯絡。 第5A圖顯示出安裳在處理腔冑15 ❿-上的遠端電裝產生器6。,該腔室蓋組件15b包括牛 氣體分配面板13a和氣體分配歧管u。以一安裝轉接 器64將遠端電楽產生器6〇安裝在腔室蓋組件 上,如第5A圖所示。轉接器64 —般是由金屬製成。 有一混合裝置70連接到氣體分配歧管丨丨的上游側(如 第5A圖所示)。此混合裝置7〇包括一混合插入件72(位 在混合區之槽内)’用來混合處理氣體。在轉接器Μ 魯與混合裝置70之間設有一陶瓷絕緣體66(第5A圖)。 此陶瓷絕緣體66可由陶瓷材料製成,例如氧化鋁(純 度99%)、鐵氟龍®、或其他類似物。安裝時,混合裝 置70與陶曼絕緣體66可形成一部分的腔室蓋 15b。此陶瓷絕緣體66可隔絕金屬轉接器料使其不與 混合裝置70和氣體分配歧管u接觸,來使腔室蓋組 件15b中形成二次電漿的機率降至最小,詳述於下。 利用一個三向閥來控制處理氣體直接流往處理腔室 15或穿過运端電漿產生器60流往處理腔室15的情 29 200945415 遠端電漿產生器60最好是一個小型、自己自足的 單元,且可被方便地安裝在腔室蓋組件15b上並可在 不需叩貝地it·費或耗時的改裝下,被輕易地反向安裝 到要拆離的腔室上。一種適當的單元是應用科學與技 術公司(Applied Science and Technology,inc, Woburn,In the illustrated embodiment, the system controller includes a hard disk drive (memory), a floppy disk drive, and a processor. The processor includes a stand-alone computer (slngle_b()ard_puter>, prison), analog and digital input/output cards, an interface card, and a stepper motor controller card. Each component of the CVD system 10 is adapted to define a substrate, a card. Box and connector sizes and types of Versa Modular European (VEM) standards. This VEM standard also delimits the bus structure & 16_bit data bus and 2 heart address bus. The system controller controls all activities on the CVD machine. The system controller can execute system control software stored on a computer readable medium (e.g., memory). Preferably, the memory is a hard disk, but it can be other forms of memory. The computer program includes sets of instructions: 'It: indicates the time associated with a particular process, gas mix, cavity to fool, chamber temperature, lamp power level, carrier position, and eight parameters. A computer package stored on other component (4) 27 200945415 includes, for example, a floppy disk or other suitable drive for operating the controller.乍 The computer program product executed by the controller can be used to implement the processing of the film layer on the substrate or a master and an enlightenment for cleaning the cavity. These computer programs can be written by conventional computer readable programs: for example, 68000 combined languages, C, C++, Pascah_ortran or others. The appropriate code is stored in a single file or multiple files using a conventional code editor and stored in a computer system such as a computer's memory system. If the stored coded text is in a higher-level language, editing must be performed, and the resulting edits are then linked to the pre-edited Microsoft Windows 8 regular object code. To perform the link, the system user activates the object code so that the computer system loads the code into the memory. The CPU can then read and execute the code ' to perform the work identified by the program. ®. The interface between the user and the controller is via the CRT screen 5A and the light pen 50b' as shown in Figure 5B, which is a system screen and substrate processing system (which may include one or more chambers) CVD system 10 Simplified diagram. In the preferred embodiment, two screens 50a are used, one mounted on the cleanroom wall for all operators to use 'the other is installed behind the chamber wall' for service technicians." Screen 50a can display the same information at the same time. 'But only one light pen 50b is operational. The light sensor at the top of the light pen 5 Ob detects the light emitted by the CRT display. To select a specific screen or function, the operator simply touches a specific area on the 200945415 and presses the button on the stylus. The touched area will change its cue color (highHghted or display a new screen or menu to confirm the connection between the screens.) Other devices such as keyboard, mouse, or Other pointing or connecting devices replace the light pen _ or interact with the light pen 50b to allow the user to communicate with the controller. Figure 5A shows the remote electrical generator 6 on the processing chamber 15 ❿-, The chamber lid assembly 15b includes a bull gas distribution panel 13a and a gas distribution manifold u. The remote power generator 6 is mounted to the chamber lid assembly with a mounting adapter 64, as shown in Figure 5A. The adapter 64 is typically made of metal. A mixing device 70 is coupled to the upstream side of the gas distribution manifold (as shown in Figure 5A). The mixing device 7 includes a mixing insert 72 (located in The tank in the mixing zone is used to mix the process gas. A ceramic insulator 66 (Fig. 5A) is provided between the adapter 与 and the mixing device 70. The ceramic insulator 66 may be made of a ceramic material such as alumina ( 99% purity), Teflon®, or Others. When installed, the mixing device 70 and the Tauman insulator 66 can form a portion of the chamber cover 15b. The ceramic insulator 66 can insulate the metal adapter material from contact with the mixing device 70 and the gas distribution manifold u. The probability of forming a secondary plasma in the chamber lid assembly 15b is minimized, as detailed below. A three-way valve is used to control the flow of process gas directly to the processing chamber 15 or through the slurry generator 60. The flow to the processing chamber 15 200945415 The remote plasma generator 60 is preferably a small, self-contained unit and can be conveniently mounted on the chamber lid assembly 15b and can be used without the need for mussels. • Expendable or time-consuming modifications are easily reverse mounted to the chamber to be detached. One suitable unit is Applied Science and Technology, Inc, Woburn,

Mass)出品的ASTRON®產生器。此ASTR〇N⑧產生器 可使用低場超環面電漿來將處理氣體解離。在一實例 中,此電漿可解離包括含氟氣體(如,NF3)在内的處理 風體和一載氣(如,氩氣),以產生可用來清潔沉積在 處理腔室15内之膜層的自由氟原子。 以上詳述了數種實施方式,此領域中習知技藝人Mass) ASTRON® generator. This ASTR〇N8 generator can use low field toroidal plasma to dissociate the process gas. In one example, the plasma can dissociate a processing wind including a fluorine-containing gas (e.g., NF3) and a carrier gas (e.g., argon) to produce a film that can be used to clean deposits in the processing chamber 15. The free fluorine atom of the layer. Several embodiments have been described in detail above, and those skilled in the art

述。因此,本發明範疇並不限於上述說明。Said. Therefore, the scope of the invention is not limited to the above description.

溉巴括或排除在該範圍外, 值中的中間插入數值及任何其 中的中間插入數值之間的每一 這些小範圍的上限與下限可分 圍外,且每一範圍,無論其任 30 200945415 一或兩端點被包括或排除在該範圍’岣屬本發明範 疇’受所述範圍特定排除之上、下限的限制。當所述 範圍包括兩端點之一或兩者時,也涵蓋排除其一戋其 二的範圍在内。 八 如所述及附隨之請求項中所述,除非另做說明, 否則單數形式的「一(a,an)及該(the)」包括其複數形 式。因此,舉例來說,「一方法」包括多個這種方法, 且「該前驅物」包括一或多個前驅物及其之等效物。 此外’說明書及以下附隨的請求項中所使用到的 「包含(comprises, comprising)」、「包括加仙心, mcluding,inciudes)」意指明確敘述含有所述特徵、數 值、組件或步驟,但不排除有一或多其他特徵、數值、 組件或步輝的存在。 【圖式簡單說明】 第1圖示出典型硬遮罩蝕刻處理的各種步驟; 第2圖是依據本發明一實施方式’硬遮罩蝕刻處理 中各處理步驟的結果; 第3圖是依據本發明一實施方式,用來圖案化硬遮 罩之方法的流程圖; 第4圖為一處理的流程圖,其包括依據本發明一實 施方式之用來形成氧化物層於基板上之方法的各處理 31 200945415 步驟; 第5 A圖是一例示的薄膜沉積系統的垂直截面示圖; 第5B圖是一薄膜沉積系統的例示的系統監視器/控 制器組件的簡圖。 在附隨圖示中’使用相同元件符號來表示類似的組 件和/或特徵。此外,相同類型的各式組件可由虛線和 用以區別類似組件之第二標號的元件符號來區分。如 ® 果說明書中僅使用第一標號,則該第一標號之說明適 用於所有具相同第一標號之類似組件(無論其第二標 號為何)。 【主要元件符號說明】 10 CVD系統 11 氣體分配岐管 12 平台 12a 平坦表面 12b 晶圓舉升銷 14 處理位置 15 處理腔室 15a 腔室壁 15b 腔室蓋組件 16 環狀、槽型開口 17 環狀排氣室 19 上·方介電襯層 20 腔室蓋 21 水平延伸部分 23 排氣通道 24 關閉閥 25 排氣口 32 馬達 50a CRT螢幕50a 50b 光筆 60 遠端電漿產生器 64 轉接器 66 陶瓷絕緣體 70 混合裝置 32 200945415 72 混合插入件 步驟 100 、 101 、 102 、 103 、 104 、 105 、 106 107 濕蝕刻處理 110 基板 115 硬遮罩層、矽氧化物層 120 光阻 130 UV 光 201、202、203、204 步驟 305、310、315、320、325 區塊 400 方法 402、404、406、408、410、412 #驟Except or excluded from this range, the upper and lower limits of each of the small intervening values in the value and any intervening values therein may be excluded, and each range, regardless of its 30 200945415 The inclusion of one or both ends is excluded or excluded from the scope of the invention. When the range includes one or both of the two ends, it also covers the exclusion of the second one. As stated in the accompanying claims, the singular forms "a", "the" and "the" are used in the plural. Thus, for example, "a method" includes a plurality of such methods, and "the precursor" includes one or more precursors and equivalents thereof. In addition, the words "comprises", "including", "include", "include", "include", "include", "include", "include", "include" However, the existence of one or more other features, values, components or steps is not excluded. BRIEF DESCRIPTION OF THE DRAWINGS Fig. 1 shows various steps of a typical hard mask etching process; Fig. 2 is a view showing results of respective processing steps in a hard mask etching process according to an embodiment of the present invention; A flow chart of a method for patterning a hard mask according to an embodiment of the invention; FIG. 4 is a flow chart of a process including a method for forming an oxide layer on a substrate according to an embodiment of the present invention. Process 31 200945415 Step; Figure 5A is a vertical cross-sectional view of an exemplary thin film deposition system; Figure 5B is a simplified diagram of an exemplary system monitor/controller assembly of a thin film deposition system. The same element symbols are used in the drawings to indicate similar components and/or features. Moreover, various components of the same type may be distinguished by dashed lines and component symbols used to distinguish the second number of similar components. If only the first reference number is used in the specification, the description of the first reference number applies to all similar components having the same first reference number (regardless of the second reference number). [Main component symbol description] 10 CVD system 11 gas distribution manifold 12 platform 12a flat surface 12b wafer lift pin 14 processing position 15 processing chamber 15a chamber wall 15b chamber cover assembly 16 annular, slotted opening 17 ring Exhaust chamber 19 upper square dielectric liner 20 chamber cover 21 horizontal extension 23 exhaust passage 24 shutoff valve 25 exhaust port 32 motor 50a CRT screen 50a 50b light pen 60 remote plasma generator 64 adapter 66 ceramic insulator 70 mixing device 32 200945415 72 hybrid insert step 100, 101, 102, 103, 104, 105, 106 107 wet etching process 110 substrate 115 hard mask layer, tantalum oxide layer 120 photoresist 130 UV light 201, 202, 203, 204 Steps 305, 310, 315, 320, 325 Block 400 Method 402, 404, 406, 408, 410, 412 #STEP

3333

Claims (1)

200945415 七、申請專利範圍: 1· -種以紫外光來囷案化一硬遮罩膜層的方法, 包含: 在一沉積腔室内,沉積一硬遮罩層到一基板上; 將該硬遮罩層的多個部分暴露在紫外光下,其中該 暴露在紫外光下的該硬遮罩層的多個部分會在該硬遮 罩層上形成一曝光區域圖案(a pattern of eXposed ❿ area);及 姓刻該硬遮罩層’其中該蝕刻可移除該硬遮罩層上 的多個未曝光部份。 2_如請求項1所述之方法,更包含退火該硬遮罩 層。 _ 3.如請.求項2所述之方法,其中該退火是選自蒸 氣退火、熱退火、電感耦合電漿退火、電容耦合電漿 退火、紫外光退火、電子束退火0-束退火)、酸氣相催 化劑退火、鹼氣相催化劑退火或微波退火。 4.如請求項2所述之方法’其中該退火是在該硬 遮罩層被曝露在該紫外光下之前發生的。 5 ·如請求項2所述之系統,其中該退火是在蝕刻 34 200945415 該硬遮罩層之後發生的。 6_如請求項2所述之方法,其中該退火是在包含 有隋性氣體的氣禮氛圍下發生的。 7.如請求項2所述之方法,其中該退火是在一種 選自 ν2、Αγ、〇2、η2〇、ΝΗ3、Ν2/Η2 或 Ν20 之氣體氛 圍下發生的。 8·如請求項1所述之方法,更包含在姓刻後,提 供一電漿處理到該硬遮罩層上。 9·如請求項8所述之方法,其中該電漿是一電容 耦合電漿或一電感耦合電漿。 1〇.如請求項8所述之方法,其中該電漿處理是在 ♦選自 ν2、Ar、〇2、η2〇、Νη3、ν2/Η2 或 ν2〇 之氣體 氛圍下發生的。 u.如請求項i所述之方法,其中該紫外光包含波 長】、於或等於348 nm的光。 I2.如請求項丨所述之方法,其中該蝕刻包含濕蝕 35 200945415 刻0 13.如請求項12所述之方法,其中該濕蝕刻包含一 蚀刻劑’其係選自由HF、NH4OH、SCI及RCA組成 的群組。 14.如請求項1所述之方法,其中該硬遮罩層包含 0 氧化矽。 15_如請求項1所述之方法,其中該硬遮罩層是以 一選自旋塗、化學氣相沉積、原子層沉積或物理氣相 沉積的處理所沉積而成的。 如請求項1所述之方法,其中該基板包含一選 $ 自下列的基板:矽基板、m_V族複合基板、矽/鍺基 板、蠢晶基板、絕緣層上覆矽基板、顯示器基板、液 晶顯示器基板、電漿顯示器基板、電致發光燈基板 (electroluminescence lamp substrate)和發光二極體基 板。 1Λ 一種包括一沉積腔室和一紫外光源的硬遮罩 沉積與圖案化系統,包含: 沉積構件,用來在該沉積腔室中沉積一硬遮罩層到 36 200945415 一基板上; 曝光構件’用來使該硬遮罩層的多個部分暴露在紫 外光下;其中該紫外光可依據一圖案來使該硬遮罩層 的多個部分曝光;及 移除構件,用來移除該硬遮罩層上的多個未曝光部 分0 © 18如請求項17所述之硬遮罩沉積與圖案化系 統’更包含退火構件,用來退火該硬遮罩層。 19. 如請求項is所述之硬遮罩沉積與圖案化系 統,其中該退火是選自蒸氣退火、熱退火、電感耦合 .電漿退火、電容耦合電漿退火、紫外光退火、電子束 退火(e-束退火)、酸氣相催化劑退火、鹼氣相催化劑退 火或微波退火。 20. 如請求項is所述之硬遮罩沉積與圖案化系 統,其中該退火是在該硬遮罩層被曝露在該紫外光下 之前發生的。 21. 如請求項18所述之硬遮罩沉積與圖案化系 統,其中該退火是在蚀刻該硬遮罩層之後發生的。 37 200945415 22.如請求項18所述之硬遮罩沉積與圖案化系 統,其中該退火是在一包含有一惰性氣體的氣體氛圍 下發生的。 23. 如請求項18所述之硬遮罩沉積與圖案化系 統’其中該退火是在一種選自Ν2、Αγ、〇2、η2〇、ΝΗ3、 Ν2/ίΪ2或Ν2〇之氣體氛圍下發生的。 24. 如請求項17所述之硬遮罩沉積與圖案化系 統,更包含可在蝕刻後提供一電漿處理到該硬遮罩層 上的構件。 25. 如請求項24所述之硬遮罩沉積與圖案化系 統,其中該電漿是一電容耦合電漿或一電感耦合電漿。 26. 如請求項24所述之硬遮罩沉積與圖案化系 統’其中該電漿處理是在一選自N2、Ar、〇2、η2〇、 ΝΗ3、乂/出或>j2〇之氣體氛圍下發生的β 27. 如請求項ι7所述之硬遮罩沉積與圖案化系 、统’其中該紫外光包含波長小於或等於348 nm的光。 28·如請求項1 7所述之硬遮罩沉積與圖案化系 38 200945415 統,其中該蝕刻包含濕蝕刻。 29. 如喷求項28所述之硬遮罩沉積與圖案化系 統’該濕餘刻包含一蝕刻劑,其係選自由HF、NH4OH、 SCI及RCA組成的群組。 30. 如請求項17所述之硬遮罩沉積與圖案化系 Ο 統,其中該硬遮罩層包含氧化妙。 31. 如請求項17所述之硬遮罩沉積與圖案化系 統’其中該硬遮罩層是以一選自旋塗、化學氣相沉積、 原子層沉積或物理氣相沉積的處理所沉積而成的。 32,如請求項17所述之硬遮罩沉積與圖案化系 統’其中該基板包含一選自下列的基板:矽基板、III-V ❷ 族複合基板、矽/鍺基板、磊晶基板、絕緣層上覆矽基 板、顯示器基板、液晶顯示器基板、電漿顯示器基板、 電致發光燈基板(electroluminescence lamp substrate) 和發光二極體基板。 39200945415 VII. Patent application scope: 1. A method for coating a hard mask layer by ultraviolet light, comprising: depositing a hard mask layer on a substrate in a deposition chamber; A plurality of portions of the cover layer are exposed to ultraviolet light, wherein portions of the hard mask layer exposed to ultraviolet light form a pattern of eXposed ❿ area on the hard mask layer And the last name of the hard mask layer 'where the etch removes a plurality of unexposed portions of the hard mask layer. 2) The method of claim 1, further comprising annealing the hard mask layer. 3. The method of claim 2, wherein the annealing is selected from the group consisting of vapor annealing, thermal annealing, inductively coupled plasma annealing, capacitively coupled plasma annealing, ultraviolet annealing, electron beam annealing, and beam annealing. , acid gas phase catalyst annealing, alkali vapor phase catalyst annealing or microwave annealing. 4. The method of claim 2, wherein the annealing occurs before the hard mask layer is exposed to the ultraviolet light. 5. The system of claim 2, wherein the annealing occurs after etching the hard mask layer 34 200945415. The method of claim 2, wherein the annealing occurs in a gas atmosphere containing an inert gas. 7. The method of claim 2, wherein the annealing occurs in a gas atmosphere selected from the group consisting of ν2, Αγ, 〇2, η2〇, ΝΗ3, Ν2/Η2 or Ν20. 8. The method of claim 1 further comprising providing a plasma treatment to the hard mask layer after the last name. 9. The method of claim 8, wherein the plasma is a capacitively coupled plasma or an inductively coupled plasma. The method of claim 8, wherein the plasma treatment is carried out under a gas atmosphere selected from the group consisting of ν2, Ar, 〇2, η2〇, Νη3, ν2/Η2 or ν2〇. U. The method of claim i, wherein the ultraviolet light comprises a wavelength of light at or equal to 348 nm. The method of claim 2, wherein the etching comprises a wet etching 35. The method of claim 12, wherein the wet etching comprises an etchant selected from the group consisting of HF, NH4OH, SCI And a group consisting of RCAs. 14. The method of claim 1 wherein the hard mask layer comprises 0 yttrium oxide. The method of claim 1, wherein the hard mask layer is deposited by a treatment selected from the group consisting of spin coating, chemical vapor deposition, atomic layer deposition, or physical vapor deposition. The method of claim 1, wherein the substrate comprises: a substrate selected from the group consisting of: a germanium substrate, a m_V composite substrate, a germanium/germanium substrate, a stray substrate, an insulating layer overlying germanium substrate, a display substrate, a liquid crystal display A substrate, a plasma display substrate, an electroluminescence lamp substrate, and a light emitting diode substrate. A hard mask deposition and patterning system comprising a deposition chamber and an ultraviolet light source, comprising: a deposition member for depositing a hard mask layer on the substrate in the deposition chamber to 36 200945415; exposing member For exposing portions of the hard mask layer to ultraviolet light; wherein the ultraviolet light can expose portions of the hard mask layer according to a pattern; and removing components for removing the hard A plurality of unexposed portions on the mask layer 0. The hard mask deposition and patterning system of claim 17 further comprises an annealing member for annealing the hard mask layer. 19. The hard mask deposition and patterning system of claim i, wherein the annealing is selected from the group consisting of vapor annealing, thermal annealing, inductive coupling, plasma annealing, capacitively coupled plasma annealing, ultraviolet annealing, electron beam annealing (e-beam annealing), acid gas phase catalyst annealing, alkali vapor phase catalyst annealing or microwave annealing. 20. The hard mask deposition and patterning system of claim 37, wherein the annealing occurs prior to the hard mask layer being exposed to the ultraviolet light. 21. The hard mask deposition and patterning system of claim 18, wherein the annealing occurs after etching the hard mask layer. The hard mask deposition and patterning system of claim 18, wherein the annealing occurs in a gas atmosphere comprising an inert gas. 23. The hard mask deposition and patterning system of claim 18, wherein the annealing occurs in a gas atmosphere selected from the group consisting of Ν2, Αγ, 〇2, η2〇, ΝΗ3, Ν2/Ϊ2 or Ν2〇. . 24. The hard mask deposition and patterning system of claim 17 further comprising means for providing a plasma treatment to the hard mask layer after etching. 25. The hard mask deposition and patterning system of claim 24, wherein the plasma is a capacitively coupled plasma or an inductively coupled plasma. 26. The hard mask deposition and patterning system of claim 24 wherein the plasma treatment is a gas selected from the group consisting of N2, Ar, 〇2, η2〇, ΝΗ3, 乂/出, or >j2〇 β 27. Occurs in the atmosphere 27. The hard mask deposition and patterning system as claimed in claim ι7, wherein the ultraviolet light comprises light having a wavelength less than or equal to 348 nm. 28. The hard mask deposition and patterning system of claim 17, wherein the etching comprises wet etching. 29. The hard mask deposition and patterning system of claim 28, wherein the wet residue comprises an etchant selected from the group consisting of HF, NH4OH, SCI, and RCA. 30. The hard mask deposition and patterning system of claim 17, wherein the hard mask layer comprises a oxidized layer. 31. The hard mask deposition and patterning system of claim 17, wherein the hard mask layer is deposited by a process selected from the group consisting of spin coating, chemical vapor deposition, atomic layer deposition, or physical vapor deposition. Into. 32. The hard mask deposition and patterning system of claim 17, wherein the substrate comprises a substrate selected from the group consisting of a germanium substrate, a III-V germanium composite substrate, a germanium/germanium substrate, an epitaxial substrate, and an insulating layer. The layer is covered with a substrate, a display substrate, a liquid crystal display substrate, a plasma display substrate, an electroluminescence lamp substrate, and a light-emitting diode substrate. 39
TW098105497A 2008-02-20 2009-02-20 Process sequence for formation of patterned hard mask film (rfp) without need for photoresist or dry etch TWI406322B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/034,000 US8153348B2 (en) 2008-02-20 2008-02-20 Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch

Publications (2)

Publication Number Publication Date
TW200945415A true TW200945415A (en) 2009-11-01
TWI406322B TWI406322B (en) 2013-08-21

Family

ID=40955443

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098105497A TWI406322B (en) 2008-02-20 2009-02-20 Process sequence for formation of patterned hard mask film (rfp) without need for photoresist or dry etch

Country Status (6)

Country Link
US (1) US8153348B2 (en)
JP (1) JP5559065B2 (en)
KR (1) KR101515082B1 (en)
CN (1) CN101965626A (en)
TW (1) TWI406322B (en)
WO (1) WO2009105347A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI757261B (en) * 2015-12-30 2022-03-11 美商得昇科技股份有限公司 Chamber wall heating for a millisecond anneal system and related system and method thereof

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
TW201224190A (en) * 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
JP2012084602A (en) * 2010-10-07 2012-04-26 Hitachi Kokusai Electric Inc Semiconductor device manufacturing method and substrate processing device system
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
WO2013077952A1 (en) * 2011-11-23 2013-05-30 Applied Materials, Inc. Apparatus and methods for silicon oxide cvd photoresist planarization
KR101920711B1 (en) * 2012-01-16 2018-11-22 삼성전자주식회사 Thin film patterning method and manufacturing method of semiconductor device using the same
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
TWI595112B (en) 2012-10-23 2017-08-11 蘭姆研究公司 Sub-saturated atomic layer deposition and conformal film deposition
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (en) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated Method for depositing a film on a sensitive substrate
CN104253037A (en) * 2013-06-30 2014-12-31 无锡华润上华半导体有限公司 Method for alleviating burning of photoresist during etching
JP5943888B2 (en) * 2013-08-28 2016-07-05 株式会社東芝 Manufacturing method of semiconductor device
KR20160060069A (en) * 2013-09-20 2016-05-27 나미키 세이미츠 호오세키 가부시키가이샤 Substrate and method for manufacturing same, light-emitting element and method for manufacturing same, and device having substrate or light-emitting element
CN104821274B (en) * 2014-01-31 2018-09-11 台湾积体电路制造股份有限公司 Charged particle beam without photoresist patterns
US9934969B2 (en) 2014-01-31 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Charged-particle-beam patterning without resist
JP6495025B2 (en) * 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation Vacuum integrated hard mask processing and equipment
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
FR3021454B1 (en) * 2014-05-20 2019-12-13 Centre National De La Recherche Scientifique (Cnrs) PROCESS FOR MANUFACTURING SEMICONDUCTOR MATERIAL INCLUDING SEMI-POLAR ELEMENT III NITRIDE LAYER
KR101776265B1 (en) 2014-05-29 2017-09-19 제일모직 주식회사 Method of producing hardmask layer, method of producing semiconductor device, and semiconductor device thereof
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9184060B1 (en) * 2014-11-14 2015-11-10 Lam Research Corporation Plated metal hard mask for vertical NAND hole etch
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9896326B2 (en) * 2014-12-22 2018-02-20 Applied Materials, Inc. FCVD line bending resolution by deposition modulation
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US20170125241A1 (en) * 2015-10-30 2017-05-04 Applied Materials, Inc. Low temp single precursor arc hard mask for multilayer patterning application
US9996004B2 (en) 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US20180076026A1 (en) 2016-09-14 2018-03-15 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
US20180261464A1 (en) * 2017-03-08 2018-09-13 Tokyo Electron Limited Oxide film removing method, oxide film removing apparatus, contact forming method, and contact forming system
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
WO2020102085A1 (en) 2018-11-14 2020-05-22 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
JP7326077B2 (en) 2019-09-10 2023-08-15 キオクシア株式会社 Etching mask forming method and semiconductor device manufacturing method
CN110783417B (en) * 2019-11-08 2021-06-29 国家纳米科学中心 Method for manufacturing cone-shaped light trapping structure with adjustable density on silicon surface and prepared black silicon
KR102431292B1 (en) 2020-01-15 2022-08-09 램 리써치 코포레이션 Bottom layer for photoresist adhesion and dose reduction
US11935746B2 (en) * 2021-03-10 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern formation through mask stress management and resulting structures

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH08305028A (en) * 1995-04-28 1996-11-22 Toshiba Corp Resist
JPH08320568A (en) * 1995-05-26 1996-12-03 Hitachi Ltd Formation of pattern and formation of photosensitive film
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
JP3435318B2 (en) * 1996-08-22 2003-08-11 株式会社東芝 Pattern formation method
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP3410968B2 (en) * 1998-06-22 2003-05-26 株式会社東芝 Pattern forming method and photosensitive composition
JP3360065B2 (en) 1999-03-24 2002-12-24 エルジー電子株式会社 Manufacturing method of microstructure using photosensitive glass substrate
JP2000353649A (en) * 1999-06-09 2000-12-19 Tokyo Electron Ltd Method and apparatus for processing substrate
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
DE19958904C2 (en) 1999-12-07 2002-01-24 Infineon Technologies Ag Method of making a hard mask on a substrate
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
NL1014274C2 (en) * 2000-02-03 2001-08-16 Tele Atlas Bv System for securing data present on a data carrier.
US6448416B1 (en) * 2000-06-16 2002-09-10 Corning Incorporated Electron acceptors for polymeric thin film waveguide media
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US7361599B2 (en) * 2002-09-03 2008-04-22 Texas Instruments Incorporated Integrated circuit and method
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6900002B1 (en) * 2002-11-19 2005-05-31 Advanced Micro Devices, Inc. Antireflective bi-layer hardmask including a densified amorphous carbon layer
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US20070212649A1 (en) 2006-03-07 2007-09-13 Asml Netherlands B.V. Method and system for enhanced lithographic patterning
JP5205741B2 (en) * 2006-11-14 2013-06-05 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI757261B (en) * 2015-12-30 2022-03-11 美商得昇科技股份有限公司 Chamber wall heating for a millisecond anneal system and related system and method thereof

Also Published As

Publication number Publication date
US20090208880A1 (en) 2009-08-20
KR20100128302A (en) 2010-12-07
TWI406322B (en) 2013-08-21
WO2009105347A3 (en) 2009-11-12
KR101515082B1 (en) 2015-04-24
US8153348B2 (en) 2012-04-10
JP2011520242A (en) 2011-07-14
WO2009105347A2 (en) 2009-08-27
CN101965626A (en) 2011-02-02
JP5559065B2 (en) 2014-07-23

Similar Documents

Publication Publication Date Title
TW200945415A (en) Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
US11404272B2 (en) Film deposition apparatus for fine pattern forming
CN105917440B (en) Method for etching dielectric barrier in dual-damascene structure
KR101329285B1 (en) Formation of high quality dielectric films of silicon dioxide for sti: usage of different siloxane-based precursors for harp ii - remote plasma enhanced deposition processes
JP5225268B2 (en) A novel deposition plasma hardening cycle process to enhance silicon dioxide film quality
TW413885B (en) Methods for forming self-planarized dielectric layer for shallow trench isolation
CN103843110B (en) Double patterning etch process
CN101802984B (en) Methods for forming silicon oxide layer over substrate
TWI395269B (en) Curing methods for silicon dioxide thin films deposited from alkoxysilane precurson with harp ii process
JP4629678B2 (en) A method of depositing material on a substrate.
US20090104541A1 (en) Plasma surface treatment to prevent pattern collapse in immersion lithography
US7611758B2 (en) Method of improving post-develop photoresist profile on a deposited dielectric film
KR101029286B1 (en) Method and apparatus for depositing materials with tunable optical properties and etching characteristics
US20040185674A1 (en) Nitrogen-free hard mask over low K dielectric