KR102567720B1 - Apparatus for processing substrate - Google Patents

Apparatus for processing substrate Download PDF

Info

Publication number
KR102567720B1
KR102567720B1 KR1020160009527A KR20160009527A KR102567720B1 KR 102567720 B1 KR102567720 B1 KR 102567720B1 KR 1020160009527 A KR1020160009527 A KR 1020160009527A KR 20160009527 A KR20160009527 A KR 20160009527A KR 102567720 B1 KR102567720 B1 KR 102567720B1
Authority
KR
South Korea
Prior art keywords
gas
exhaust
source gas
substrate
exhaust line
Prior art date
Application number
KR1020160009527A
Other languages
Korean (ko)
Other versions
KR20170089288A (en
Inventor
유진혁
천민호
황철주
Original Assignee
주성엔지니어링(주)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주성엔지니어링(주) filed Critical 주성엔지니어링(주)
Priority to KR1020160009527A priority Critical patent/KR102567720B1/en
Priority to PCT/KR2017/000796 priority patent/WO2017131404A1/en
Priority to US16/073,318 priority patent/US20190035607A1/en
Priority to CN201780015704.8A priority patent/CN108780736B/en
Priority to JP2018539103A priority patent/JP7008629B2/en
Priority to TW106103028A priority patent/TWI723125B/en
Publication of KR20170089288A publication Critical patent/KR20170089288A/en
Application granted granted Critical
Publication of KR102567720B1 publication Critical patent/KR102567720B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • H01L2021/60007Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process
    • H01L2021/60022Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using bump connectors, e.g. for flip chip mounting
    • H01L2021/60097Applying energy, e.g. for the soldering or alloying process
    • H01L2021/60172Applying energy, e.g. for the soldering or alloying process using static pressure
    • H01L2021/60187Isostatic pressure, e.g. degassing using vacuum or pressurised liquid

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 소스 가스와 반응 가스가 분사되는 기판 처리 장치에 있어서, 상기 반응 가스에 비해 상기 소스 가스가 더 많이 포함된 제 1 배기가스를 배기하는 제 1 배기라인, 상기 소스 가스에 비해 상기 반응 가스가 더 많이 포함된 제 2 배기가스를 배기하는 제 2 배기라인, 상기 제 1 배기라인에 설치된 포획장치 및 상기 포획장치를 통과한 제 1 배기가스와 상기 제 2 배기라인을 통과한 제 2 배기가스를 배기하도록 배기펌프에 연결되는 제 3 배기라인을 포함하는 것을 특징으로 하는 기판 처리 장치에 관한 것이다.The present invention is a substrate processing apparatus in which a source gas and a reaction gas are injected, a first exhaust line for exhausting a first exhaust gas containing a larger amount of the source gas than the reaction gas, and the reaction gas compared to the source gas A second exhaust line for exhausting a second exhaust gas containing a larger amount of , a trapping device installed in the first exhaust line, and the first exhaust gas passing through the trapping device and the second exhaust gas passing through the second exhaust line It relates to a substrate processing apparatus comprising a third exhaust line connected to an exhaust pump to exhaust the exhaust.

Description

기판 처리 장치{APPARATUS FOR PROCESSING SUBSTRATE}Substrate processing device {APPARATUS FOR PROCESSING SUBSTRATE}

본 발명은 기판 상에 박막을 증착하는 기판 처리 장치에 관한 것이다.The present invention relates to a substrate processing apparatus for depositing a thin film on a substrate.

일반적으로, 태양전지(Solar Cell), 반도체 소자, 평판 디스플레이 등을 제조하기 위해서는 기판 표면에 소정의 박막층, 박막 회로 패턴, 또는 광학적 패턴을 형성하여야 하며, 이를 위해서는 기판에 특정 물질의 박막을 증착하는 박막 증착 공정, 감광성 물질을 사용하여 박막을 선택적으로 노출시키는 포토 공정, 선택적으로 노출된 부분의 박막을 제거하여 패턴을 형성하는 식각 공정 등의 반도체 제조 공정을 수행하게 된다.In general, in order to manufacture solar cells, semiconductor devices, flat panel displays, etc., a predetermined thin film layer, thin film circuit pattern, or optical pattern must be formed on the surface of a substrate. To this end, a thin film of a specific material is deposited on the substrate Semiconductor manufacturing processes such as a thin film deposition process, a photo process of selectively exposing a thin film using a photosensitive material, and an etching process of forming a pattern by selectively removing the thin film of an exposed portion are performed.

이러한 반도체 제조 공정은 해당 공정을 위해 최적의 환경으로 설계된 기판 처리 장치의 내부에서 진행되며, 최근에는 플라즈마를 이용하여 증착 또는 식각 공정을 수행하는 기판 처리 장치가 많이 사용되고 있다.Such a semiconductor manufacturing process is performed inside a substrate processing apparatus designed in an optimal environment for the corresponding process, and recently, a substrate processing apparatus that performs a deposition or etching process using plasma has been widely used.

플라즈마를 이용한 기판 처리 장치에는 플라즈마를 이용하여 박막을 형성하는 PECVD(Plasma Enhanced Chemical Vapor Deposition) 장치, 및 박막을 식각하여 패터닝하는 플라즈마 식각 장치 등이 있다.A substrate processing apparatus using plasma includes a plasma enhanced chemical vapor deposition (PECVD) apparatus for forming a thin film using plasma, and a plasma etching apparatus for etching and patterning a thin film.

도 1은 종래 기술에 따른 기판 처리 장치에 대한 개략적인 측단면도이다.1 is a schematic side cross-sectional view of a substrate processing apparatus according to the prior art.

도 1을 참조하면, 종래 기술에 따른 기판 처리 장치는 챔버(10), 플라즈마 전극(20), 서셉터(30), 및 가스 분사 수단(40)을 구비한다.Referring to FIG. 1 , a substrate processing apparatus according to the prior art includes a chamber 10 , a plasma electrode 20 , a susceptor 30 , and a gas spray unit 40 .

챔버(10)는 기판 처리 공정을 위한 공정 공간을 제공한다. 이때, 챔버(10)의 양측 바닥면은 공정 공간을 배기시키기 위한 펌핑 포트(12)에 연통된다.The chamber 10 provides a process space for a substrate processing process. At this time, both bottom surfaces of the chamber 10 communicate with the pumping port 12 for exhausting the process space.

플라즈마 전극(20)은 공정 공간을 밀폐하도록 챔버(10)의 상부에 설치된다.The plasma electrode 20 is installed above the chamber 10 to seal the process space.

플라즈마 전극(20)의 일측은 정합 부재(22)를 통해 RF(Radio Frequency) 전원(24)에 전기적으로 접속된다. 이때, RF 전원(24)은 RF 전력을 생성하여 플라즈마 전극(20)에 공급한다.One side of the plasma electrode 20 is electrically connected to a radio frequency (RF) power source 24 through a matching member 22 . At this time, the RF power supply 24 generates RF power and supplies it to the plasma electrode 20 .

또한, 플라즈마 전극(20)의 중앙 부분은 기판 처리 공정을 위한 소스 가스 및 반응 가스를 공급하는 가스 공급 관(26)에 연통된다.In addition, the central portion of the plasma electrode 20 communicates with a gas supply pipe 26 for supplying a source gas and a reaction gas for a substrate treatment process.

정합 부재(22)는 플라즈마 전극(20)과 RF 전원(24) 간에 접속되어 RF 전원(24)으로부터 플라즈마 전극(20)에 공급되는 RF 전력의 부하 임피던스와 소스 임피던스를 정합시킨다.The matching member 22 is connected between the plasma electrode 20 and the RF power supply 24 to match the load impedance and source impedance of the RF power supplied from the RF power supply 24 to the plasma electrode 20 .

서셉터(30)는 챔버(10)의 내부에 설치되어 외부로부터 로딩되는 복수의 기판(W)을 지지한다. 이러한 서셉터(30)는 플라즈마 전극(20)에 대향되는 대향 전극으로써, 서셉터(30)를 승강시키는 승강축(32)을 통해 전기적으로 접지된다.The susceptor 30 is installed inside the chamber 10 to support a plurality of substrates W loaded from the outside. The susceptor 30 is an opposite electrode facing the plasma electrode 20, and is electrically grounded through a lifting shaft 32 that lifts the susceptor 30.

상기 서셉터(30)의 내부에는 지지된 기판(W)을 가열하기 위한 기판 가열 수단(미도시)이 내장되어 있으며, 상기 기판 가열 수단을 서셉터(30)를 가열함으로써 서셉터(30)에 지지된 기판(W)의 하면을 가열하게 된다.A substrate heating means (not shown) for heating the supported substrate W is built inside the susceptor 30, and the substrate heating means heats the susceptor 30 to the susceptor 30. The lower surface of the supported substrate W is heated.

승강축(32)은 승강 장치(미도시)에 의해 상하 방향으로 승강된다. 이때, 승강축(32)은 승강축(32)과 챔버(10)의 바닥면을 밀봉하는 벨로우즈(34)에 의해 감싸여진다.The elevation shaft 32 is moved up and down by an elevation device (not shown). At this time, the elevation shaft 32 is wrapped by a bellows 34 sealing the elevation shaft 32 and the bottom surface of the chamber 10 .

가스 분사 수단(40)은 서셉터(30)에 대향되도록 플라즈마 전극(20)의 하부에 설치된다. 이때, 가스 분사 수단(40)과 플라즈마 전극(20) 사이에는 플라즈마 전극(20)을 관통하는 가스 공급 관(26)으로부터 공급되는 소스 가스 및 반응 가스가 확산되는 가스 확산 공간(42)이 형성된다. 이러한, 가스 분사 수단(40)은 가스 확산 공간(42)에 연통된 복수의 가스 분사 홀(44)을 통해 소스 가스 및 반응 가스를 공정 공간의 전 부분에 분사한다.The gas injection unit 40 is installed below the plasma electrode 20 to face the susceptor 30 . At this time, a gas diffusion space 42 is formed between the gas dispensing means 40 and the plasma electrode 20 in which the source gas and the reaction gas supplied from the gas supply pipe 26 penetrating the plasma electrode 20 are diffused. . The gas dispensing unit 40 injects the source gas and the reaction gas to the entire process space through a plurality of gas dispensing holes 44 communicating with the gas diffusion space 42 .

이와 같은, 종래의 기판 처리 장치는 기판(W)을 서셉터(30)에 로딩시킨 다음, 서셉터(30)에 로딩된 기판(W)을 가열하고, 챔버(10)의 공정 공간에 소스 가스 및 반응 가스를 분사하면서 플라즈마 전극(20)에 RF 전력을 공급하여 플라즈마를 형성함으로써 기판(W) 상에 소정의 박막을 형성하게 된다. 그리고, 박막 증착 공정 동안 공정 공간으로 분사되는 소스 가스 및 공정 가스는 서셉터(30)의 가장자리 쪽으로 흘러 공정 챔버(10)의 양측 바닥면에 형성된 펌핑 포트(12)를 통해 공정 챔버(10)의 외부로 배기된다.Such a conventional substrate processing apparatus loads a substrate W into the susceptor 30, heats the substrate W loaded into the susceptor 30, and supplies a source gas to the process space of the chamber 10. And by supplying RF power to the plasma electrode 20 while spraying a reactive gas to form plasma, a predetermined thin film is formed on the substrate (W). In addition, the source gas and the process gas injected into the process space during the thin film deposition process flow toward the edge of the susceptor 30 and flow through the pumping ports 12 formed on both bottom surfaces of the process chamber 10 to the process chamber 10. exhausted to the outside

이와 같은, 종래 기술에 따른 기판 처리 장치는 다음과 같은 문제점이 있다.Such a substrate processing apparatus according to the prior art has the following problems.

첫째, 종래 기술에 따른 기판 처리 장치는 소스 가스와 반응 가스가 공정 공간에서 서로 혼합되어 기판에 증착되는 CVD(Chemical Vapor Deposition) 증착 공정에 의해 기판(W)에 소정의 박막을 형성함으로써 박막의 특성이 불균일하고, 박막의 막질 제어에 어려움이 있다.First, a substrate processing apparatus according to the prior art forms a predetermined thin film on a substrate (W) by a CVD (Chemical Vapor Deposition) deposition process in which a source gas and a reaction gas are mixed with each other in a process space and deposited on a substrate, thereby improving the characteristics of the thin film This is non-uniform, and it is difficult to control the film quality of the thin film.

둘째, 종래 기술에 따른 기판 처리 장치는 박막 증착 공정에 사용된 소스 가스와 반응 가스가 혼합된 상태로 펌핑 포트(12)를 통해 외부로 배출된다. 따라서, 종래 기술에 따른 기판 처리장치는 소스 가스와 반응 가스가 혼합된 혼합가스가 배출되는 과정에서 혼합가스로부터 입자상태의 파티클이 생성됨으로써, 생성된 파티클이 배기의 원활한 배출을 방해하는 요소로 작용하여 배기효율을 저하시키는 문제가 있다. 또한, 종래 기술에 따른 기판 처리 장치는 배기효율 저하로 인해 배기에 걸리는 시간이 증대됨에 따라 박막 증착 공정에 대한 공정 시간을 지연시키는 문제가 있다.Second, in the substrate processing apparatus according to the prior art, the source gas and the reaction gas used in the thin film deposition process are discharged to the outside through the pumping port 12 in a mixed state. Therefore, in the substrate processing apparatus according to the prior art, particles in the form of particles are generated from the mixed gas in the process of discharging the mixed gas in which the source gas and the reaction gas are mixed, so that the generated particles act as a factor that hinders the smooth discharge of the exhaust gas. Thus, there is a problem of lowering the exhaust efficiency. In addition, the substrate processing apparatus according to the prior art has a problem of delaying the process time for the thin film deposition process as the exhausting time increases due to the decrease in exhausting efficiency.

본 발명은 상술한 바와 같은 문제점을 해결하고자 안출된 것으로, 공정 공간에서 소스 가스와 반응 가스가 혼합됨에 따라 박막의 특성 불균일 및 박막의 막질 제어에 대한 어려움을 해소할 수 있는 기판 처리 장치를 제공하기 위한 것이다.The present invention has been made to solve the above-described problems, and to provide a substrate processing apparatus capable of solving the non-uniformity of characteristics of a thin film and the difficulty in controlling the film quality of a thin film as a source gas and a reaction gas are mixed in a process space. it is for

본 발명은 소스 가스와 반응 가스가 혼합된 상태로 배출됨에 따른 파티클 생성으로 인해 배기 효율이 저하되는 것을 방지할 수 있고, 박막 증착 공정에 대한 공정 시간 지연을 방지할 수 있는 기판 처리 장치를 제공하기 위한 것이다.The present invention is to provide a substrate processing apparatus capable of preventing deterioration in exhaust efficiency due to particle generation due to discharge of a source gas and a reaction gas in a mixed state and preventing process time delay for a thin film deposition process. it is for

상술한 바와 같은 과제를 해결하기 위해, 본 발명에 따른 기판 처리 장치는 소스 가스와 반응 가스가 분사되는 기판 처리 장치에 있어서, 상기 반응 가스에 비해 상기 소스 가스가 더 많이 포함된 제 1 배기가스를 배기하는 제 1 배기라인; 상기 소스 가스에 비해 상기 반응 가스가 더 많이 포함된 제 2 배기가스를 배기하는 제 2 배기라인; 상기 제 1 배기라인에 설치된 포획장치; 및 상기 포획장치를 통과한 제 1 배기가스와 상기 제 2 배기라인을 통과한 제 2 배기가스를 배기하도록 배기펌프에 연결되는 제 3 배기라인을 포함하고, 상기 포획장치는 상기 제 1 배기라인에 유입된 소스 가스를 포획하는 것을 특징으로 한다.In order to solve the above problems, a substrate processing apparatus according to the present invention is a substrate processing apparatus in which a source gas and a reaction gas are injected, and the first exhaust gas containing more of the source gas than the reaction gas a first exhaust line for exhausting; a second exhaust line for exhausting a second exhaust gas containing more of the reactive gas than the source gas; a trapping device installed in the first exhaust line; and a third exhaust line connected to an exhaust pump to exhaust the first exhaust gas that has passed through the trapping device and the second exhaust gas that has passed through the second exhaust line, wherein the trapping device is connected to the first exhaust line. It is characterized in that it captures the introduced source gas.

본 발명에 따른 기판 처리 장치에 있어서, 상기 포획장치는 파티클 생성을 방지하기 위한 플라즈마 트랩을 포함할 수 있다.In the substrate processing apparatus according to the present invention, the trapping device may include a plasma trap for preventing particle generation.

본 발명에 따른 기판 처리 장치에 있어서, 상기 반응 가스는 수소(H2), 질소(N2), 산소(O2), 이산화질소(NO2), 암모니아(NH3), 물(H2O), 오존(O3) 중 적어도 하나일 수 있다.In the substrate processing apparatus according to the present invention, the reaction gas is hydrogen (H 2 ), nitrogen (N 2 ), oxygen (O 2 ), nitrogen dioxide (NO 2 ), ammonia (NH 3 ), water (H 2 O) , Ozone (O 3 ) It may be at least one.

본 발명에 따른 기판 처리 장치에 있어서, 공간적으로 분리된 소스 가스 분사 영역 및 반응 가스 분사 영역 각각에 상기 소스 가스 및 상기 반응 가스를 분사하여 기판에 박막을 증착시키는 박막 증착 공정을 수행하는 기판 처리부를 포함할 수 있다.In the substrate processing apparatus according to the present invention, a substrate processing unit performing a thin film deposition process of depositing a thin film on a substrate by spraying the source gas and the reaction gas to spatially separated source gas dispensing regions and reactive gas dispensing regions, respectively. can include

본 발명에 따른 기판 처리 장치에 있어서, 상기 기판 처리부는 공정 공간을 마련하는 공정 챔버, 상기 공정 챔버 내부에 설치되어 적어도 하나의 기판을 지지하는 기판 지지부, 및 상기 소스 가스 분사 영역과 상기 반응 가스 분사 영역이 공간적으로 분리되도록 상기 소스 가스 분사 영역과 상기 반응 가스 분사 영역의 사이에 퍼지 가스를 분사하는 퍼지 가스 분사부를 포함하고, 상기 퍼지 가스 분사부는 상기 공정 챔버의 내주면 및 상기 기판 지지부의 외주면 사이의 가스 배출 영역에 퍼지 가스를 추가로 분사하여 상기 가스 배출 영역을 제 1 가스 배출 영역 및 제 2 가스 배출 영역으로 공간적으로 분리하며, 상기 제 1 배기라인은 상기 제 1 가스 배출 영역에 연결되게 상기 공정 챔버에 결합되고, 상기 제 2 배기라인은 상기 제 2 가스 배출 영역에 연결되게 상기 공정 챔버에 결합될 수 있다.In the substrate processing apparatus according to the present invention, the substrate processing unit includes a process chamber for preparing a process space, a substrate support unit installed inside the process chamber to support at least one substrate, and the source gas injection region and the reaction gas injection region. and a purge gas dispensing unit configured to inject purge gas between the source gas dispensing area and the reaction gas dispensing area such that the regions are spatially separated, wherein the purge gas dispensing unit provides a space between an inner circumferential surface of the process chamber and an outer circumferential surface of the substrate supporter. A purge gas is additionally injected into the gas discharge region to spatially separate the gas discharge region into a first gas discharge region and a second gas discharge region, and the first exhaust line is connected to the first gas discharge region. chamber, and the second exhaust line may be coupled to the process chamber to be connected to the second gas discharge region.

본 발명에 따른 기판 처리 장치에 있어서, 상기 공정 챔버는 상기 제 1 가스 배출 영역에 위치하도록 형성되는 제 1 배기구, 및 상기 제 2 가스 배출 영역에 위치하도록 형성되는 제 2 배기구를 포함하고, 상기 제 1 배기라인은 상기 제 1 배기구를 통해 상기 제 1 가스배출영역에 연결되고, 상기 제 2 배기라인은 상기 제 2 배기구를 통해 상기 제 2 가스 배출 영역에 연결될 수 있다.In the substrate processing apparatus according to the present invention, the process chamber includes a first exhaust port formed to be positioned in the first gas discharge area and a second exhaust port formed to be positioned in the second gas exhaust area, The first exhaust line may be connected to the first gas discharge area through the first exhaust hole, and the second exhaust line may be connected to the second gas discharge area through the second exhaust hole.

본 발명에 따른 기판 처리 장치에 있어서, 상기 기판 처리부는 상기 가스 배출 영역에 위치하도록 상기 공정 챔버의 내주면으로부터 상기 기판 지지부의 외주면 쪽으로 돌출되어 형성된 구획부재를 포함하고, 상기 퍼지 가스 분사부는 상기 기판 지지부의 외주면 및 상기 구획부재 사이에 퍼지 가스를 분사하여 상기 제 1 가스 배출 영역 및 상기 제 2 가스 배출 영역을 공간적으로 분리하는 것을 특징으로 한다.In the substrate processing apparatus according to the present invention, the substrate processing unit includes a partition member protruding from an inner circumferential surface of the process chamber toward an outer circumferential surface of the substrate support unit so as to be positioned in the gas discharge area, and the purge gas injection unit includes the substrate support unit. It is characterized in that the first gas discharge region and the second gas discharge region are spatially separated by injecting a purge gas between the outer circumferential surface of and the partition member.

본 발명에 따른 기판 처리 장치에 있어서, 상기 퍼지 가스 분사부는 상기 소스 가스와 상기 반응 가스의 분사 압력에 비해 더 높은 분사 압력으로 퍼지 가스를 분사하는 것이 바람직하다.In the substrate processing apparatus according to the present invention, it is preferable that the purge gas injection unit injects the purge gas at a higher injection pressure than the injection pressure of the source gas and the reaction gas.

본 발명에 따른 기판 처리 장치에 있어서, 상기 기판 처리부는 공정 공간을 마련하는 공정 챔버, 상기 공정 챔버 내부에 설치되어 적어도 하나의 기판을 지지하는 기판 지지부, 및 상기 공정 챔버의 내주면 및 상기 기판 지지부의 외주면 사이의 가스배출영역에 위치하는 구획부재를 포함하고, 상기 구획부재는 상기 가스 배출 영역이 제 1 가스 배출 영역 및 제 2 가스 배출 영역으로 공간적으로 분리되도록 상기 공정 챔버의 내주면으로부터 상기 기판 지지부의 외주면 쪽으로 돌출되어 형성될 수 있다.In the substrate processing apparatus according to the present invention, the substrate processing unit includes a process chamber for preparing a process space, a substrate support unit installed inside the process chamber to support at least one substrate, and an inner circumferential surface of the process chamber and the substrate support unit. and a partition member disposed in a gas discharge area between outer circumferential surfaces, wherein the partition member extends the substrate support portion from an inner circumferential surface of the process chamber so that the gas discharge area is spatially separated into a first gas discharge area and a second gas discharge area. It may protrude toward the outer circumferential surface.

본 발명에 따른 기판 처리 장치에 있어서, 상기 공정 챔버는 상기 제 1 가스 배출 영역에 위치하도록 형성되는 제 1 배기구, 및 상기 제 2 가스 배출 영역에 위치하도록 형성되는 제 2 배기구를 포함하고, 상기 제 1 배기라인은 상기 제 1 배기구를 통해 상기 제 1 가스 배출 영역으로부터 소스 가스를 배출시키고, 상기 제 2 배기라인은 상기 제 2 배기구를 통해 상기 제 2 가스 배출 영역으로부터 반응 가스를 배출시키는 것을 특징으로 한다.In the substrate processing apparatus according to the present invention, the process chamber includes a first exhaust port formed to be positioned in the first gas discharge area and a second exhaust port formed to be positioned in the second gas exhaust area, 1 exhaust line discharges the source gas from the first gas discharge region through the first exhaust port, and the second exhaust line discharges the reaction gas from the second gas discharge region through the second exhaust port. do.

본 발명에 따른 기판 처리 장치에 있어서, 상기 기판 처리부는, 공정 공간을 마련하는 공정 챔버; 상기 공정 챔버의 상부를 덮는 챔버 리드; 상기 공정 챔버 내부에 설치되어 적어도 하나의 기판을 지지하는 기판 지지부; 상기 챔버 리드에 마련되고, 상기 소스 가스 분사 영역에 소스 가스를 분사하는 소스 가스 분사부; 상기 챔버 리드에 마련되고, 상기 반응 가스 분사 영역에 반응 가스를 분사하는 반응 가스 분사부; 및 상기 챔버 리드에 마련되고, 상기 소스 가스 분사 영역과 상기 반응 가스 분사 영역 사이에 위치한 퍼지 가스 분사 영역에 퍼지 가스를 분사하여 상기 소스 가스 분사 영역과 상기 반응 가스 분사 영역을 공간적으로 분리하는 퍼지 가스 분사부를 포함할 수 있다.In the substrate processing apparatus according to the present invention, the substrate processing unit includes a process chamber providing a process space; a chamber lid covering an upper portion of the process chamber; a substrate support unit installed inside the process chamber to support at least one substrate; a source gas dispensing unit disposed on the chamber lid and injecting a source gas into the source gas dispensing region; a reactive gas dispensing unit provided on the chamber lid and injecting a reactive gas into the reactive gas dispensing region; and injecting a purge gas into a purge gas dispensing area provided in the chamber lid and positioned between the source gas dispensing area and the reaction gas dispensing area to spatially separate the source gas dispensing area and the reaction gas dispensing area. It may include an injector.

본 발명에 따르면, 다음과 같은 효과를 도모할 수 있다.According to the present invention, the following effects can be achieved.

본 발명은 소스 가스와 반응 가스가 분사되는 도중에 서로 혼합되는 정도를 감소시키도록 구현됨으로써, 박막의 막질 특성에 대한 균일성을 향상시킬 수 있을 뿐만 아니라, 박막의 막질 제어에 대한 용이성을 향상시킬 수 있다.The present invention is implemented to reduce the degree of mixing of the source gas and the reaction gas during injection, thereby improving the uniformity of the film quality of the thin film and the ease of controlling the film quality of the thin film. there is.

본 발명은 소스 가스와 반응 가스가 배출되는 도중에 서로 혼합되는 정도를 감소시키도록 구현됨으로써, 소스 가스로부터 파티클이 발생하는 것을 방지하여 배기효율을 향상시킬 수 있고, 나아가 배기에 걸리는 시간을 줄여서 박막 증착 공정에 대한 공정 시간을 줄이는데 기여할 수 있다.The present invention is implemented to reduce the degree of mixing of the source gas and the reaction gas during discharge, thereby preventing generation of particles from the source gas to improve exhaust efficiency, and further reducing the time required for exhaust to deposit a thin film. It can contribute to reducing the process time for the process.

도 1은 종래 기술에 따른 기판 처리 장치에 대한 개략적인 측단면도
도 2는 본 발명에 따른 기판 처리 장치를 개략적으로 나타낸 블록도
도 3은 본 발명에 따른 기판 처리 장치에 대한 개략적인 사시도
도 4는 본 발명에 따른 기판 처리 장치에 대한 개략적인 평면도
도 5는 본 발명에 따른 기판 처리 장치에 대한 개략적인 분해 사시도
도 6은 본 발명에 따른 기판 처리 장치에 있어서 퍼지 가스를 이용하여 소스 가스와 반응 가스를 독립적으로 배출시키는 실시예를 설명하기 위한 개략적인 평면도
도 7은 본 발명의 변형된 실시예에 따른 기판 처리 장치에 있어서 구획부재를 이용하여 소스 가스와 반응 가스를 독립적으로 배출시키는 실시예를 설명하기 위한 개략적인 평면도
도 8은 본 발명의 다른 변형된 실시예에 따른 기판 처리 장치에 대한 개략적인 분해 사시도
도 9는 본 발명의 다른 변형된 실시예에 따른 기판 처리 장치에 있어서 퍼지 가스 및 구획부재를 이용하여 소스 가스와 반응 가스를 독립적으로 배출시키는 실시예를 설명하기 위한 개략적인 평면도
1 is a schematic side cross-sectional view of a substrate processing apparatus according to the prior art;
Figure 2 is a block diagram schematically showing a substrate processing apparatus according to the present invention
Figure 3 is a schematic perspective view of a substrate processing apparatus according to the present invention
Figure 4 is a schematic plan view of a substrate processing apparatus according to the present invention
Figure 5 is a schematic exploded perspective view of the substrate processing apparatus according to the present invention
6 is a schematic plan view for explaining an embodiment in which a source gas and a reaction gas are independently discharged using a purge gas in the substrate processing apparatus according to the present invention.
7 is a schematic plan view for explaining an embodiment in which a source gas and a reaction gas are independently discharged using a partition member in a substrate processing apparatus according to a modified embodiment of the present invention.
8 is a schematic exploded perspective view of a substrate processing apparatus according to another modified embodiment of the present invention;
9 is a schematic plan view for explaining an embodiment in which a source gas and a reaction gas are independently discharged using a purge gas and a partition member in a substrate processing apparatus according to another modified embodiment of the present invention.

이하에서는 본 발명에 따른 기판 처리 장치의 실시예를 첨부된 도면을 참조하여 상세히 설명한다.Hereinafter, an embodiment of a substrate processing apparatus according to the present invention will be described in detail with reference to the accompanying drawings.

도 2 내지 도 4를 참조하면, 본 발명에 따른 기판 처리 장치는 기판 처리부(100)에서 발생하는 배기가스를 처리하기 위한 가스 처리부(200)를 포함할 수 있다. 상기 가스 처리부(200)를 설명하기에 앞서, 상기 기판 처리부(100)를 첨부된 도면을 참조하여 구체적으로 설명하면 다음과 같다.Referring to FIGS. 2 to 4 , the substrate processing apparatus according to the present invention may include a gas processing unit 200 for processing exhaust gas generated from the substrate processing unit 100 . Prior to describing the gas processing unit 200, the substrate processing unit 100 will be described in detail with reference to the accompanying drawings.

상기 기판 처리부(100)는 기판(W)에 박막을 증착하기 위한 박막 증착 공정을 수행하는 것이다. 예컨대, 본 발명에 따른 기판 처리장치는 플라즈마를 이용하여 박막을 형성하는 PECVD(Plasma Enhanced Chemical Vapor Deposition) 장치에 적용될 수 있다.The substrate processing unit 100 performs a thin film deposition process for depositing a thin film on the substrate (W). For example, the substrate processing apparatus according to the present invention may be applied to a PECVD (Plasma Enhanced Chemical Vapor Deposition) apparatus that forms a thin film using plasma.

상기 기판 처리부(100)는 플라즈마를 이용하여 소스 가스(Source Gas) 및 반응 가스(Reactant Gas)를 활성화시켜 기판(W)을 향해 분사함으로써, 상기 기판(W)에 대한 박막 증착 공정을 수행한다. 상기 기판 처리부(100)는 공간적으로 분리된 소스 가스 분사 영역(120a) 및 반응 가스 분사 영역(120b) 각각에 소스 가스 및 반응 가스를 분사하여 상기 기판(W)에 대한 박막 증착 공정을 수행한다. 이에 따라, 본 발명에 따른 기판 처리 장치는 소스 가스와 반응 가스가 분사되는 도중에 서로 혼합되는 것을 방지함으로써, 박막의 막질 특성에 대한 균일성을 향상시킬 수 있고, 박막의 박질 제어에 대한 용이성을 향상시킬 수 있다. 상기 기판 처리부(100)는 상기 소스 가스 분사 영역(120a)에 소스 가스를 분사하고, 상기 반응 가스 분사 영역(120b)에 반응 가스를 분사한다.The substrate processing unit 100 activates a source gas and a reactive gas using plasma and sprays them toward the substrate W, thereby performing a thin film deposition process on the substrate W. The substrate processing unit 100 sprays a source gas and a reaction gas to the spatially separated source gas dispensing region 120a and reactive gas dispensing region 120b to perform a thin film deposition process on the substrate W. Accordingly, the substrate processing apparatus according to the present invention prevents the source gas and the reaction gas from being mixed with each other during injection, thereby improving the uniformity of the film properties of the thin film and improving the ease of controlling the thin film quality. can make it The substrate processing unit 100 injects a source gas into the source gas dispensing region 120a and injects a reactive gas into the reactive gas dispensing region 120b.

상기 기판 처리부(100)는 공정 챔버(110), 기판 지지부(120), 챔버 리드(Chamber Lid; 130), 소스 가스 분사부(140), 반응 가스 분사부(150), 및 퍼지 가스 분사부(160)를 포함할 수 있다.The substrate processing unit 100 includes a process chamber 110, a substrate support unit 120, a chamber lid 130, a source gas dispensing unit 140, a reaction gas dispensing unit 150, and a purge gas dispensing unit ( 160) may be included.

상기 공정 챔버(110)는 기판 처리 공정(예를 들어, 박막 증착 공정)을 위한 공정 공간을 제공한다. 이를 위해, 상기 공정 챔버(110)는 바닥면과 바닥면으로부터 수직하게 형성되어 공정 공간을 정의하는 챔버 측벽을 포함하여 이루어진다.The process chamber 110 provides a process space for a substrate processing process (eg, a thin film deposition process). To this end, the process chamber 110 includes a bottom surface and chamber sidewalls formed vertically from the bottom surface to define a process space.

상기 공정 챔버(110)의 바닥면에는 바닥 프레임(112)이 설치될 수 있다. 상기 바닥 프레임(112)은 기판 지지부(120)의 회전을 가이드하는 가이드 레일(미도시), 및 공정 공간에 있는 배기가스를 외부로 펌핑하기 위한 제 1 배기구(114), 제 2 배기구(114') 등을 포함하여 이루어진다.A bottom frame 112 may be installed on the bottom surface of the process chamber 110 . The bottom frame 112 includes a guide rail (not shown) for guiding the rotation of the substrate support 120, and a first exhaust port 114 and a second exhaust port 114' for pumping the exhaust gas in the process space to the outside. ), etc.

상기 제 1 배기구(114) 및 상기 제 2 배기구(114')는 챔버 측벽에 인접하도록 바닥 프레임(112)의 내부에 원형 띠 형태로 배치된 펌핑관(미도시)에 일정한 간격으로 설치되어 공정 공간에 연통될 수 있다.The first exhaust port 114 and the second exhaust port 114' are installed at regular intervals in a pumping pipe (not shown) disposed in a circular band shape inside the bottom frame 112 so as to be adjacent to the side wall of the chamber, can be connected to

삭제delete

상기 기판 지지부(120)는 상기 공정 챔버(110)의 내부 바닥면, 즉 상기 바닥 프레임(112)에 설치되어 외부의 기판 로딩 장치(미도시)로부터 기판 출입구를 통해 공정 공간으로 반입되는 적어도 하나의 기판(W)을 지지한다.The substrate support part 120 is installed on the inner bottom surface of the process chamber 110, that is, the bottom frame 112, and carries at least one substrate from an external substrate loading device (not shown) into the process space through a substrate entrance. The substrate W is supported.

삭제delete

상기 기판 지지부(120)의 상면에는 기판(W)이 안착되는 복수의 기판 안착 영역(미도시)이 마련될 수 있다.A plurality of substrate seating areas (not shown) in which the substrate W is seated may be provided on the upper surface of the substrate support part 120 .

삭제delete

삭제delete

상기 기판 지지부(120)는 상기 바닥 프레임(112)에 고정되거나 이동 가능하게 설치될 수 있다. 이때, 상기 기판 지지부(120)가 상기 바닥 프레임(112)에 이동 가능하게 설치될 경우, 상기 기판 지지부(120)는 상기 바닥 프레임(112)의 중심부를 기준으로 소정 방향(예를 들어, 반시계 방향)으로 이동, 즉 회전(Rotation)할 수 있다.The substrate support 120 may be fixed to or movably installed on the bottom frame 112 . At this time, when the substrate support 120 is movably installed on the bottom frame 112, the substrate support 120 moves in a predetermined direction (eg, counterclockwise) with respect to the center of the bottom frame 112. direction), that is, it can rotate.

상기 챔버 리드(130)는 상기 공정 챔버(110)의 상부에 설치되어 공정 공간을 밀폐시킨다. 그리고, 상기 챔버 리드(130)는 상기 소스 가스 분사부(140)와 상기 반응 가스 분사부(150) 및 상기 퍼지 가스 분사부(160) 각각을 분리 가능하게 지지한다. 이를 위해, 상기 챔버 리드(130)는 리드 프레임(Lid Frame; 131), 제 1 내지 제 3 모듈 장착부(133, 135, 137)를 포함하여 구성된다.The chamber lid 130 is installed above the process chamber 110 to seal the process space. The chamber lid 130 supports the source gas dispensing unit 140 , the reaction gas dispensing unit 150 , and the purge gas dispensing unit 160 in a detachable manner. To this end, the chamber lid 130 includes a lead frame 131 and first to third module mounting parts 133, 135, and 137.

상기 리드 프레임(131)은 원판 형태로 형성되어 상기 공정 챔버(110)의 상부를 덮음으로써 상기 공정 챔버(110)에 의해 마련되는 공정 공간을 밀폐시킨다.The lead frame 131 is formed in a disk shape and covers the upper portion of the process chamber 110 to seal the process space provided by the process chamber 110 .

상기 제 1 모듈 장착부(133)는 상기 리드 프레임(131)의 일측부에 형성되어 상기 소스 가스 분사부(140)를 분리 가능하게 지지한다. 이를 위해, 상기 제 1 모듈 장착부(133)는 상기 리드 프레임(131)의 중심점을 기준으로 상기 리드 프레임(131)의 일측부에 일정한 간격을 가지도록 방사 형태로 배치된 복수의 제 1 모듈 장착 홀(133a)을 포함하여 이루어진다. 상기 복수의 제 1 모듈 장착 홀(133a) 각각은 평면적으로 직사각 형태를 가지도록 상기 리드 프레임(131)을 관통하여 형성된다.The first module mounting part 133 is formed on one side of the lead frame 131 and detachably supports the source gas ejection part 140 . To this end, the first module mounting portion 133 includes a plurality of first module mounting holes arranged radially at regular intervals on one side of the lead frame 131 based on the center point of the lead frame 131 . (133a). Each of the plurality of first module mounting holes 133a is formed through the lead frame 131 to have a rectangular shape in plan view.

상기 제 2 모듈 장착부(135)는 상기 리드 프레임(131)의 타측부에 형성되어 상기 반응 가스 분사부(150)를 분리 가능하게 지지한다. 이를 위해, 상기 제 2 모듈 장착부(135)는 상기 리드 프레임(131)의 중심점을 기준으로 상기 리드 프레임(131)의 타측부에 일정한 간격을 가지도록 방사 형태로 배치된 복수의 제 2 모듈 장착 홀(135a)을 포함하여 이루어진다. 상기 복수의 제 2 모듈 장착 홀(135a) 각각은 평면적으로 직사각 형태를 가지도록 상기 리드 프레임(131)을 관통하여 형성된다.The second module mounting part 135 is formed on the other side of the lead frame 131 and detachably supports the reaction gas ejection part 150 . To this end, the second module mounting portion 135 includes a plurality of second module mounting holes arranged radially at regular intervals on the other side of the lead frame 131 based on the center point of the lead frame 131. (135a). Each of the plurality of second module mounting holes 135a is formed through the lead frame 131 to have a rectangular shape in plan view.

전술한 상기 복수의 제 1 모듈 장착 홀(133a)과 상기 복수의 제 2 모듈 장착 홀(135a)은 상기 제 3 모듈 장착부(137)를 사이에 두고 서로 대칭되도록 상기 리드 프레임(131)에 형성될 수 있다.The plurality of first module mounting holes 133a and the plurality of second module mounting holes 135a may be formed in the lead frame 131 so as to be symmetrical to each other with the third module mounting portion 137 interposed therebetween. can

상기 제 3 모듈 장착부(137)는 상기 제 1 및 제 2 모듈 장착부(133, 135) 사이에 배치되도록 상기 리드 프레임(131)의 중앙부에 형성되어 상기 퍼지 가스 분사부(160)를 분리 가능하게 지지한다. 이를 위해, 상기 제 3 모듈 장착부(137)는 상기 리드 프레임(131)의 중앙부에 직사각 형태로 형성된 제 3 모듈 장착 홀(137a)을 포함하여 구성된다.The third module mounting part 137 is formed in the central part of the lead frame 131 to be disposed between the first and second module mounting parts 133 and 135 and detachably supports the purge gas injection part 160. do. To this end, the third module mounting portion 137 includes a third module mounting hole 137a formed in a rectangular shape at the center of the lead frame 131 .

상기 제 3 모듈 장착 홀(137a)은 상기 제 1 및 제 2 모듈 장착부(133, 135) 사이를 가로지르도록 상기 리드 프레임(131)의 중앙부를 관통하여 평면적으로 직사각 형태로 형성된다.The third module mounting hole 137a passes through the central portion of the lead frame 131 to cross between the first and second module mounting portions 133 and 135 and is formed in a rectangular shape in plan view.

삭제delete

이하의 본 발명에 따른 기판 처리 장치에 대한 설명에서는, 상기 챔버 리드(130)가 3개의 제 1 모듈 장착 홀(133a)과 3개의 제 2 모듈 장착 홀(135a)을 구비하는 것으로 가정하여 설명하기로 한다.In the following description of the substrate processing apparatus according to the present invention, it is assumed that the chamber lid 130 has three first module mounting holes 133a and three second module mounting holes 135a. do it with

삭제delete

상기 소스 가스 분사부(140)는 상기 챔버 리드(130)의 제 1 모듈 장착부(133)에 분리 가능하게 설치되어 상기 기판 지지부(120)에 의해 순차적으로 이동되는 기판(W)에 소스 가스를 분사한다. 즉, 상기 소스 가스 분사부(140)는 상기 챔버 리드(130)와 상기 기판 지지부(120) 사이의 공간에 정의된 복수의 소스 가스 분사 영역(120a) 각각에 소스 가스를 국부적으로 하향 분사함으로써 상기 기판 지지부(120)의 구동에 따라 복수의 소스 가스 분사 영역(120a) 각각의 하부를 통과하는 기판(W)에 소스 가스를 분사한다. 이를 위해, 상기 소스 가스 분사부(140)는 전술한 복수의 제 1 모듈 장착 홀(133a) 각각에 분리 가능하게 장착되어 소스 가스를 하향 분사하는 제 1 내지 제 3 소스 가스 분사 모듈(140a, 140b, 140c)을 포함할 수 있다.The source gas dispensing unit 140 is detachably installed in the first module mounting unit 133 of the chamber lid 130 and injects the source gas to the substrate W sequentially moved by the substrate support unit 120. do. That is, the source gas dispensing unit 140 locally downwardly injects the source gas into each of the plurality of source gas dispensing regions 120a defined in the space between the chamber lid 130 and the substrate support 120, As the substrate supporter 120 is driven, the source gas is sprayed onto the substrate W passing through the bottom of each of the plurality of source gas spraying areas 120a. To this end, the source gas dispensing unit 140 is detachably mounted in each of the plurality of first module mounting holes 133a described above, and the first to third source gas dispensing modules 140a and 140b inject the source gas downward. , 140c).

상기 제 1 내지 제 3 소스 가스 분사 모듈(140a, 140b, 140c) 각각은 가스 분사 프레임, 복수의 가스 공급 홀, 및 밀봉 부재를 포함할 수 있다.Each of the first to third source gas dispensing modules 140a, 140b, and 140c may include a gas dispensing frame, a plurality of gas supply holes, and a sealing member.

상기 가스 분사 프레임은 하면 개구부를 가지도록 상자 형태로 형성되어 상기 제 1 모듈 장착 홀(133a)에 분리 가능하게 삽입된다. 상기 가스 분사 프레임은 볼트에 의해 상기 제 1 모듈 장착 홀(133a) 주변의 리드 프레임(131)에 분리 가능하게 장착되는 접지 플레이트, 및 가스 분사 공간을 마련하도록 상기 접지 플레이트의 하면 가장자리 부분으로부터 수직하게 돌출되어 상기 제 1 모듈 장착 홀(133a)에 삽입되는 접지 측벽을 포함한다. 상기 가스 분사 프레임은 상기 챔버 리드(130)의 리드 프레임(131)을 통해 전기적으로 접지된다.The gas dispensing frame is formed in a box shape to have an opening on the lower surface and is detachably inserted into the first module mounting hole 133a. The gas dispensing frame includes a ground plate detachably mounted to the lead frame 131 around the first module mounting hole 133a by bolts, and vertically from an edge portion of the lower surface of the ground plate to provide a gas dispensing space. A ground sidewall protrudes and is inserted into the first module mounting hole 133a. The gas dispensing frame is electrically grounded through the lead frame 131 of the chamber lid 130 .

상기 가스 분사 프레임의 하면, 즉 상기 접지 측벽의 하면은 챔버 리드(130)의 하면과 동일 선상에 위치하여 상기 기판 지지부(120)에 지지된 기판(W)의 상면으로부터 소정 거리만큼 이격된다.The lower surface of the gas distributing frame, that is, the lower surface of the ground sidewall is located on the same line as the lower surface of the chamber lid 130 and is spaced apart from the upper surface of the substrate W supported by the substrate support part 120 by a predetermined distance.

상기 복수의 가스 공급 홀은 상기 가스 분사 프레임의 상면, 즉 상기 접지 플레이트를 관통하도록 형성되어 상기 가스 분사 프레임의 내부에 마련되는 가스 분사 공간에 연통된다. 상기 복수의 가스 공급 홀은 외부의 가스 공급 장치(미도시)로부터 공급되는 소스 가스를 가스 분사 공간에 공급함으로써 소스 가스가 가스 분사 공간을 통해 상기 소스 가스 분사 영역(120a)에 하향 분사되도록 한다. 한편, 상기 소스 가스 분사부(140)로부터 상기 소스 가스 분사 영역(120a)에 하향 분사되는 소스 가스는 상기 기판 지지부(120)의 중심부로부터 상기 기판 지지부(120)의 측부에 마련된 상기 제 1 배기구(114) 쪽으로 흐르게 된다.The plurality of gas supply holes are formed to pass through an upper surface of the gas dispensing frame, ie, the ground plate, and communicate with a gas dispensing space provided inside the gas distributing frame. The plurality of gas supply holes supply source gas supplied from an external gas supply device (not shown) to the gas dispensing space so that the source gas is downwardly injected into the source gas dispensing region 120a through the gas dispensing space. Meanwhile, the source gas sprayed downward from the source gas dispensing unit 140 to the source gas dispensing region 120a is directed from the center of the substrate supporter 120 to the side of the substrate supporter 120 through the first exhaust port ( 114) will flow.

이러한 소스 가스는 기판(W) 상에 증착될 박막의 주요 재질을 포함하여 이루어지는 것으로, 실리콘(Si), 티탄족 원소(Ti, Zr, Hf 등), 또는 알루미늄(Al) 등의 가스로 이루어질 수 있다. 예를 들어, 실리콘(Si) 물질을 포함하는 소스 가스는 실란(Silane; SiH4), 디실란(Disilane; Si2H6), 트리실란(Trisilane; Si3H8), TEOS(Tetraethylorthosilicate), DCS(Dichlorosilane), HCD(Hexachlorosilane), TriDMAS(Tri-dimethylaminosilane) 및 TSA(Trisilylamine) 등이 될 수 있다. 이러한 상기 소스 가스는 기판(W)에 증착될 박막의 증착 특성에 따라 질소(N2), 아르곤(Ar), 제논(Ze), 또는 헬륨(He) 등의 비반응성 가스를 더 포함하여 이루어질 수도 있다.This source gas is made of a main material of a thin film to be deposited on the substrate W, and may be made of a gas such as silicon (Si), a titanium group element (Ti, Zr, Hf, etc.), or aluminum (Al). there is. For example, a source gas containing a silicon (Si) material may include silane (SiH 4 ), disilane (Si 2 H 6 ), trisilane (Si 3 H 8 ), tetraethylorthosilicate (TEOS), Dichlorosilane (DCS), hexachlorosilane (HCD), tri-dimethylaminosilane (TriDMAS), and trisilylamine (TSA). The source gas may further include a non-reactive gas such as nitrogen (N 2 ), argon (Ar), xenon (Ze), or helium (He) according to the deposition characteristics of the thin film to be deposited on the substrate (W). there is.

상기 반응 가스 분사부(150)는 전술한 챔버 리드(130)의 제 2 모듈 장착부(135)에 분리 가능하게 설치되어 상기 기판 지지부(120)에 의해 순차적으로 이동되는 기판(W)에 반응 가스를 분사한다. 즉, 반응 가스 분사부(150)는 전술한 소스 가스 분사 영역(120a)과 공간적으로 분리되도록 상기 챔버 리드(130)와 상기 기판 지지부(120) 사이의 공간에 정의된 복수의 반응 가스 분사 영역(120b) 각각에 반응 가스를 국부적으로 하향 분사함으로써 상기 기판 지지부(120)의 구동에 따라 복수의 반응 가스 분사 영역(120b) 각각의 하부를 통과하는 기판(W)에 반응 가스를 분사한다. 이를 위해, 상기 반응 가스 분사부(150)는 전술한 복수의 제 2 모듈 장착 홀(135a) 각각에 분리 가능하게 장착되어 반응 가스를 하향 분사하는 제 1 내지 제 3 반응 가스 분사 모듈(150a, 150b, 150c)을 포함하여 구성된다.The reactive gas dispensing unit 150 is detachably installed in the second module mounting unit 135 of the chamber lid 130 and supplies the reactive gas to the substrate W sequentially moved by the substrate support unit 120. spray That is, the reaction gas dispensing unit 150 includes a plurality of reaction gas dispensing areas defined in the space between the chamber lid 130 and the substrate support 120 so as to be spatially separated from the aforementioned source gas dispensing area 120a ( 120b) By locally spraying the reaction gas downward to each of the plurality of reaction gas injection areas 120b, the reaction gas is sprayed to the substrate W passing through the lower portion of each of the plurality of reaction gas injection areas 120b according to the driving of the substrate supporter 120 . To this end, the reaction gas dispensing unit 150 is detachably mounted in each of the plurality of second module mounting holes 135a described above, and the first to third reaction gas dispensing modules 150a and 150b downwardly dispensing the reaction gas. , 150c).

상기 제 1 내지 제 3 반응 가스 분사 모듈(150a, 150b, 150c) 각각은 상기 챔버 리드(130)의 제 2 모듈 장착 홀(135a)에 분리 가능하게 장착되어 외부의 가스 공급 장치(미도시)로부터 공급되는 반응 가스를 상기 반응 가스 분사 영역(120b)에 하향 분사하는 것을 제외하고는, 전술한 제 1 내지 제 3 소스 가스 분사 모듈(140a, 140b, 140c) 각각과 동일하게 구성된다. 이에 따라, 상기 제 1 내지 제 3 반응 가스 분사 모듈(150a, 150b, 150c) 각각의 구성 요소들에 대한 설명은 전술한 소스 가스 분사 모듈(140a, 140b, 140c)에 대한 설명으로 대신하기로 한다.Each of the first to third reactive gas dispensing modules 150a, 150b, and 150c is detachably mounted in the second module mounting hole 135a of the chamber lid 130, and is separated from an external gas supply device (not shown). Except for downwardly injecting the supplied reactive gas into the reactive gas dispensing region 120b, the first to third source gas dispensing modules 140a, 140b, and 140c are identically configured. Accordingly, the description of each component of the first to third reaction gas dispensing modules 150a, 150b, and 150c will be replaced with the description of the aforementioned source gas dispensing modules 140a, 140b, and 140c. .

한편, 상기 반응 가스 분사부(150)로부터 상기 반응 가스 분사 영역(120b)에 하향 분사되는 반응 가스는 기판 지지부(120)의 중심부로부터 기판 지지부(120)의 측부에 마련된 상기 제 2 배기구(114') 쪽으로 흐르게 된다.Meanwhile, the reaction gas sprayed downward from the reaction gas dispensing part 150 to the reaction gas dispensing region 120b is directed from the second exhaust port 114' provided from the center of the substrate supporter 120 to the side of the substrate supporter 120. ) will flow towards

이러한 상기 반응 가스는 기판(W) 상에 증착될 박막의 일부 재질을 포함하도록 이루어져 최종적인 박막을 형성하는 가스로서, 수소(H2), 질소(N2), 산소(O2), 이산화질소(NO2), 암모니아(NH3), 물(H2O), 또는 오존(O3) 등으로 이루어질 수 있다. 이러한 반응 가스는 기판(W)에 증착될 박막의 증착 특성에 따라 질소(N2), 아르곤(Ar), 제논(Ze), 또는 헬륨(He) 등의 비반응성 가스를 더 포함하여 이루어질 수도 있다.The reaction gas is made to include some materials of the thin film to be deposited on the substrate W, and is a gas for forming the final thin film, hydrogen (H 2 ), nitrogen (N 2 ), oxygen (O 2 ), nitrogen dioxide ( NO 2 ), ammonia (NH 3 ), water (H 2 O), or ozone (O 3 ). The reactive gas may further include a non-reactive gas such as nitrogen (N2), argon (Ar), xenon (Ze), or helium (He) according to deposition characteristics of a thin film to be deposited on the substrate W.

한편, 상기 제 1 배기구(114)로는 소스 가스, 또는 소스 가스와 반응 가스가 혼합된 제 1 배기가스가 배출될 수 있다. 이 경우, 상기 제1배기가스에서 소스 가스와 반응 가스의 혼합비는 소스 가스가 반응 가스에 비해 더 많은 양을 차지한 상태일 수 있다. 상기 제 2 배기구(114')로는 반응가스, 또는 반응 가스와 소스 가스가 혼합된 제 2 배기가스가 배출될 수 있다. 이 경우, 상기 제2배기가스에서 반응 가스와 소스 가스의 혼합비는 반응 가스가 소스 가스에 비해 더 많은 양을 차지한 상태일 수 있다.Meanwhile, a source gas or a first exhaust gas in which a source gas and a reaction gas are mixed may be discharged through the first exhaust port 114 . In this case, the mixing ratio of the source gas and the reactive gas in the first exhaust gas may be in a state in which the source gas occupies a larger amount than the reactive gas. A reaction gas or a mixture of the reaction gas and the source gas may be discharged through the second exhaust port 114'. In this case, the mixing ratio of the reactive gas and the source gas in the second exhaust gas may be in a state in which the reactive gas occupies a larger amount than the source gas.

전술한 소스 가스 분사부(140)로부터 분사되는 소스 가스의 분사량과 상기 반응 가스 분사부(150)로부터 분사되는 반응 가스의 분사량은 상이하게 설정될 수 있으며, 이를 통해 기판(W)에서 이루어지는 소스 가스와 반응 가스의 반응 속도를 조절할 수 있다. 이 경우, 전술한 소스 가스 분사부(140)와 반응 가스 분사부(150)는 서로 다른 면적을 가지는 가스 분사 모듈로 이루어지거나, 서로 다른 개수의 가스 분사 모듈로 이루어질 수 있다.The injection amount of the source gas injected from the above-described source gas ejection unit 140 and the injection amount of the reactive gas ejected from the reaction gas ejection part 150 may be set to be different, and through this, the source gas formed on the substrate W and the reaction rate of the reactant gas can be controlled. In this case, the aforementioned source gas dispensing unit 140 and the reaction gas dispensing unit 150 may be formed of gas dispensing modules having different areas or different numbers of gas dispensing modules.

상기 퍼지 가스 분사부(160)는 상기 챔버 리드(130)의 제 3 모듈 장착부(137)에 분리 가능하게 설치되어 상기 소스 가스 분사부(140)와 상기 반응 가스 분사부(150) 사이에 대응되는 공정 챔버(110)의 공정 공간에 퍼지 가스를 하향 분사함으로써 소스 가스와 반응 가스를 공간적으로 분리하기 위한 가스 장벽을 형성한다. 즉, 퍼지 가스 분사부(160)는 상기 소스 가스 분사 영역(120a)과 상기 반응 가스 분사 영역(120b) 사이에 대응되도록 챔버 리드(130)와 기판 지지부(120) 사이의 공간에 정의된 퍼지 가스 분사 영역(120c)에 퍼지 가스를 하향 분사하여 가스 장벽을 형성함으로써 상기 소스 가스와 반응 가스가 기판(W)으로 하향 분사되는 도중에 서로 혼합되는 정도를 감소시킬 수 있다. 이에 따라, 상기 기판 처리부(100)는 상기 소스 가스 분사 영역(120a) 및 상기 반응 가스 분사 영역(120b)을 공간적으로 분리할 수 있다. 상기 퍼지 가스는 질소(N2), 아르곤(Ar), 제논(Ze), 또는 헬륨(He) 등의 비반응성 가스로 이루어질 수 있다.The purge gas dispensing part 160 is detachably installed in the third module mounting part 137 of the chamber lid 130 and corresponds to the gap between the source gas dispensing part 140 and the reaction gas dispensing part 150. A gas barrier for spatially separating a source gas and a reaction gas is formed by downwardly injecting the purge gas into the process space of the process chamber 110 . That is, the purge gas dispensing unit 160 is a purge gas defined in the space between the chamber lid 130 and the substrate support 120 to correspond between the source gas dispensing area 120a and the reaction gas dispensing area 120b. By downwardly injecting the purge gas into the injection region 120c to form a gas barrier, mixing of the source gas and the reaction gas while being downwardly injected into the substrate W may be reduced. Accordingly, the substrate processing unit 100 may spatially separate the source gas dispensing area 120a and the reaction gas dispensing area 120b. The purge gas may be made of a non-reactive gas such as nitrogen (N2), argon (Ar), xenon (Ze), or helium (He).

상기 퍼지 가스 분사부(160)에는 퍼지 가스 공급장치(미도시)로부터 퍼지 가스가 공급되어 수용되는 퍼지 가스 분사 공간이 마련된다. 상기 퍼지 가스 분사부(160)는 외부의 퍼지 가스 공급 장치(미도시)로부터 공급되는 퍼지 가스를 퍼지 가스 분사 공간에 공급함으로써 퍼지 가스가 퍼지 가스 분사 공간을 통해 상기 퍼지 가스 분사 영역(120c)에 하향 분사되어 상기 소스 가스 분사 영역(120a)과 상기 반응 가스 분사 영역(120b) 사이에 가스 장벽을 형성함과 아울러 상기 소스 가스 분사 영역(120a)과 상기 반응 가스 분사 영역(120b) 각각에 분사되는 소스 가스와 반응 가스 각각이 기판 지지부(120)의 측부에 마련된 상기 제 1 배기구(114) 또는 제 2 배기구(114') 쪽으로 흐르도록 한다.A purge gas injection space in which a purge gas is supplied from a purge gas supply device (not shown) is provided in the purge gas injection unit 160 . The purge gas dispensing unit 160 supplies purge gas supplied from an external purge gas supply device (not shown) to the purge gas dispensing space so that the purge gas is supplied to the purge gas dispensing area 120c through the purge gas dispensing space. It is injected downward to form a gas barrier between the source gas dispensing region 120a and the reactive gas dispensing region 120b and is injected into each of the source gas dispensing region 120a and the reactive gas dispensing region 120b. Each of the source gas and the reaction gas is allowed to flow toward the first exhaust port 114 or the second exhaust port 114 ′ provided on the side of the substrate support 120 .

상기 퍼지 가스 분사부(160)는 상기 소스 가스 분사부(140)와 상기 반응 가스 분사부(150) 각각보다 상대적으로 기판 지지부(120)에 가깝게 설치되어 기판(W)에 대한 소스 가스와 반응 가스 각각의 분사 거리보다 상대적으로 가까운 분사 거리(예를 들어, 소스 가스의 분사 거리의 절반 이하)에서 상기 퍼지 가스 분사 영역(120c)에 퍼지 가스를 분사함으로써 상기 소스 가스와 반응 가스가 기판(W)으로 분사되는 도중에 서로 혼합되는 정도를 감소시킬 수 있다.The purge gas dispensing unit 160 is installed relatively closer to the substrate support 120 than the source gas dispensing unit 140 and the reaction gas dispensing unit 150, respectively, so as to supply the source gas and the reaction gas to the substrate W. By injecting the purge gas into the purge gas dispensing region 120c at an ejection distance relatively shorter than each ejection distance (eg, less than half of the ejection distance of the source gas), the source gas and the reaction gas are transferred to the substrate W It is possible to reduce the degree of mixing with each other during spraying.

상기 퍼지 가스 분사부(160)는 상기 소스 가스와 상기 반응 가스의 분사 압력에 비해 더 높은 분사 압력으로 퍼지 가스를 분사할 수 있다.The purge gas dispensing unit 160 may inject the purge gas at a higher injection pressure than the injection pressures of the source gas and the reaction gas.

상기 퍼지 가스 분사부(160)로부터 분사되는 퍼지 가스는 상기 소스 가스와 상기 반응 가스 각각을 전술한 제 1 배기구, 제 2 배기구(114, 114'; 도 3 참조)로 흐르게 하여 상기 소스 가스와 상기 반응 가스가 기판(W)으로 분사되는 도중에 서로 혼합되는 정도를 감소시킨다. 따라서, 상기 기판 지지부(120)의 구동에 따라 이동되는 복수의 기판(W) 각각은 퍼지 가스에 의해 분리되는 상기 소스 가스와 상기 반응 가스 각각에 순차적으로 노출됨으로써 각 기판(W)에는 소스 가스와 반응 가스의 상호 반응에 따른 ALD(Atomic Layer Deposition) 증착 공정에 의해 단층 또는 복층의 박막이 증착된다. 여기서, 상기 박막은 고유전막, 절연막, 금속막 등이 될 수 있다.The purge gas injected from the purge gas dispensing unit 160 causes the source gas and the reaction gas to flow through the first exhaust port and the second exhaust port 114 and 114' (see FIG. 3 ), respectively. The mixing degree of the reactant gases while being sprayed onto the substrate W is reduced. Therefore, each of the plurality of substrates (W) moved according to the driving of the substrate supporter 120 is sequentially exposed to the source gas and the reaction gas separated by the purge gas, so that each substrate (W) has a source gas and A single-layer or multi-layer thin film is deposited by an atomic layer deposition (ALD) deposition process according to the mutual reaction of reactive gases. Here, the thin film may be a high dielectric film, an insulating film, a metal film, or the like.

한편, 상기 소스 가스와 반응 가스가 상호 반응하는 경우 플라즈마를 이용하여 소스 가스와 반응 가스를 활성화시켜 분사시킬 수 있다.Meanwhile, when the source gas and the reaction gas react with each other, the source gas and the reaction gas may be activated and injected using plasma.

이러한 플라즈마를 이용하는 방법은 가스를 활성화시켜 이들을 활성화된 상태로 만들어서 가스가 증대된 화학적 반응성을 갖도록 사용되는 일반적인 방법으로, 가스는 이온, 자유 래디컬, 원자 및 분자를 함유하는 해리 가스를 생성하도록 활성화된다. 해리 가스는 반도체 웨이퍼, 파우더와 같은 고형 물질 및 기타 가스를 처리하는 것을 포함하는 다양한 산업 및 과학 분야에서 사용되며, 활성 가스의 특성 및 물질이 가스에 노출되는 조건은 분야에 따라 폭넓게 변화하고 있다.A method using such a plasma is a common method used to give gases increased chemical reactivity by activating gases to bring them into an activated state, where the gases are activated to produce a dissociated gas containing ions, free radicals, atoms and molecules. . Dissociation gases are used in a variety of industrial and scientific fields, including the processing of semiconductor wafers, solid materials such as powders, and other gases, and the properties of active gases and the conditions under which materials are exposed to the gases vary widely from field to field.

플라즈마 소스는, 예컨대, 충분한 크기의 전위를 플라즈마 가스 (예를 들면, O2, N2, Ar, NF3, H2 and He), 또는 가스의 혼합물에 인가하여 가스의 적어도 일부를 이온화함으로써 플라즈마를 생성한다. 플라즈마는 DC 방전, 고주파(RF) 방전, 및 마이크로웨이브 방전을 포함하는 다양한 방식으로 생성될 수 있다.A plasma source generates a plasma by, for example, applying a potential of sufficient magnitude to a plasma gas (e.g., O2, N2, Ar, NF3, H2 and He), or a mixture of gases to ionize at least a portion of the gas. Plasma can be generated in a variety of ways including DC discharge, radio frequency (RF) discharge, and microwave discharge.

본 발명에 따른 기판 처리 장치는 전술한 실시예의 소스 가스 분사 모듈에 플라즈마 전극(미도시)이 추가로 형성될 수 있다.In the substrate processing apparatus according to the present invention, a plasma electrode (not shown) may be additionally formed in the source gas dispensing module of the above-described embodiment.

먼저, 기판 상에 증착하고자 하는 박막의 재질에 따라 소스 가스를 활성화시켜 기판 상에 분사된다. 이에 따라, 본 발명에 따른 소스 가스 분사 모듈 각각은 플라즈마를 이용하여 소스 가스를 활성화시켜 기판 상에 분사한다.First, according to the material of the thin film to be deposited on the substrate, a source gas is activated and sprayed onto the substrate. Accordingly, each of the source gas dispensing modules according to the present invention activates the source gas using plasma and injects the source gas onto the substrate.

구체적으로, 본 발명에 따른 소스 가스 분사 모듈 각각은 가스 분사 공간에 삽입 배치된 플라즈마 전극을 더 포함하여 구성될 수 있다.Specifically, each source gas dispensing module according to the present invention may further include a plasma electrode inserted into the gas dispensing space.

상기 플라즈마 전극은 가스 분사 공간에 삽입되며, 상기 플라즈마 전극은 플라즈마 전원 공급부(미도시)로부터 공급되는 플라즈마 전원에 따라 가스 분사 공간에 공급되는 소스 가스로부터 플라즈마를 형성한다.The plasma electrode is inserted into the gas dispensing space, and the plasma electrode forms plasma from a source gas supplied to the gas dispensing space according to plasma power supplied from a plasma power supply unit (not shown).

상기 플라즈마 전원은 고주파 전력 또는 RF(Radio Frequency) 전력, 예를 들어, LF(Low Frequency) 전력, MF(Middle Frequency), HF(High Frequency) 전력, 또는 VHF(Very High Frequency) 전력이 될 수 있다. 이때, LF 전력은 3㎑ ~ 300㎑ 범위의 주파수를 가지고, MF 전력은 300㎑ ~ 3㎒ 범위의 주파수를 가지고, HF 전력은 3㎒ ~ 30㎒ 범위의 주파수를 가지며, VHF 전력은 30㎒ ~ 300㎒ 범위의 주파수를 가질 수 있다.The plasma power may be high frequency power or radio frequency (RF) power, for example, low frequency (LF) power, middle frequency (MF) power, high frequency (HF) power, or very high frequency (VHF) power. . At this time, the LF power has a frequency in the range of 3 kHz to 300 kHz, the MF power has a frequency in the range of 300 kHz to 3 MHz, the HF power has a frequency in the range of 3 MHz to 30 MHz, and the VHF power has a frequency in the range of 30 MHz to 3 MHz. It may have a frequency in the range of 300 MHz.

삭제delete

삭제delete

도 2 내지 도 4를 참고하면, 상기 가스 처리부(200)는 상기 기판 처리부(100)로부터 소스 가스와 반응 가스를 외부로 배출시키기 위한 것이다. 상기 가스 처리부(200)는 상기 기판 처리부(100)에 결합되어서, 상기 공정 챔버(110)의 내부에 존재하는 소스 가스와 반응 가스를 외부로 배출시킬 수 있다. 상기 가스 처리부(200)는 상기 박막 증착 공정이 완료된 후에 상기 공정 챔버(110)로부터 소스 가스와 반응 가스를 배출시킬 수 있다.2 to 4 , the gas processing unit 200 is for discharging source gas and reaction gas from the substrate processing unit 100 to the outside. The gas processing unit 200 may be coupled to the substrate processing unit 100 to discharge a source gas and a reaction gas existing inside the process chamber 110 to the outside. The gas processor 200 may discharge source gas and reaction gas from the process chamber 110 after the thin film deposition process is completed.

상기 가스 처리부(200)는 상기 소스 가스 분사 영역(120a) 및 상기 반응 가스 분사 영역(120b) 각각으로부터 소스 가스 및 반응 가스를 서로 독립적으로 배출시킬 수 있다. 이에 따라, 본 발명에 따른 기판 처리 장치는 상기 기판 처리부(100)로부터 소스 가스와 반응 가스가 혼합된 상태로 배출되는 정도를 감소시킴으로써, 소스 가스와 반응 가스가 혼합된 상태로 배출됨에 따른 파티클 생성을 줄일 수 있다.The gas processing unit 200 may discharge the source gas and the reaction gas independently from each other from the source gas dispensing region 120a and the reactive gas dispensing region 120b. Accordingly, the substrate processing apparatus according to the present invention reduces the degree to which the source gas and the reaction gas are discharged from the substrate processing unit 100 in a mixed state, thereby generating particles as the source gas and the reaction gas are discharged in a mixed state. can reduce

상기 가스 처리부(200)는 제 1 배기라인(210), 제 2 배기라인(220) 및 제 3 배기라인(240)을 포함할 수 있다.The gas processing unit 200 may include a first exhaust line 210 , a second exhaust line 220 and a third exhaust line 240 .

상기 제 1 배기라인(210)은 상기 소스 가스 분사 영역(120a)으로부터 제 1 배기가스를 배출시키기 위한 것이다. 상기 제 1 배기가스는 상기 반응 가스에 비해 상기 소스 가스가 더 많이 포함된 것이다. 상기 제 1 배기가스는 상기 반응 가스 없이 상기 소스 가스만으로 이루어질 수도 있다. 상기 제 1 배기라인(210)은 상기 공정 챔버(110)의 내부에 연결되게 상기 공정 챔버(110)에 결합될 수 있다. 상기 제 1 배기라인(210)은 상기 공정 챔버(110)의 바닥 프레임(112)에 결합될 수 있다.The first exhaust line 210 is for discharging the first exhaust gas from the source gas dispensing region 120a. The first exhaust gas contains more of the source gas than the reactive gas. The first exhaust gas may be composed of only the source gas without the reactive gas. The first exhaust line 210 may be coupled to the process chamber 110 to be connected to the inside of the process chamber 110 . The first exhaust line 210 may be coupled to the bottom frame 112 of the process chamber 110 .

상기 제 1 배기라인(210)은 상기 제 1 배기구(114)에 연결되게 상기 공정 챔버(110)에 결합될 수 있다. 상기 소스 가스 분사 영역(120a)에 위치한 제 1 배기가스는, 상기 제 1 배기구(114)를 통해 상기 공정 챔버(110)로부터 배출되고, 상기 제 1 배기라인(210)을 따라 이동하여 외부로 배출될 수 있다.The first exhaust line 210 may be coupled to the process chamber 110 to be connected to the first exhaust port 114 . The first exhaust gas located in the source gas dispensing area 120a is discharged from the process chamber 110 through the first exhaust port 114, moves along the first exhaust line 210, and is discharged to the outside. It can be.

상기 제 1 배기라인(210)은 상기 소스 가스 분사 영역(120a)으로부터 상기 제 1 배기가스를 배출시키기 위한 흡입력 및 배출력을 발생시키는 제 1 펌핑 수단(미도시), 및 상기 제 1 배기가스가 이동하기 위한 통로를 제공하는 제 1 배출배관(미도시)를 포함할 수 있다.The first exhaust line 210 includes a first pumping means (not shown) generating a suction force and a discharge force for discharging the first exhaust gas from the source gas dispensing region 120a, and the first exhaust gas It may include a first discharge pipe (not shown) providing a passage for movement.

상기 제 2 배기라인(220)은 상기 반응 가스 분사 영역(120b)으로부터 제 2 배기가스를 배출시키기 위한 것이다. 상기 제 2 배기가스는 상기 소스 가스에 비해 상기 반응 가스가 더 많이 포함된 것이다. 상기 제 2 배기가스는 상기 소스 가스 없이 상기 반응 가스만으로 이루어질 수도 있다. 상기 제 2 배기라인(220)은 상기 공정 챔버(110)의 내부에 연결되게 상기 공정 챔버(110)에 결합될 수 있다. 상기 제 2 배기라인(220)은 상기 공정 챔버(110)의 바닥 프레임(112)에 결합될 수 있다. 상기 제 2 배기라인(220) 및 상기 제 1 배기라인(210)은, 상기 공정 챔버(110)의 바닥 프레임(112)에서 서로 이격된 위치에 위치하도록 상기 바닥 프레임(112)에 결합될 수 있다.The second exhaust line 220 is for discharging the second exhaust gas from the reaction gas dispensing region 120b. The second exhaust gas contains more reactive gas than the source gas. The second exhaust gas may be composed of only the reactive gas without the source gas. The second exhaust line 220 may be coupled to the process chamber 110 to be connected to the inside of the process chamber 110 . The second exhaust line 220 may be coupled to the bottom frame 112 of the process chamber 110 . The second exhaust line 220 and the first exhaust line 210 may be coupled to the bottom frame 112 of the process chamber 110 so as to be spaced apart from each other. .

상기 제 2 배기라인(220)은 상기 제 2 배기구(114')에 연결되게 상기 공정 챔버(110)에 결합될 수 있다. 상기 반응 가스 분사 영역(120b)에 위치한 제 2 배기가스는, 상기 제 2 배기구(114')를 통해 상기 공정 챔버(110)로부터 배출되고, 상기 제 2 배기라인(220)을 따라 이동하여 외부로 배출될 수 있다.The second exhaust line 220 may be coupled to the process chamber 110 to be connected to the second exhaust port 114'. The second exhaust gas located in the reaction gas injection region 120b is discharged from the process chamber 110 through the second exhaust port 114', and moves along the second exhaust line 220 to the outside. may be discharged.

상기 제 2 배기라인(220)은 상기 반응 가스 분사 영역(120b)으로부터 제 2 배기가스를 배출시키기 위한 흡입력 및 배출력을 발생시키는 제 2 펌핑 수단(미도시), 및 상기 제 2 배기가스가 이동하기 위한 통로를 제공하는 제 2 배출배관(미도시)를 포함할 수 있다. 상기 제 2 배출배관 및 상기 제 1 배출배관은, 각각 일측이 별도의 배관으로 분기되어 상기 공정 챔버(110)의 서로 다른 위치에 결합되고, 타측이 하나의 배관으로 합쳐지도록 구현될 수 있다. 상기 제 2 배출배관 및 상기 제 1 배출배관이 합쳐진 부분에는, 스크러버(Scrubber)가 설치될 수 있다.The second exhaust line 220 includes a second pumping means (not shown) generating a suction force and a discharge force for discharging the second exhaust gas from the reaction gas dispensing region 120b, and a movement of the second exhaust gas. It may include a second discharge pipe (not shown) providing a passage for doing so. One side of the second discharge pipe and the first discharge pipe may be branched into separate pipes, coupled to different positions of the process chamber 110, and the other ends merged into one pipe. A scrubber may be installed at a portion where the second discharge pipe and the first discharge pipe are combined.

상기 가스 처리부(200)는 포획장치(230)를 포함할 수 있다.The gas processing unit 200 may include a trapping device 230 .

상기 포획장치(230)는 상기 제 1 배기라인(210)으로 유입된 제 1 배기가스 중에서 상기 소스 가스를 포획하여 처리하기 위한 것이다. 상기 포획장치(230)는 상기 제 1 배기가스 중에서 상기 소스 가스를 분해함으로써 상기 제 1 배기가스 중에서 상기 소스 가스를 포획할 수 있다. 이 과정에서, 상기 포획장치(230)는 상기 소스 가스를 미립자상태로 분해하여 상기 제 1 배기라인(210)을 통과하는 소스 가스로 인해 제 1 배기라인(210) 내에 파티클이 생성되는 것을 방지할 수 있다. 이에 따라, 본 발명에 따른 기판 처리 장치는 상기 기판 처리부(100)로부터 배출되는 소스 가스로부터 파티클이 발생하는 것을 방지함으로써, 배기효율을 향상시킬 수 있다. 따라서, 본 발명에 따른 기판 처리 장치는 배기효율 향상을 통해 배기에 걸리는 시간을 단축할 수 있으므로, 박막 증착 공정에 대한 공정 시간을 줄이는 데 기여할 수 있다.The trapping device 230 is for capturing and processing the source gas from the first exhaust gas flowing into the first exhaust line 210 . The trapping device 230 may capture the source gas in the first exhaust gas by decomposing the source gas in the first exhaust gas. In this process, the trapping device 230 decomposes the source gas into particulates to prevent particles from being generated in the first exhaust line 210 due to the source gas passing through the first exhaust line 210. can Accordingly, the substrate processing apparatus according to the present invention can improve exhaust efficiency by preventing particles from being generated from the source gas discharged from the substrate processing unit 100 . Therefore, since the substrate processing apparatus according to the present invention can shorten the exhausting time by improving the exhausting efficiency, it can contribute to reducing the process time for the thin film deposition process.

상기 포획장치(230)는 상기 제 1 배기라인(210) 및 상기 제 2 배기라인(220) 중에서 상기 제 1 배기라인(210)에만 설치될 수 있다. 이에 따라, 상기 포획장치(230)는 상기 기판 처리부(100)로부터 배출되는 제 1 배기가스 및 제 2 배기가스 중에서 상기 제 1 배기가스에 대해서만 상기 소스 가스를 포획하는 공정을 수행하도록 구현될 수 있다. 이에 따라, 본 발명에 따른 기판 처리 장치는 다음과 같은 작용 효과를 도모할 수 있다.The trapping device 230 may be installed only in the first exhaust line 210 among the first exhaust line 210 and the second exhaust line 220 . Accordingly, the trapping device 230 may be implemented to perform a process of capturing the source gas only for the first exhaust gas among the first exhaust gas and the second exhaust gas discharged from the substrate processing unit 100 . . Accordingly, the substrate processing apparatus according to the present invention can achieve the following operational effects.

첫째, 본 발명에 따른 기판 처리 장치는 소스 가스와 반응 가스가 서로 독립적으로 배출되도록 구현되므로, 파티클 발생의 주원인 되는 제 1 배기가스에 대해서만 소스 가스의 포획 처리가 이루어지도록 구현될 수 있다. 따라서, 본 발명에 따른 기판 처리 장치는 파티클 발생 방지를 위해 상기 포획장치(230)를 가동시키는 가동비용 및 운영비용을 절감할 수 있다.First, since the substrate processing apparatus according to the present invention is implemented so that the source gas and the reaction gas are discharged independently of each other, the capture process of the source gas can be performed only for the first exhaust gas, which is the main cause of particle generation. Therefore, the substrate processing apparatus according to the present invention can reduce the operating cost and operating cost of operating the trapping device 230 to prevent particle generation.

둘째, 본 발명에 따른 기판 처리 장치는 상기 포획장치(230)가 상기 제 1 배기가스에 대해서만 소스 가스의 포획 처리를 수행하므로, 상기 포획장치(230)가 제 1 배기가스와 제 2 배기가스가 혼합된 상태의 배기가스에 대해 소스 가스의 포획 처리를 수행하는 것과 비교할 때, 상기 포획장치(230)의 가스 처리량을 줄일 수 있다. 이에 따라, 본 발명에 따른 기판 처리 장치는 상기 포획장치(230)의 용량을 줄일 수 있으므로, 상기 포획장치(230)에 대한 구축비용을 줄일 수 있을 뿐만 아니라 상기 포획장치(230)를 소형화할 수 있는 장점이 있다.Second, in the substrate processing apparatus according to the present invention, since the trapping device 230 captures the source gas only for the first exhaust gas, the trapping device 230 separates the first exhaust gas and the second exhaust gas. Compared to performing the trapping treatment of the source gas on the exhaust gas in a mixed state, the gas throughput of the trapping device 230 can be reduced. Accordingly, since the substrate processing apparatus according to the present invention can reduce the capacity of the trapping device 230, construction costs for the trapping device 230 can be reduced and the trapping device 230 can be miniaturized. There are advantages to being

상기 포획장치(230)는 플라즈마 트랩(Plasma Trap)을 포함할 수 있다.The trapping device 230 may include a plasma trap.

상기 플라즈마 트랩은 플라즈마를 이용하여 상기 기판 처리부(100)로부터 배출되는 소스 가스로부터 파티클이 발생하는 것을 방지할 수 있다. 상기 플라즈마 트랩은 플라즈마를 이용하여 상기 기판 처리부(100)로부터 배출되는 소스 가스를 분해함으로써, 파티클 발생을 방지할 수 있다. 예컨대, 상기 플라즈마 트랩은 소스 가스가 육염화이규소(Si2Cl6)일 경우, 플라즈마를 이용하여 육염화이규소를 규소(Si)와 염소(Cl)로 분해함으로써, 파티클 발생을 방지할 수 있다.The plasma trap may prevent particles from being generated from the source gas discharged from the substrate processing unit 100 by using plasma. The plasma trap may prevent particle generation by decomposing the source gas discharged from the substrate processing unit 100 using plasma. For example, when the source gas is silicon hexachloride (Si 2 Cl 6 ), the plasma trap can prevent particle generation by decomposing silicon hexachloride into silicon (Si) and chlorine (Cl) using plasma.

여기서, 상기 기판 처리부(100)는 배출 과정에서 파티클이 생성되지 않는 반응 가스를 이용하여 박막 증착 공정을 수행할 수 있다. 예컨대, 반응 가스는 수소(H2), 질소(N2), 산소(O2), 이산화질소(NO2), 암모니아(NH3), 물(H2O), 오존(O3) 중 적어도 하나일 수 있다. 이에 따라, 본 발명에 따른 기판 처리 장치는 제 2 배기라인(220)에 상기 포획장치(230)를 설치하지 않고도, 상기 반응 가스로부터 파티클이 생성되는 것을 방지할 수 있다. 한편, 상기 제 2 배기라인(220)을 통과하는 제 2 배기가스에도 상기 소스 가스가 포함되어 있을 수 있으나, 상기 소스 가스의 양이 적으므로, 상기 포획장치(230) 없이도 상기 제 2 배기라인(220)을 통한 원활한 배기를 구현할 수 있다.Here, the substrate processing unit 100 may perform a thin film deposition process using a reaction gas in which particles are not generated during the discharge process. For example, the reaction gas is at least one of hydrogen (H 2 ), nitrogen (N 2 ), oxygen (O 2 ), nitrogen dioxide (NO 2 ), ammonia (NH 3 ), water (H 2 O), and ozone (O 3 ). can be Accordingly, the substrate processing apparatus according to the present invention can prevent particles from being generated from the reaction gas without installing the trapping device 230 in the second exhaust line 220 . Meanwhile, the source gas may also be included in the second exhaust gas passing through the second exhaust line 220, but since the amount of the source gas is small, the second exhaust line ( 220), it is possible to implement smooth exhaust.

상기 제 3 배기라인(240)은 상기 제 1 배기라인(210)을 거쳐 상기 포획장치(230)를 통과한 제 1 배기가스와 상기 제 2 배기라인(220)을 통과한 제 2 배기가스를 배기하도록 배기펌프(300)에 연결된다. 따라서, 상기 제 1 배기라인(210)으로 유입된 제 1 배기가스는 상기 포획장치(230)를 통과하여 소스 가스가 포획된 후에 상기 제 2 배기라인(220)으로 유입된 제 2 배기가스와 합류된 상태로 상기 제 3 배기라인(240)을 통과하여 상기 배기펌프(300)로 보내지게 된다. The third exhaust line 240 exhausts the first exhaust gas passing through the trapping device 230 through the first exhaust line 210 and the second exhaust gas passing through the second exhaust line 220. It is connected to the exhaust pump 300 to do so. Therefore, the first exhaust gas introduced into the first exhaust line 210 passes through the trapping device 230 to capture the source gas, and then joins the second exhaust gas introduced into the second exhaust line 220. is sent to the exhaust pump 300 through the third exhaust line 240.

상기 제 3 배기라인(240)은 일측이 상기 제 1 배기라인(210)과 제 2 배기라인(220)을 하나의 배관으로 연결하고, 타측이 상기 배기펌프(300)에 연결되도록 설치될 수 있다.The third exhaust line 240 may be installed such that one side connects the first exhaust line 210 and the second exhaust line 220 with a single pipe, and the other side is connected to the exhaust pump 300. .

도 2 내지 도 6을 참고하면, 본 발명에 따른 기판 처리 장치는 퍼지 가스를 이용하여 가스 배출 영역을 제 1 가스 배출 영역 및 제 2 가스 배출 영역으로 공간적으로 분리하도록 구현될 수 있다.Referring to FIGS. 2 to 6 , the substrate processing apparatus according to the present invention may be implemented to spatially separate a gas discharge region into a first gas discharge region and a second gas discharge region by using a purge gas.

이를 위해, 상기 퍼지 가스 분사부(160)는 상기 가스 배출 영역(GE, 도 6에 도시됨)에 퍼지 가스를 추가로 분사할 수 있다. 상기 가스 배출 영역(GE)은 상기 공정 챔버(110)의 내주면(110a) 및 상기 기판 지지부(120)의 외주면(120d) 사이에 위치한다. 상기 퍼지 가스 분사부(160)는 상기 가스 배출 영역(GE)에 퍼지 가스를 추가로 분사함으로써, 상기 가스 배출 영역(GE)을 제 1 가스 배출 영역(GE1) 및 제 2 가스 배출 영역(GE2)으로 공간적으로 분리할 수 있다. 상기 제 1 가스 배출 영역(GE1)에는 상기 제 1 배기라인(210)이 연결된다. 상기 제 2 가스 배출 영역(GE2)에는 상기 제 2 배기라인(220)이 연결된다.To this end, the purge gas dispensing unit 160 may additionally inject purge gas into the gas discharge area GE (shown in FIG. 6 ). The gas discharge area GE is located between the inner circumferential surface 110a of the process chamber 110 and the outer circumferential surface 120d of the substrate support 120 . The purge gas dispensing unit 160 additionally injects a purge gas into the gas discharging area GE, thereby transforming the gas discharging area GE into a first gas discharging area GE1 and a second gas discharging area GE2. can be spatially separated. The first exhaust line 210 is connected to the first gas discharge region GE1. The second exhaust line 220 is connected to the second gas discharge region GE2.

이에 따라, 상기 제 1 배기가스는 상기 제 1 가스 배출 영역(GE1)을 거쳐 상기 제 1 배기라인(210)을 통해 상기 공정 챔버(110)의 외부로 배출된다. 상기 제 2 배기가스는, 상기 제 2 가스 배출 영역(GE2)을 거쳐 상기 제 2 배기라인(220)을 통해 상기 공정 챔버(110)의 외부로 배출된다.Accordingly, the first exhaust gas is discharged to the outside of the process chamber 110 through the first exhaust line 210 via the first gas discharge region GE1 . The second exhaust gas is discharged to the outside of the process chamber 110 through the second exhaust line 220 via the second gas discharge region GE2 .

따라서, 본 발명에 따른 기판 처리 장치는 상기 제 1 배기가스 및 상기 제 2 배기가스가 배출되는 과정에서 서로 혼합되는 것을 방지함으로써, 상기 소스 가스로부터 파티클이 발생하는 것을 감소시키기 위한 차단력을 증대시킬 수 있다.Therefore, the substrate processing apparatus according to the present invention prevents the first exhaust gas and the second exhaust gas from being mixed with each other in the process of being discharged, thereby increasing the blocking force for reducing the generation of particles from the source gas. there is.

상기 퍼지 가스 분사부(160)는 상기 가스 배출 영역(GE)에 퍼지 가스를 추가로 분사할 수 있도록, 상기 기판 지지부(120)의 직경에 해당하는 영역에 비해 더 큰 퍼지 가스 분사 영역(120c)에 퍼지 가스를 분사하도록 구현될 수 있다. 상기 퍼지 가스 분사부(160)는 상기 공정 챔버(110)의 내경에 해당하는 퍼지 가스 분사 영역(120c)에 퍼지 가스를 분사하도록 구현될 수도 있다.The purge gas dispensing unit 160 has a larger purge gas dispensing area 120c than an area corresponding to the diameter of the substrate supporter 120 so as to additionally inject the purge gas into the gas discharge area GE. It may be implemented to inject a purge gas. The purge gas dispensing unit 160 may be implemented to inject the purge gas into the purge gas dispensing region 120c corresponding to the inner diameter of the process chamber 110 .

상기 제 1 가스 배출 영역(GE1)에는 상기 제 1 배기구(114)가 위치할 수 있다. 상기 제 1 배기구(114)는 상기 제 1 가스 배출 영역(GE1)에 위치하도록 상기 공정 챔버(110)에 형성될 수 있다. 상기 제 1 배기라인(210)은 상기 제 1 배기구(114)를 통해 상기 제 1 가스 배출 영역(GE1)에 연결될 수 있다.The first exhaust port 114 may be located in the first gas discharge area GE1. The first exhaust port 114 may be formed in the process chamber 110 to be located in the first gas discharge area GE1. The first exhaust line 210 may be connected to the first gas discharge area GE1 through the first exhaust port 114 .

상기 제 2 가스 배출 영역(GE2)에는 상기 제 2 배기구(114')가 위치할 수 있다. 상기 제 2 배기구(114')는 상기 제 2 가스 배출 영역(GE2)에 위치하도록 상기 공정 챔버(110)에 형성될 수 있다. 상기 제 2 배기라인(220)은 상기 제 2 배기구(114')를 통해 상기 제 2 가스 배출 영역(GE2)에 연결될 수 있다.The second exhaust port 114' may be located in the second gas discharge area GE2. The second exhaust port 114' may be formed in the process chamber 110 to be located in the second gas discharge area GE2. The second exhaust line 220 may be connected to the second gas discharge area GE2 through the second exhaust port 114'.

도 2 내지 도 7을 참고하면, 본 발명의 변형된 실시예에 따른 기판 처리 장치는 구획부재를 이용하여 가스 배출 영역을 제 1 가스 배출 영역 및 제 2 가스 배출 영역으로 공간적으로 분리하도록 구현될 수도 있다.Referring to FIGS. 2 to 7 , a substrate processing apparatus according to a modified embodiment of the present invention may be implemented to spatially separate a gas discharge region into a first gas discharge region and a second gas discharge region using a partition member. there is.

이를 위해, 상기 기판 처리부(100)는 상기 가스 배출 영역(GE)에 위치하는 구획부재(116)를 포함할 수 있다. 상기 구획부재(116)는 상기 공정 챔버(110)의 내주면(110a)으로부터 상기 기판 지지부(120)의 외주면(120d) 쪽으로 돌출되어 형성될 수 있다. 이에 따라, 상기 구획부재(116)는 상기 가스 배출 영역(GE)을 상기 제 1 가스 배출 영역(GE1) 및 상기 제 2 가스 배출 영역(GE2)으로 공간적으로 분리할 수 있다.To this end, the substrate processing unit 100 may include a partition member 116 positioned in the gas discharge area GE. The partition member 116 may protrude from the inner circumferential surface 110a of the process chamber 110 toward the outer circumferential surface 120d of the substrate support 120 . Accordingly, the partition member 116 may spatially separate the gas discharge area GE into the first gas discharge area GE1 and the second gas discharge area GE2.

따라서, 본 발명의 변형된 실시예에 따른 기판 처리 장치는 퍼지 가스 없이 상기 구획부재(116)를 이용하여 상기 제 1 배기가스 및 상기 제 2 배기가스가 배출되는 과정에서 서로 혼합되는 것을 방지할 수 있으므로, 퍼지 가스를 이용하는 것과 대비할 때 운영비용을 줄일 수 있는 장점이 있다.Therefore, the substrate processing apparatus according to the modified embodiment of the present invention uses the partition member 116 without a purge gas to prevent the first exhaust gas and the second exhaust gas from being mixed with each other in the process of being discharged. Therefore, compared to using a purge gas, there is an advantage in reducing operating costs.

상기 구획부재(116)는 일측이 상기 공정 챔버(110)의 내주면(110a)에 결합되고, 타측이 상기 기판 지지부(120)의 외주면(120d)에 접촉되도록 상기 공정 챔버(110)에 결합될 수 있다. 상기 구획부재(116)는 전체적으로 직방체 형태로 형성될 수 있으나, 이에 한정되지 않으며 상기 가스 배출 영역(GE)을 공간적으로 분리할 수 있는 형태이면 다른 형태로 형성될 수도 있다. 상기 기판 처리부(100)는 상기 구획부재(116)를 복수개 포함할 수 있다.The partition member 116 may be coupled to the process chamber 110 such that one side is coupled to the inner circumferential surface 110a of the process chamber 110 and the other side contacts the outer circumferential surface 120d of the substrate support 120. there is. The partition member 116 may be formed in a rectangular parallelepiped shape as a whole, but is not limited thereto and may be formed in another shape as long as it is a shape capable of spatially separating the gas discharge region GE. The substrate processing unit 100 may include a plurality of partition members 116 .

도 8 및 도 9를 참고하면, 본 발명의 다른 변형된 실시예에 따른 기판 처리 장치는 퍼지 가스 및 구획부재 모두를 이용하여 가스 배출 영역을 제 1 가스 배출 영역 및 제 2 가스 배출 영역으로 공간적으로 분리하도록 구현될 수도 있다.Referring to FIGS. 8 and 9 , a substrate processing apparatus according to another modified embodiment of the present invention spatially divides a gas discharge area into a first gas discharge area and a second gas discharge area using both a purge gas and a partition member. It can also be implemented to separate.

이를 위해, 상기 기판 처리부(100)는 상기 공정 챔버(110)의 내주면(110a)으로부터 상기 기판 지지부(120)의 외주면(120d) 쪽으로 돌출되어 형성되는 구획부재(116)를 포함할 수 있다. 상기 퍼지 가스 분사부(160)는 상기 기판 지지부(120)의 외주면(120d) 및 상기 구획부재(116)의 사이에 퍼지 가스를 분사할 수 있다. 이에 따라, 상기 가스 배출 영역(GE)은 상기 구획부재(116) 및 퍼지 가스의 조합을 통해 상기 제 1 가스 배출 영역(GE1) 및 상기 제 2 가스 배출 영역(GE2)으로 공간적으로 분리될 수 있다.To this end, the substrate processing unit 100 may include a partition member 116 protruding from the inner circumferential surface 110a of the process chamber 110 toward the outer circumferential surface 120d of the substrate support 120 . The purge gas sprayer 160 may spray a purge gas between the outer circumferential surface 120d of the substrate supporter 120 and the partition member 116 . Accordingly, the gas discharge region GE may be spatially separated into the first gas discharge region GE1 and the second gas discharge region GE2 through a combination of the partition member 116 and the purge gas. .

따라서, 본 발명의 다른 변형된 실시예에 따른 기판 처리 장치는 다음과 같은 작용 효과를 도모할 수 있다.Therefore, the substrate processing apparatus according to another modified embodiment of the present invention can achieve the following operational effects.

첫째, 본 발명의 다른 변형된 실시예에 따른 기판 처리 장치는 상술한 퍼지 가스만을 이용하는 것과 대비할 때, 상기 퍼지 가스 분사부(160)가 퍼지 가스를 분사하는 영역의 크기를 감소시킬 수 있다. 상기 구획부재(116)가 상기 가스 배출 영역(GE)을 공간적으로 분리하고 있는 부분에는 퍼지 가스를 분사할 필요가 없기 때문이다. 따라서, 본 발명의 다른 변형된 실시예에 따른 기판 처리 장치는 상기 제 1 배기가스 및 상기 제 2 배기가스가 배출되는 과정에서 서로 혼합되는 것을 방지할 수 있으면서도, 이를 위해 요구되는 운영 비용을 줄일 수 있다.First, the substrate processing apparatus according to another modified embodiment of the present invention can reduce the size of an area where the purge gas spraying unit 160 sprays the purge gas, compared to using only the purge gas described above. This is because the purge gas does not need to be injected into the portion where the partition member 116 spatially separates the gas discharge region GE. Therefore, the substrate processing apparatus according to another modified embodiment of the present invention can prevent the first exhaust gas and the second exhaust gas from being mixed with each other in the process of being discharged, while reducing operating costs required for this. there is.

둘째, 본 발명의 다른 변형된 실시예에 따른 기판 처리 장치는 상술한 구획부재만을 이용하는 것과 대비할 때, 상기 구획부재(116)가 상기 기판 지지부(120)의 외주면(120d)에 접촉되지 않도록 구현될 수 있다. 상기 구획부재(116) 및 상기 기판 지지부(120)의 외주면(120d) 사이는 퍼지 가스에 의해 공간적으로 분리되기 때문이다. 따라서, 본 발명의 다른 변형된 실시예에 따른 기판 처리 장치는 상기 구획부재(116)가 상기 기판 지지부(120)의 외주면(120d)에 접촉됨에 따라 마찰에 의해 마모, 손상 등이 발생하는 것을 방지함으로써, 상기 구획부재(116) 및 상기 기판 지지부(120)에 대한 유지 보수 비용을 줄일 수 있다.Second, the substrate processing apparatus according to another modified embodiment of the present invention is implemented so that the partition member 116 does not come into contact with the outer circumferential surface 120d of the substrate support 120, compared to using only the above-described partition member. can This is because the partition member 116 and the outer circumferential surface 120d of the substrate support 120 are spatially separated by the purge gas. Therefore, the substrate processing apparatus according to another modified embodiment of the present invention prevents abrasion, damage, etc. caused by friction as the partition member 116 contacts the outer circumferential surface 120d of the substrate support 120. Accordingly, maintenance costs for the partition member 116 and the substrate support 120 may be reduced.

상기 퍼지 가스 분사부(160)는 상기 가스 배출 영역(GE)에 퍼지 가스를 추가로 분사할 수 있도록, 상기 기판 지지부(120)의 직경에 비해 크고 상기 공정 챔버(110)의 내경에 비해 작은 퍼지 가스 분사 영역(120c)에 퍼지 가스를 분사하도록 구현될 수 있다.The purge gas spraying part 160 is larger than the diameter of the substrate supporter 120 and smaller than the inner diameter of the process chamber 110 so as to additionally spray the purge gas to the gas discharge area GE. The purge gas may be sprayed to the gas dispensing region 120c.

본 발명이 속하는 기술분야의 당업자는 본 발명이 그 기술적 사상이나 필수적 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로, 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적인 것이 아닌 것으로 이해해야만 한다. 본 발명의 범위는 상기 상세한 설명보다는 후술하는 특허청구범위에 의하여 나타내어지며, 특허청구범위의 의미 및 범위 그리고 그 등가 개념으로부터 도출되는 모든 변경 또는 변형된 형태가 본 발명의 범위에 포함되는 것으로 해석되어야 한다.Those skilled in the art to which the present invention pertains will understand that the present invention may be embodied in other specific forms without changing its technical spirit or essential features. Therefore, it should be understood that the embodiments described above are illustrative in all respects and not limiting. The scope of the present invention is indicated by the following claims rather than the detailed description above, and all changes or modifications derived from the meaning and scope of the claims and equivalent concepts should be construed as being included in the scope of the present invention. do.

100: 기판 처리부 110: 공정 챔버
120: 기판 지지부 130: 챔버 리드
140: 소스 가스 분사부 150: 반응 가스 분사부
160: 퍼지 가스 분사부 200: 가스 처리부
210: 제 1 배기라인 220: 제 2 배기라인
230: 포획장치 240: 제 3 배기라인
300: 배기펌프
100: substrate processing unit 110: process chamber
120: substrate support 130: chamber lid
140: source gas injection unit 150: reaction gas injection unit
160: purge gas injection unit 200: gas processing unit
210: first exhaust line 220: second exhaust line
230: catch device 240: third exhaust line
300: exhaust pump

Claims (11)

소스 가스와 반응 가스가 분사되는 기판 처리 장치에 있어서,
상기 반응 가스에 비해 상기 소스 가스가 더 많이 포함된 제 1 배기가스를 배기하는 제 1 배기라인;
상기 소스 가스에 비해 상기 반응 가스가 더 많이 포함된 제 2 배기가스를 배기하는 제 2 배기라인;
상기 제 1 배기라인에 설치된 포획장치;
상기 포획장치를 통과한 제 1 배기가스와 상기 제 2 배기라인을 통과한 제 2 배기가스를 배기하도록 배기펌프에 연결되는 제 3 배기라인; 및
공간적으로 분리된 소스 가스 분사 영역 및 반응 가스 분사 영역 각각에 상기 소스 가스 및 상기 반응 가스를 분사하여 기판에 박막을 증착시키는 박막 증착 공정을 수행하는 기판 처리부를 포함하고,
상기 포획장치는 상기 제 1 배기라인에 유입된 소스 가스를 포획하며,
상기 기판 처리부는 공정 공간을 마련하는 공정 챔버, 상기 공정 챔버 내부에 설치되어 적어도 하나의 기판을 지지하는 기판 지지부, 및 상기 소스 가스 분사 영역과 상기 반응 가스 분사 영역이 공간적으로 분리되도록 상기 소스 가스 분사 영역과 상기 반응 가스 분사 영역의 사이에 퍼지 가스를 분사하는 퍼지 가스 분사부를 포함하고,
상기 퍼지 가스 분사부는 상기 공정 챔버의 내주면 및 상기 기판 지지부의 외주면 사이의 가스 배출 영역에 퍼지 가스를 추가로 분사하여 상기 가스 배출 영역을 제 1 가스 배출 영역 및 제 2 가스 배출 영역으로 공간적으로 분리하며,
상기 제 1 배기라인은 상기 제 1 가스 배출 영역에 연결되게 상기 공정 챔버에 결합되고,
상기 제 2 배기라인은 상기 제 2 가스 배출 영역에 연결되게 상기 공정 챔버에 결합되며,
상기 퍼지 가스 분사부는 상기 공정 챔버의 내경에 해당하는 퍼지 가스 분사 영역에 퍼지 가스를 분사하여 상기 제 1 배기라인에 연결된 상기 제 1 가스 배출 영역과 상기 제 2 배기라인에 연결된 상기 제 2 가스 배출 영역을 공간적으로 분리하는 것을 특징으로 하는 기판 처리 장치.
In the substrate processing apparatus in which the source gas and the reaction gas are injected,
a first exhaust line for exhausting a first exhaust gas containing more of the source gas than the reaction gas;
a second exhaust line for exhausting a second exhaust gas containing more of the reactive gas than the source gas;
a trapping device installed in the first exhaust line;
a third exhaust line connected to an exhaust pump to exhaust the first exhaust gas passing through the trapping device and the second exhaust gas passing through the second exhaust line; and
A substrate processing unit performing a thin film deposition process of depositing a thin film on a substrate by injecting the source gas and the reaction gas into spatially separated source gas dispensing regions and reactive gas dispensing regions, respectively;
The trapping device captures the source gas introduced into the first exhaust line;
The substrate processing unit ejects the source gas to spatially separate a process chamber for providing a process space, a substrate supporter installed inside the process chamber to support at least one substrate, and the source gas spray area and the reaction gas spray area. A purge gas injection unit for injecting a purge gas between a region and the reaction gas injection region;
The purge gas spraying unit additionally sprays a purge gas to a gas discharge area between an inner circumferential surface of the process chamber and an outer circumferential surface of the substrate support to spatially divide the gas discharge area into a first gas discharge area and a second gas discharge area; ,
The first exhaust line is coupled to the process chamber to be connected to the first gas discharge region;
The second exhaust line is coupled to the process chamber to be connected to the second gas discharge region;
The purge gas dispensing unit injects a purge gas into a purge gas dispensing area corresponding to an inner diameter of the process chamber to form the first gas exhaust area connected to the first exhaust line and the second gas exhaust area connected to the second exhaust line. A substrate processing apparatus characterized in that for spatially separating the.
제 1 항에 있어서,
상기 포획장치는 파티클 생성을 방지하기 위한 플라즈마 트랩을 포함하는 것을 특징으로 하는 기판 처리 장치.
According to claim 1,
The trapping device comprises a plasma trap for preventing particle generation.
제 1 항 또는 제 2 항에 있어서,
상기 반응 가스는 수소(H2), 질소(N2), 산소(O2), 이산화질소(NO2), 암모니아(NH3), 물(H2O), 오존(O3) 중 적어도 하나인 것을 특징으로 하는 기판 처리 장치.
According to claim 1 or 2,
The reaction gas is at least one of hydrogen (H 2 ), nitrogen (N 2 ), oxygen (O 2 ), nitrogen dioxide (NO 2 ), ammonia (NH 3 ), water (H 2 O), and ozone (O 3 ). A substrate processing apparatus characterized in that.
삭제delete 삭제delete 제 1 항에 있어서,
상기 공정 챔버는 상기 제 1 가스 배출 영역에 위치하도록 형성되는 제 1 배기구, 및 상기 제 2 가스 배출 영역에 위치하도록 형성되는 제 2 배기구를 포함하고,
상기 제 1 배기라인은 상기 제 1 배기구를 통해 상기 제 1 가스 배출 영역에 연결되고,
상기 제 2 배기라인은 상기 제 2 배기구를 통해 상기 제 2 가스 배출 영역에 연결되는 것을 특징으로 하는 기판 처리 장치.
According to claim 1,
The process chamber includes a first exhaust port formed to be positioned in the first gas discharge area, and a second exhaust port formed to be positioned in the second gas exhaust area;
The first exhaust line is connected to the first gas discharge region through the first exhaust port,
The second exhaust line is connected to the second gas discharge area through the second exhaust port.
삭제delete 제 1 항에 있어서,
상기 퍼지 가스 분사부는 상기 소스 가스와 상기 반응 가스의 분사 압력에 비해 더 높은 분사 압력으로 퍼지 가스를 분사하는 것을 특징으로 하는 기판 처리 장치.
According to claim 1,
The purge gas injection unit injects the purge gas at a higher injection pressure than the injection pressures of the source gas and the reaction gas.
삭제delete 제 1 항에 있어서,
상기 공정 챔버는 상기 제 1 가스 배출 영역에 위치하도록 형성되는 제 1 배기구, 및 상기 제 2 가스 배출 영역에 위치하도록 형성되는 제 2 배기구를 포함하고,
상기 제 1 배기라인은 상기 제 1 배기구를 통해 상기 제 1 가스 배출 영역으로부터 소스 가스를 배출시키고,
상기 제 2 배기라인은 상기 제 2 배기구를 통해 상기 제 2 가스 배출 영역으로부터 반응 가스를 배출시키는 것을 특징으로 하는 기판 처리 장치.
According to claim 1,
The process chamber includes a first exhaust port formed to be positioned in the first gas discharge area, and a second exhaust port formed to be positioned in the second gas exhaust area;
The first exhaust line discharges a source gas from the first gas discharge region through the first exhaust port;
The second exhaust line discharges the reaction gas from the second gas discharge region through the second exhaust port.
제 1 항에 있어서,
상기 기판 처리부는,
상기 공정 챔버의 상부를 덮는 챔버 리드;
상기 챔버 리드에 마련되고, 상기 소스 가스 분사 영역에 소스 가스를 분사하는 소스 가스 분사부; 및
상기 챔버 리드에 마련되고, 상기 반응 가스 분사 영역에 반응 가스를 분사하는 반응 가스 분사부를 포함하는 것을 특징으로 하는 기판 처리 장치.
According to claim 1,
The substrate processing unit,
a chamber lid covering an upper portion of the process chamber;
a source gas dispensing unit disposed on the chamber lid and injecting a source gas into the source gas dispensing region; and
and a reactive gas dispensing unit provided on the chamber lid and injecting a reactive gas into the reactive gas dispensing region.
KR1020160009527A 2016-01-26 2016-01-26 Apparatus for processing substrate KR102567720B1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
KR1020160009527A KR102567720B1 (en) 2016-01-26 2016-01-26 Apparatus for processing substrate
PCT/KR2017/000796 WO2017131404A1 (en) 2016-01-26 2017-01-24 Substrate processing apparatus
US16/073,318 US20190035607A1 (en) 2016-01-26 2017-01-24 Substrate processing apparatus
CN201780015704.8A CN108780736B (en) 2016-01-26 2017-01-24 Substrate processing apparatus
JP2018539103A JP7008629B2 (en) 2016-01-26 2017-01-24 Board processing equipment
TW106103028A TWI723125B (en) 2016-01-26 2017-01-25 Apparatus for processing substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020160009527A KR102567720B1 (en) 2016-01-26 2016-01-26 Apparatus for processing substrate

Publications (2)

Publication Number Publication Date
KR20170089288A KR20170089288A (en) 2017-08-03
KR102567720B1 true KR102567720B1 (en) 2023-08-17

Family

ID=59655573

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160009527A KR102567720B1 (en) 2016-01-26 2016-01-26 Apparatus for processing substrate

Country Status (1)

Country Link
KR (1) KR102567720B1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102268279B1 (en) 2018-10-18 2021-06-22 세메스 주식회사 Substrate treatment apparatus, control method therefor and storage medium

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004124193A (en) 2002-10-03 2004-04-22 Tokyo Electron Ltd Treatment apparatus
JP2010056470A (en) 2008-08-29 2010-03-11 Tokyo Electron Ltd Film-forming apparatus and film-forming method
JP2015151564A (en) * 2014-02-13 2015-08-24 東洋製罐グループホールディングス株式会社 Atomic layer deposition film formation apparatus
WO2015134156A1 (en) * 2014-03-06 2015-09-11 Applied Materials, Inc. Plasma foreline thermal reactor system

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100960958B1 (en) * 2007-12-24 2010-06-03 주식회사 케이씨텍 Apparatus for making thin film and method for making thin film
KR101397162B1 (en) * 2012-08-23 2014-05-19 주성엔지니어링(주) Apparatus and method of processing substrate

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004124193A (en) 2002-10-03 2004-04-22 Tokyo Electron Ltd Treatment apparatus
JP2010056470A (en) 2008-08-29 2010-03-11 Tokyo Electron Ltd Film-forming apparatus and film-forming method
JP2015151564A (en) * 2014-02-13 2015-08-24 東洋製罐グループホールディングス株式会社 Atomic layer deposition film formation apparatus
WO2015134156A1 (en) * 2014-03-06 2015-09-11 Applied Materials, Inc. Plasma foreline thermal reactor system

Also Published As

Publication number Publication date
KR20170089288A (en) 2017-08-03

Similar Documents

Publication Publication Date Title
KR101397162B1 (en) Apparatus and method of processing substrate
KR101503512B1 (en) Substrate processing apparatus and substrate processing method
KR102014877B1 (en) Substrate processing apparatus and substrate processing method
JP7008629B2 (en) Board processing equipment
KR20170022459A (en) Substrate processing apparatus andsubstrate processing method
KR101954758B1 (en) Substrate processing apparatus and substrate processing method
KR101835755B1 (en) Manufacturing method for thin film and substrate process apparatus
KR102567720B1 (en) Apparatus for processing substrate
KR101929481B1 (en) Substrate processing apparatus and substrate processing method
KR101931655B1 (en) Apparatus for processing substrate
KR101561675B1 (en) Substrate processing apparatus
KR101863652B1 (en) Apparatus and method of processing substrate
KR101984524B1 (en) Apparatus of processing substrate
KR20140032466A (en) Apparatus for processing substrate
KR102046391B1 (en) Substrate processing apparatus and substrate processing method
KR101977917B1 (en) Apparatus and method of processing substrate
KR102661733B1 (en) Apparatus for processing substrate using multiple plasma
KR20190051929A (en) Apparatus of processing substrate
KR102076512B1 (en) Substrate processing method
KR102405776B1 (en) Substrate processing apparatus andsubstrate processing method
KR20130141409A (en) Substrate processing apparatus and substrate processing method
KR101982254B1 (en) Apparatus for processing substrate
KR102053303B1 (en) Apparatus for processing substrate
KR102254808B1 (en) Apparatus for processing substrate
KR102143146B1 (en) Apparatus for processing substrate

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right