KR101006422B1 - 객체 표면의 특성을 결정하기 위한 간섭계 - Google Patents

객체 표면의 특성을 결정하기 위한 간섭계 Download PDF

Info

Publication number
KR101006422B1
KR101006422B1 KR1020077019011A KR20077019011A KR101006422B1 KR 101006422 B1 KR101006422 B1 KR 101006422B1 KR 1020077019011 A KR1020077019011 A KR 1020077019011A KR 20077019011 A KR20077019011 A KR 20077019011A KR 101006422 B1 KR101006422 B1 KR 101006422B1
Authority
KR
South Korea
Prior art keywords
delete delete
test
light
detector
information
Prior art date
Application number
KR1020077019011A
Other languages
English (en)
Other versions
KR20070104615A (ko
Inventor
레가 새비어 콜론나 드
그루트 피터 드
Original Assignee
지고 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 지고 코포레이션 filed Critical 지고 코포레이션
Publication of KR20070104615A publication Critical patent/KR20070104615A/ko
Application granted granted Critical
Publication of KR101006422B1 publication Critical patent/KR101006422B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/021Interferometers using holographic techniques
    • G01B9/023Interferometers using holographic techniques for contour producing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0675Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating using interferometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • G01B11/2441Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures using interferometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02001Interferometers characterised by controlling or generating intrinsic radiation properties
    • G01B9/02002Interferometers characterised by controlling or generating intrinsic radiation properties using two or more frequencies
    • G01B9/02004Interferometers characterised by controlling or generating intrinsic radiation properties using two or more frequencies using frequency scans
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02015Interferometers characterised by the beam path configuration
    • G01B9/02027Two or more interferometric channels or interferometers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02041Interferometers characterised by particular imaging or detection techniques
    • G01B9/02043Imaging of the Fourier or pupil or back focal plane, i.e. angle resolved imaging
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02041Interferometers characterised by particular imaging or detection techniques
    • G01B9/02044Imaging in the frequency domain, e.g. by using a spectrometer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02055Reduction or prevention of errors; Testing; Calibration
    • G01B9/02056Passive reduction of errors
    • G01B9/02057Passive reduction of errors by using common path configuration, i.e. reference and object path almost entirely overlapping
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02055Reduction or prevention of errors; Testing; Calibration
    • G01B9/0207Error reduction by correction of the measurement signal based on independently determined error sources, e.g. using a reference interferometer
    • G01B9/02072Error reduction by correction of the measurement signal based on independently determined error sources, e.g. using a reference interferometer by calibration or testing of interferometer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02055Reduction or prevention of errors; Testing; Calibration
    • G01B9/0207Error reduction by correction of the measurement signal based on independently determined error sources, e.g. using a reference interferometer
    • G01B9/02072Error reduction by correction of the measurement signal based on independently determined error sources, e.g. using a reference interferometer by calibration or testing of interferometer
    • G01B9/02074Error reduction by correction of the measurement signal based on independently determined error sources, e.g. using a reference interferometer by calibration or testing of interferometer of the detector
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02083Interferometers characterised by particular signal processing and presentation
    • G01B9/02084Processing in the Fourier or frequency domain when not imaged in the frequency domain
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02083Interferometers characterised by particular signal processing and presentation
    • G01B9/02088Matching signals with a database
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/0209Low-coherence interferometers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2290/00Aspects of interferometers not specifically covered by any group under G01B9/02
    • G01B2290/45Multiple detectors for detecting interferometer signals
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2290/00Aspects of interferometers not specifically covered by any group under G01B9/02
    • G01B2290/70Using polarization in the interferometer

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Signal Processing (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Mathematical Physics (AREA)
  • Databases & Information Systems (AREA)
  • Instruments For Measurement Of Length By Optical Means (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

(ⅰ) 테스트 전자기 방사를 테스트 표면(124)으로 향하게 하고 참조 전자기 방사를 참조 표면(122)으로 향하게 하며 이어서 전자기 방사를 결합하여 간섭 패턴을 형성하도록 구성된 간섭계; (ⅱ) 다중 소자 검출기(multi-element detector)(134); 및 (ⅲ) 상기 간섭 패턴을 상기 다중 소자 검출기(134)에 투영하여 상기 다중 소자 검출기(134)의 서로 다른 소자들이 상기 테스트 전자기 방사에 의해 상기 테스트 표면(124)의 서로 다른 조명 각(illumination angles)에 대응하도록 구성된 하나 이상의 광학 기기를 포함하는 시스템(100)에 대해 개시되어 있다. 상기 검출기 소자에 의해 수행된 측정은 상기 테스트 표면(124)에 대한 엘립소메트리/반사측정 데이터를 제공한다. 개시된 시스템(100)은 또한 상기 다중 소자 검출기(134)의 서로 다른 영역이 상기 테스트 표면(124)의 서로 다른 위치에 대응하는 다른 모드(예를 들어, 프로파일링 모드)에서 동작할 수 있다.
전자기 방사, 테스트 표면, 참조 표면, 다중 소자 검출기

Description

객체 표면의 특성을 결정하기 위한 간섭계 {INTERFEROMETER FOR DETERMINING CHARACTERISTICS OF AN OBJECT SURFACE}
본 발명은 간섭계에 관한 것이다.
간섭 기술은 객체의 표면의 윤곽(profile)을 측정하는 데 흔히 사용된다. 이렇게 하기 위해, 간섭계는 관심 대상의 표면으로부터 반사된 측정 파면(measurment wavefront)을 참조 표면으로부터 반사된 참조 파면과 결합하여 인터페로그램(interferogram)을 생성한다. 이 인터페로그램의 프린지(fringe)는 관심 대상의 표면과 참조 표면 사이의 공간 변동(spatial variation)을 나타낸다.
스캐닝 간섭계는 상기 간섭 파면들의 가간섭성 길이(coherence length)와 비교될만한 범위 또는 그 보다 더 넓은 범위에 걸쳐 상기 간섭계의 참조 및 측정 레그(reference and measurement legs) 사이의 광학 경로 길이 차(OPLD)를 스캐닝하여, 상기 인터페로그램을 측정하기 위해 사용되는 각각의 카메라 픽셀에 대한 스캐닝 간섭 신호를 생성한다. 예를 들어 스캐닝 백색광 간섭(scanning white light interferometry: SWLI)라고 일컬어지는 백색광을 사용하여 한정된 가간섭성 길이를 생성할 수 있다. 통상적인 스캐닝 백색광 간섭 신호는 제로 광학 경로 차(OPD) 위치의 근처에 국한된 약간의 프린지이다. 상기 신호는 통상적으로 벨 모양의 프린 지-콘트라스트 엔벨로프(bell-shaped fringe-contrast envelope)를 갖는 사인파형 반송 변조("프린지(fringes)")에 의해 특징지어진다. 이하의 SWLI 도량형(metrology)에 대한 종래의 개념은 프린지의 국지화(localization)를 이용하여 표면 윤곽을 측정하는 것이다.
SWLI 처리 기술로는 2가지의 주요 트랜드가 있다. 첫번째 방식은 엔벨로프의 피크 또는 중심을 위치시키는 것인데, 이 위치는 하나의 빔이 객체 표면으로부터 반사하는 2-빔 간섭계의 제로 광학 경로 차(OPD)에 대응하는 것으로 가정한다. 두번째 방식은 신호를 주파수 도메인으로 변환하여 위상의 변화 레이트를 파장을 이용하여 계산하는 것인데, 필수적인 선형 슬로프(essentially linear slope)가 객체 위치에 정비례하는 것으로 가정한다. 예를 들어, Peter de Groot에게 허여된 미국특허 제5,398,113호를 참조하라. 후자의 방식을 주파수 도메인 분석(Frequency Domain Analysis: FDA)이라 한다.
스캐닝 간섭계를 사용하여 복합 표면 구조를 갖는 객체의 표면 지형 및/또는 그외 특성, 예를 들어 박막(들), 상이한 재료의 불연속 구조, 또는 간섭 현미경의 광학 분해능에 의해 재결정되는 불연속 구조를 측정할 수 있다. 이러한 측정은 평평한 패널 디스플레이 구성요소, 반도체 웨이퍼 도량형, 및 본래의 박막 및 다른 재료 분석의 특성과 관련되어 있다. 예를 들어, Peter de Groot가 출원하여 2004년 9월 30일 공개되고 발명의 명칭이 "Profiling Complex Surface Structures USing Scanning Interferometry"인 미국특허공개 N0.US-2004-0189999-A1, 및 Peter de Groot가 출원하여 2004년 5월 6일에 공개되고 발명의 명칭이 "Interferometry Method for Ellipsometry, Reflectometry and Scatterometry Measurements, Including Characterization of Thin Film Structures"인 N0.US-2004-0085544-A1를 참조하라. 상기 두 문헌의 내용은 본 발명에 원용된다.
객체에 관한 정보를 광학적으로 결정하는 그외 방법으로는 엘립소메트리 및 반사측정이 있다. 엘립소메트리는 빗각, 예를 들어 60°, 때로는 가변 각으로 또는 복수의 파장으로 조명될 때 표면의 복소 반사율(complex reflectivity)을 결정한다. 종래의 엘립소메터에서 쉽게 달성할 수 있는 것보다 더 큰 분해능을 달성하기 위해, 마이크로엘립소메터는 동공 면(pupil plane)으로 알려져 있기도 한 대물렌즈의 후방 초점면(back focal plane)의 위상 및/또는 세기 분포를 측정하며, 여기서 다양한 조명 각도가 필드 위치에 매핑된다. 이러한 기기는 결정학(crystallography) 및 광물학(mineralogy)과 역사적으로 연결되어 있고, 교차된 편광기 및 버트랜드 렌즈(Bertrand lens)를 사용하여 동공 면 복굴절 재료(pupil plane birefringent materials)를 분석하는, 종래의 편광 현미경 또는 편광경(conoscopes)을 현대화 한 것이다.
박막 특성에 사용되는 종래의 기술은 알려지지 않은 광학 인터페이스의 복소 굴절률이 그 고유의 특성 및 반사율을 측정하는 데 사용되는 광의 3가지 특성: 파장, 입사각 및 편광 상태에 좌우된다는 것에 기초한다. 실제로, 특성을 측정하는 장치는 이러한 파라미터들이 기지의 범위에 걸쳐 변화하여 생기는 반사율 변동을 기록한다. 그런 다음, 측정된 반사율 데이터와 광학 구조의 모델로부터 유도된 반사율 함수 사이의 차를 최소화함으로써 최소 제곱 적합도(least-square fit)와 같은 최적화 과정을 사용하여 알려지지 않은 파라미터의 추정치를 얻는다.
하나 이상의 실시예에서, 파장의 소정 범위에 걸쳐 테스트 표면으로부터 각도 상으로 분석된 간섭 신호(angularly resolved interference signals)를 생성하는 간섭계 방법 및 장치에 대해 개시한다. 상기 정보는 수학적으로 또는 하드웨어적으로 추출될 수 있는 각각의 파장과 관련되어 있다. 또한, 상기 테스트 표면으로부터 상기 각도상으로 분석된 간섭 신호를 얻기 위한 광학 하드웨어는 종래의 간섭 표면 프로파일링과 같은, 다른 표면 특성화 작업에 유용한 광학 하드웨어와 교환 가능하다. 따라서, 각도, 파장, 및 편광의 범위에 대해서 테스트 표면의 복소 반사율 정보를 제공하는 엘립소메트리 모드, 및 테스트 표면 위치의 소정 범위에 걸쳐 테스트 표면에 관한 정보를 제공하는 프로파일링 모드에서 동작할 수 있는 간섭계 시스템에 대해 개시한다.
본 발명의 다양한 관점 및 특징에 대해 요약한다.
일반적으로, 하나의 관점에 따르면, 상기 시스템은 (ⅰ)테스트 전자기 방사를 테스트 표면으로 향하게 하고 참조 전자기 방사를 참조 표면으로 향하게 하며 이어서 공통 소스(common source)로부터 유도된 전자기 방사를 결합하여 간섭 패턴을 형성하도록 구성된 간섭계; (ⅱ) 다중 소자 검출기(multi-element detector); 및 (ⅲ) 상기 간섭 패턴을 상기 다중 소자 검출기에 투영하여 상기 다중 소자 검출기의 서로 다른 소자들이 상기 전자기 방사에 의해 상기 테스트 표면의 서로 다른 조명 각(illumination angles)에 대응하도록 구성된 하나 이상의 광학 기기를 포함한다.
시스템에 대한 실시예는 이하의 특징 중 임의의 것을 포함할 수 있다.
상기 간섭계는 동공 면(pupil plane)을 갖는 간섭 대물렌즈(interference objective)를 포함한다. 또한, 상기 하나 이상의 광학 기기는 상기 동공 면에서의 간섭 패턴을 상기 다중 소자 검출기에 투영한다. 또한, 상기 간섭계는 상기 공통 소스로부터 방출된 EM 방사를 상기 간섭 대물렌즈의 동공 면에 투영한다. 예에 의해, 상기 간섭 대물렌즈는 미라우 대물렌즈(Mirau objective) 또는 마이켈슨 대물렌즈(Michelson objective)이다.
상기 하나 이상의 광학 기기는, 상기 테스트 표면을 상기 다중 소자 검출기에 투영하거나 상기 간섭 패턴을 상기 검출기에 투영하여 상기 검출기의 서로 다른 소자들이 상기 테스트 전자기 방사에 의해 상기 테스트 표면의 서로 다른 조명 각도에 대응하도록 선택적으로 조정되어 있다. 바꾸어 말하면, 시스템 내의 검출기는 테스트 표면의 광학 윤곽을 기록하는 것과 테스트 표면에 관한 각도상으로 분석된 간섭 데이터를 기록하는 것을 교대로 할 수 있다.
몇몇 실시예에서, 상기 테스트 EM 방사는 상기 테스트 표면으로부터 반사될 수 있다. 대안으로, 다른 실시예에서, 상기 테스트 EM 방사는 상기 테스트 표면을 통해 투과될 수 있다.
상기 간섭계는, 상기 테스트 EM 방사와 상기 기준 EM 방사가 광학적 간섭 패턴을 형성할 때 상기 테스트 EM 방사와 상기 참조 EM 방사 사이의 상대적 광학 경로 길이를 조정하기 위한 스캐닝 스테이지(scanning stage)를 포함한다.
상기 시스템은 공통 소스를 더 포함한다. 예를 들어, 상기 공통 소스는 광대역 소스일 수 있다. 상기 간섭계는, 상기 테스트 EM 방사와 상기 참조 EM 방사가 광학적 간섭 패턴을 형성할 때 상기 테스트 EM 방사와 상기 참조 EM 방사 사이의 상대적 광학 경로 길이를 조정하기 위한 스캐닝 스테이지를 포함하며, 상기 스캐닝 스테이지는 상기 공통 소스에 대한 가간섭성 길이(coherence length)보다 더 넓은 범위에서 광학 경로 길이를 가변시키도록 구성되어 있다.
상기 간섭계는 상기 다중 소자 검출기에 의해 측정된 간섭 패턴의 편광량을 조정 가능하게 제어하도록 위치한 하나 이상의 편광 소자를 더 포함한다.
상기 시스템은 상기 다중 소자 검출기에 결합된 전자 프로세서를 더 포함하며, 상기 전자 프로세서는 상기 간섭 패턴에 관한 정보를 분석하여 상기 테스트 표면을 갖는 테스트 객체에 관한 각도상으로 분석된 정보(angularly resolved information)를 결정하도록 구성되어 있다. 예를 들어, 상기 테스트 객체는 상기 테스트 표면에 인접하는 하나 이상의 층을 가진다.
일반적으로, 다른 관점에 따르면, 장치는, (ⅰ) 테스트 광을 테스트 표면으로 향하게 하고 이어서 상기 테스트 광을 참조 광과 결합하여 간섭 패턴을 형성하도록 구성되며, 상기 테스트 광 및 상기 참조 광은 공통 소스로부터 유도되는, 간섭계; (ⅱ) 전자 검출기; 및 (ⅲ) 상기 결합된 광의 적어도 일부를 상기 전자 검출기로 향하게 하여 상기 전자 검출기의 서로 다른 영역이 상기 테스트 광에 의해 상기 테스트 표면의 서로 다른 조명 영역에 대응하도록 구성된 하나 이상의 광학 기기를 포함한다.
상기 장치에 대한 실시예는 이하의 특징 중 임의의 것을 포함할 수 있다.
상기 간섭계는 상기 공통 소스로부터 유도된 입력 광을 상기 테스트 광 및 상기 참조 광으로 분리하도록 구성된 빔 스플리터, 및 상기 테스트 광과 결합하기 전에 상기 참조 광을 반사하도록 위치한 참조 표면을 포함한다. 상기 테스트 광은 상기 테스트 표면을 반사하도록 구성되어 있고, 상기 간섭계 내의 빔 스플리터는 상기 테스트 광 및 상기 참조 광이 테스트 표면 및 참조 표면으로부터 각각 반사된 후 상기 테스트 광과 상기 참조 광을 결합하도록 위치한다.
상기 간섭계는 상기 테스트 광을 상기 테스트 표면에 초점을 맞추기 위한 렌즈를 더 포함한다. 상기 렌즈는 동공 면을 한정하고 상기 하나 이상의 광학 기기는 상기 동공 면을 상기 전자 검출기에 투영한다. 상기 공통 소스는 공간적으로 연장되며, 상기 입력 광은 상기 공통 소스로부터 상기 동공 면에 투영한다. 상기 테스트 광의 공간 범위를 상기 테스트 표면 상에 한정하도록 위치하는 시야 조리개(field stop)를 더 포함한다.
상기 간섭계는 동공 면을 갖는 간섭 대물렌즈를 포함한다. 예를 들어, 상기 간섭 대물렌즈는 미라우 대물렌즈이거나 마이켈슨 대물렌즈일 수 있다. 상기 하나 이상의 광학 기기는 상기 동공 면에서의 간섭 패턴을 다중-소자 검출기에 투영할 수 있다.
상기 전자 검출기는 상기 테스트 광에 의해 상기 테스트 표면의 서로 다른 조명 각도에 대응하는 서로 검출 소자들을 갖는 복수의 검출기 소자를 포함한다.
상기 공통 소스로부터 유도된 상기 테스트 광 및 상기 참조 광은 전자기 스펙트럼의 가시 부분 내에 있다. 대안으로, 상기 테스트 광 및 상기 참조 광은 자외선, 아적외선, 또는 전자기 스펙트럼의 적외선 부분일 수 있다.
상기 테스트 광은 상기 테스트 표면으로부터 반사되도록 구성되어 있다. 대안으로, 몇몇 실시예에서, 상기 테스트 광은 상기 테스트 표면을 통해 투광하도록 구성되어 있다.
상기 장치는 상기 테스트 광과 상기 참조 광이 상기 간섭 패턴을 형성할 때 상기 테스트 광 및 상기 참조 광 사이의 상대적 광학 길이를 조정하도록 구성된 변환 스테이지를 더 포함한다. 예를 들어, 상기 장치는 상기 테스트 표면을 갖는 테스트 객체를 지지하기 위한 베이스를 더 포함하며, 상기 변환 스테이지는 (간섭계 내의 간섭 대물렌즈와 같은) 상기 간섭계의 적어도 일부를 상기 베이스에 대해 이동시키도록 구성되어 있다.
상기 장치는 공통 소스를 더 포함한다. 상기 변환 스테이지는 상기 공통 소스에 대한 가간섭성 길이보다 더 넓은 범위에서 광학 경로 길이를 가변시키도록 구성되어 있다. 상기 공통 소스는 반치폭(full width half maximum)에서 50nm 이상(또는 100nm 이상, 또는 200nm 훨씬 이상) 펼쳐지는(spanning) 광대역 소스일 수 있다.
소정의 실시예에서, 상기 공통 소스는 파장가변형 광원(tunable source)일 수 있다. 예를 들어, 상기 장치는 상기 전자 검출기와 상기 파장가변형 광원에 결합되어 있고, 상기 파장가변형 광원의 서로 다른 파장 설정을 위해 상기 전자 검출기에 의해 기록된 이미지를 처리하도록 구성된 전자 프로세서를 더 포함한다. 이러한 경우, 상기 간섭계는 상기 참조 광을 반사하도록 위치하는 참조 표면을 포함하며, 상기 참조 표면은 또한 상기 간섭 패턴에서의 상기 테스트 광으로 비제로 광학 경로 길이 차(non-zero optical path length difference)를 생성하도록 위치한다.
상기 간섭계는 상기 전자 검출기에 의해 측정된 간섭 패턴의 편광량을 조정 가능하도록 위치한 하나 이상의 편광 소자를 더 포함한다. 예를 들어, 상기 하나 이상의 편광 소자는 상기 테스트 광 및 상기 참조 광이 유도되는 상기 공통 소스로부터 입력 광을 수광하도록 위치한 제1 편광기를 포함한다. 하나의 경우, 예를 들어, 상기 하나 이상의 편광 소자는 상기 결합된 광이 상기 전자 검출기에 도달하기 전에 상기 결합된 광을 수광하도록 위치한 제2 편광기를 포함한다. 다른 경우, 예를 들어, 상기 제1 편광기는 또한 상기 결합된 광이 상기 검출기에 도달하기 전에 상기 결합된 광을 수광하도록 위치한다. 또한, 상기 하나 이상의 편광 소자는, 상기 테스트 광 및 상기 참조 광이 유도되는 상기 공통 소자로부터의 입력 광 및 상기 결합된 광이 상기 전자 검출기에 도달하기 전에 상기 결합된 광을 수신하도록 위치한 1/4 위상판(quarter-wave plate)을 포함한다.
상기 간섭계는 상기 테스트 광을 상기 테스트 표면에 초점을 맞추는 렌즈를 포함하며, 상기 하나 이상의 편광 소자는, 상기 테스트 광을 상기 렌즈에 의해 초점이 맞춰지기 전에 선형으로 편광되게 하도록 위치한 제1 편광기를 포함한다. 예를 들어, 상기 제1 편광기는, 상기 테스트 광이 s-편광과 p-편광 사이에서 방위각으로 가변하는 상기 테스트 표면에서 편광을 갖게 한다. 상기 제1 편광기는 또한 상기 결합된 광이 상기 전자 검출기에서 선형으로 편광되게 한다. 대안으로, 상기 하나 이상의 광학 기기는 상기 결합된 광이 상기 검출기에서 선형으로 편광되게 하도록 위치한 제2 편광기를 더 포함한다. 예를 들어, 상기 제1 편광기 및 상기 제2 편광기는 공통의 방향을 갖는다.
상기 전자 검출기 상의 서로 다른 위치는 상기 검출기에서의 s-편광 및 p-편광에 대한 프레넬 반사 계수(Fresnel reflection coefficients)의 서로 다른 조합에 대응한다. s-편광 반사 계수와 -편광 반사 계수의 상대적 조합은 상기 전자 검출기 상의 방위각 좌표(azimuthal coordinate)에 좌우되며, 상기 프레넬 반사 계수의 각도 의존성(angle dependence)은 방사 좌표(radial coordinate)에 의존한다.
상기 간섭계는, 제1 방향을 따라 상기 공통 소스로부터 입력 광을 수신하고 상기 제1 방향과는 다른 제2 방향을 따라 상기 검출기쪽으로 상기 결합된 광을 향하도록 위치한 빔 스플리터를 포함한다.
상기 장치는 상기 전자 검출기에 결합된 전자 프로세서를 더 포함하며, 상기 전자 프로세서는 상기 전자 검출기에 의해 측정된 정보를 처리하여 상기 테스트 표면을 갖는 테스트 객체에 관한 정보를 결정한다. 예를 들어, 상기 테스트 객체는 기판 위에 하나 이상의 층을 포함한다. 소정의 실시예에서, 상기 전자 프로세서는 상기 검출기 측정으로부터 상기 테스트 표면에 관한 각도상으로 분석된 반사율 정보를 추출하고, 상기 각도상으로 분석된 정보에 기초하여 상기 테스트 객체에 관한 정보를 결정한다. 예를 들어, 상기 테스트 객체에 관한 정보는 상기 테스트 객체의 일부에 대한 굴절률 추정치 및/또는 상기 테스트 객체의 일부에 대한 두께 추정치를 포함한다. 상기 전자 프로세서는 상기 간섭 세기 신호 각각의 서브세트를 선택하도록 구성되고, 상기 서브세트는 상기 테스트 객체의 선택된 인터페이스에 대응하며, 상기 전자 프로세서는 상기 간섭 세기 신호의 서브세트를 처리하여 상기 선택된 인터페스에 대한 상기 테스트 객체에 관한 정보를 결정한다. 예를 들어, 상기 모델은 상기 측정된 정보에 대한 추정치를 상기 테스트 객체에 대한 하나 이상의 파라미터의 함수로서 제공하며, 상기 비교는 상기 하나 이상의 파라미터에 대한 값을 선택하여 상기 측정된 정보와 상기 모델에 의해 제공된 정보 사이의 적합도(fit)를 최적화한다.
상기 장치는 상기 테스트 광과 상기 참조 광이 간섭 패턴을 형성할 때 상기 테스트 광과 상기 참조 광 사이의 상대적 광학 경로를 조정하도록 구성된 변환 스테이지를 더 포함하며, 상기 전자 프로세서는, 상기 전자 검출기에 걸쳐 복수의 위치 각각에서 측정되고 상기 변환 스테이지를 스캐닝하여 생성된 간섭 세기 신호를 분석하도록 구성되어 있다. 또한, 상기 전자 프로세서는, 상기 전자 검출기 상의 서로 다른 위치에서의 상기 간섭 세기 신호의 주파수에 기초하여 상기 전자 검출기의 서로 다른 영역과 상기 테스트 광에 의한 상기 테스트 표면의 서로 다른 조명 각도 사이의 일치(correspondence)를 결정하도록 구성될 수 있다.
또한, 상기 전자 프로세서는, 상기 전자 검출기에 걸쳐 측정된 상기 간섭 세기 신호에 기초하여 상기 테스트 표면에 관한, 각도상으로 분석되고 파장-분석된 정보(angularly resolved and wavelength-resolved information)를 추출하도록 구성될 수 있다. 예를 들어, 상기 전자 프로세서는 상기 전자 검출기의 서로 위치에서의 간섭 신호를 주파수 도메인으로 변환하여 상기 각도상으로 분석되고 파장-분석된 정보를 추출할 수 있다. 상기 간섭계는 상기 전자 검출기에 의해 측정된 상기 간섭 패턴의 편광량(polarization extent)을 조정하도록 위치하는 하나 이상의 편광 소자를 포함할 수 있다. 그 결과, 상기 전자 프로세서는 상기 전자 검출기에 걸쳐 측정된 상기 간섭 세기 신호에 기초하여 상기 테스트 표면에 관한 각도상으로 분석되고 파장-분석되고 편광-분석된 정보(angularly resolved, wavelength-resolved, and polarization-resolved information)를 추출하도록 구성될 수 있다. 예를 들어, 상기 각도상으로 분석되고 파장-분석되고 편광-분석된 정보는 상기 테스트 표면에 관한 복합 반사율 정보(complex reflectivity information)일 수 있다.
상기 전자 프로세서는 상기 간섭 세기 신호 각각의 서브세트를 선택하도록 구성되고, 상기 서브세트는 상기 테스트 객체의 선택된 인터페이스에 대응하며, 상기 전자 프로세서는 상기 간섭 세기 신호의 서브세트를 처리하여 상기 선택된 인터페이스에 대한 상기 테스트 객체에 관한 정보를 결정할 수 있다.
상기 전자 프로세서는 상기 간섭계의 광학적 특성에 관한 캘리브레이션 정보를 저장하고 상기 캘리브레이션 정보와 상기 전자 검출기에 의해 측정된 정보를 사용하여 상기 테스트 객체에 관한 정보를 결정할 수 있다.
상기 장치는, 상기 결합된 광이 상기 전자 검출기로 향하도록 되어 상기 전자 검출기의 서로 다른 영역이 상기 테스트 광에 의해 상기 테스트 표면의 서로 다른 조명 각도에 대응하도록 되어 있는 제1 동작 모드 및 프로파일링 동작 모드(profiling mode of operation)가 가능하도록 하기 위해, 상기 전자 검출기의 서로 다른 영역이 상기 테스트 광에 의해 조명된 상기 테스트 표면의 서로 다른 영역에 대응하도록 되어 있는 제2 동작 모드를 조정 가능하게 전환하도록 구성될 수 있다.
예를 들어, 상기 장치는 상기 제1 동작 모드와 상기 제2 동작 모드 사이를 전환하기 위해 상기 하나 이상의 광학 기기에 대해 상기 전자 검출기의 위치를 조정하도록 구성된 스테이지를 더 포함한다. 상기 장치는 또한 검출기 스테이지에 결합되어 상기 검출기 스테이지가 상기 제1 동작 모드와 상기 제2 동작 모드 사이를 조정 가능하게 전환하게 하는 전자 제어기를 더 포함할 수 있다.
다른 예에서, 상기 하나 이상의 광학 기기는 상기 제1 동작 모드에서 동작하는 하나 이상의 광학 기기의 제1 세트 및 상기 제2 동작 모드에서 동작하는 하나 이상의 광학 기기의 제2 세트를 포함할 수 있다. 상기 장치는 상기 제1 세트 및 상기 제2 세트를 지지하며 상기 제1 동작 모드 및 상기 제2 동작 모드 사이를 전환시키기 위해 상기 전자 검출기로 향해지는 상기 결합된 광의 경로에 상기 제1 세트 및 상기 제2 세트 중 하나를 조정 가능하게 위치시키고 다른 하나는 조정 가능하게 위치시키지 않도록 구성된 다중 위치 광학 기기 홀더(multi-position optics holder)를 더 포함할 수 있다. 상기 다중 위치 광학 기기 홀더에는 모터가 장착될 수 있고, 상기 장치는, 상기 모터가 장착된 다중 위치 광학 기기 홀더에 결합되어 상기 다중 위치 광학 기기 홀더가 상기 제1 동작 모드와 상기 제2 동작 모드 사이를 선택적으로 전환하게 하는 전자 제어기를 더 포함할 수 있다.
또 다른 실시예에서, 상기 장치는 하나 이상의 광학 기기의 제2 세트, 상기 결합된 광의 제1 부분을 상기 하나 이상의 광학 기기의 제1 세트로 향하게 하고 상기 결합된 광의 제2 부분을 상기 하나 이상의 광학 기기의 제2 세트로 향하게 하는 빔 스플리터, 및 제2 전자 검출기를 더 포함할 수 있다. 상기 하나 이상의 광학 기기의 제2 세트는, 상기 결합된 광의 제2 부분을 상기 제2 전자 검출기로 향하게 하여 상기 제2 전자 검출기의 서로 다른 영역이 상기 제2 모드에 대응하는, 상기 테스트 광에 의해 조명된 상기 테스트 표면의 서로 다른 영역에 대응하도록 구성될 수 있다.
상기 장치는 상기 전자 검출기에 결합되어 있고 각각의 동작 모드에서 상기 전자 검출기에 의해 측정된 정보를 처리하여 상기 테스트 표면을 갖는 테스트 객체에 관한 정보를 결정하도록 구성된 전자 프로세서를 더 포함할 수 있다. 상기 전자 프로세서는 하나의 동작 모드에서 얻은 정보를 사용하여 다른 동작 모드에서 동작할 때 상기 테스트 객체에 관한 정보를 추가로 결정하는 것을 보조하도록 구성되어 있다.
예를 들어, 상기 제1 동작 모드에서 얻은 정보는 상기 테스트 객체의 일부의 굴절률에 대응하고, 상기 전자 프로세서는, 상기 굴절률에 기초하여 반사시 위상 변화(phase change on reflection: PCOR)를 결정하여 제2 동작 모드에서 동작할 때 토포그래피 정보(topography information)를 결정하는 것을 보조하도록 구성될 수 있다. 다른 예에서, 상기 제2 동작 모드에서 얻은 정보는 상기 테스트 표면의 표면 거칠기(roughness)에 대한 추정치에 대응하고, 상기 전자 프로세서는, 상기 제1 동작 모드에서 상기 전자 검출기에 의해 측정된 정보를 처리하도록 상기 표면 거칠기 추정치를 사용하여 상기 테스트 객체에 관한 정보를 결정하도록 구성될 수 있다.
상기 간섭계는 복수의 대물렌즈를 지지하고 상기 공통 소스로부터의 입력 광의 경로에 선택된 대물렌즈를 위치시키도록 구성된 다중 위치 탑재부(multi-position mount)(예를 들어, 터릿)를 포함하며, 상기 복수의 대물렌즈는 하나 이상의 간섭 대물렌즈를 포함할 수 있다. 상기 다중 위치 탑재부에는 모터가 장착되어 있고, 상기 장치는, 상기 다중 위치 탑재부가 상기 대물렌즈들 사이를 선택적으로 전환할 수 있도록 상기 다중 위치 탑재부에 결합된 전자 제어기를 더 포함할 수 있다. 예를 들어, 상기 복수의 대물렌즈는 2개의 서로 다른 간섭 대물렌즈를 포함하며, 이 중 하나만이 편광 광학 기기(polarization optic)를 포함할 수 있다. 상기 복수의 대물렌즈는 또한, 상기 입력광의 경로에 위치할 때 상기 장치로 하여금 비간섭 현미경 모드에서 작동할 수 있게 하는 비간섭 대물렌즈를 포함할 수 있다.
일반적으로, 다른 관점에 따르면, 간섭 방법은, (ⅰ) 조명 각도의 소정 범위에 걸쳐 테스트 광을 테스트 표면으로 향하게 하는 단계; (ⅱ) 이어서 상기 테스트 광을 참조 광과 결합하여 간섭 패턴을 형성하되, 상기 테스트 광 및 상기 참조 광은 공통 소스로부터 유도되는, 상기 간섭 패턴을 형성하는 단계; 및 (ⅲ) 상기 결합된 광의 적어도 일부를 다중 소자 검출기로 향하게 하여 상기 다중 소자 검출기의 서로 다른 소자가 상기 테스트 광에 의해 상기 테스트 표면의 서로 다른 조명 각도에 대응하도록 하는 단계를 포함한다.
간섭 방법에 대한 실시예는 이하의 특징 중 임의의 것을 포함할 수 있다.
상기 테스트 광에 대한 동공 면을 상기 다중 소자 검출기에 투영함으로써 상기 결합된 광을 상기 다중 소자 검출기로 향하게 할 수 있다.
상기 방법은 상기 다중 소자 검출기의 복수의 소자 각각에서의 간섭 신호를 상기 테스트 광과 상기 참조 광 사이의 광학 경로 길이를 가변시키는 함수로서 측정하는 단계를 더 포함한다.
상기 테스트 광, 상기 참조 광, 및 상기 결합된 광은 선택적으로 편광될 수 있다.
상기 방법은 서로 다른 검출기 소자에서 측정된 신호에 기초하여 상기 테스트 표면을 갖는 테스트 객체에 관한 정보를 결정하는 단계를 더 포함할 수 있다. 예를 들어, 상기 테스트 객체에 관한 정보를 결정하는 단계는 서로 다른 조명 각도 및 파장에 관하여 상기 테스트 표면에 대한 반사율을 결정하는 단계를 포함할 수 있다. 상기 반사율은 선택된 편광 상태에 있어서, 서로 다른 조명 각도 및 파장에 관하여 복소 반사율일 수 있다. 상기 테스트 객체에 관한 정보를 결정하는 단계는 상기 측정된 반사율과 상기 테스트 객체의 모델에 기초한 반사율에 대한 추정치를 비교하는 단계를 더 포함할 수 있다.
캘리브레이션 단계의 일부로서, 상기 간섭 방법은 서로 다른 검출기 소자에서의 간섭 신호의 주파수에 기초하여 상기 테스트 광에 의한 상기 테스트 표면의 서로 다른 조명 각과 상기 다중 소자 검출기의 서로 다른 소자 사이의 관계를 결정하는 단계를 더 포함할 수 있다. 또한, 상기 방법은 서로 다른 검출기 소자에서의 간섭 신호의 주파수에 기초하여 상기 다중 소자 검출기 상의 상기 결합된 광에 대한 광학 축의 위치를 결정하는 단계를 더 포함할 수 있다. 또한, 상기 방법은 서로 다른 검출기 소자에서의 간섭 신호의 주파수에 기초하여 상기 광학 경로 길이 차가 가변하는 레이트를 결정하는 단계를 더 포함할 수 있다.
추가의 캘리브레이션의 일부로서, 상기 테스트 표면은 기지의 반사 특성을 가지며, 상기 간섭 방법은, 상기 테스트 광을 향하게 하는 데 사용되는 간섭계의 반사 파라미터를 측정하고, 서로 다른 검출기에서 측정된 신호 및 상기 테스트 표면의 기지의 반사 파라미터에 기초하여 상기 테스트 광과 상기 참조 광을 결합시키는 단계를 더 포함할 수 있다. 상기 간섭 방법은 공지의 반사 특성을 갖는 제2 테스트 표면으로 상기 단계들을 반복하는 단계 및, 상기 서로 다른 검출기 소자에서 측정된 신호 및 상기 테스트 표면의 기지의 반사 파라미터에 기초하여 상기 간섭계의 반사 파라미터를 추가로 측정하는 단계를 더 포함할 수 있다.
상기 간섭 방법은 전술한 장치에 열거된 것들에 대응하는 특징들을 포함할 수 있다.
일반적으로, 다른 관점에 따르면, 각도 및 파장의 소정 범위에 걸쳐 테스트 표면의 반사율을 측정하는 제1 모드 및 테스트 표면 위치의 소정 범위에 걸쳐 상기 테스트 표면의 하나 이상의 특성을 측정하는 제2 모드 각각에서 동작하도록 구성된 간섭계 시스템을 포함하는 장치에 대해 개시되어 있다.
상기 장치의 실시예는 이하의 특징들 중 임의의 것을 포함할 수 있다.
상기 장치는 상기 제1 모드와 상기 제2 모드 사이를 선택적으로 전환하도록 구성될 수 있다. 대안으로, 상기 장치는 제1 모드 및 제2 모드의 양 모드에서의 측정치를 동시에 제공하도록 구성될 수 있다.
상기 간섭계는 하나 이상의 전자 검출기를 포함하며, 제1 모드에서 상기 전자 검출기의 서로 다른 소자는 상기 간섭계 시스템에서 테스트 광에 의한 상기 테스트 표면의 서로 다른 조명 각도에 대응할 수 있다. 상기 제1 모드는, 하나 이상의 선택된 편광에 대한 각도 및 파장의 소정 범위에 걸쳐 상기 테스트 표면의 반사율을 측정하는 엘립소메트리 모드(ellipsometry mode)에 대응할 수 있다. 대안으로, 상기 제1 모드는, 비편광에 대한 각도 및 파장의 소정 범위에 걸쳐 상기 테스트 표면의 반사율을 측정하는 반사측정 모드(reflectometry mode)에 대응할 수 있다. 제1 동작 모드에서 동작하기 위해, 상기 간섭계는 상기 테스트 표면으로 향하는 테스트 광에 대한 동공 면을 상기 전자 검출기에 투영할 수 있다.
상기 제2 모드에서 상기 전자 검출기의 서로 다른 영역은 상기 간섭계 시스템에서 테스트 광에 의해 조명된 상기 테스트 표면의 서로 다른 위치에 대응할 수 있다. 구체적으로, 상기 간섭계는 상기 테스트 표면을 상기 전자 검출기에 투영하도록 구성되어 있다. 상기 제2 모드는 프로파일링 모드일 수 있다.
상기 장치는 상기 간섭계 시스템에 결합되고 각각의 동작 모드에서 상기 간섭계 시스템에 의해 측정된 정보를 처리하여 상기 테스트 표면을 갖는 테스트 객체에 관한 정보를 결정하도록 구성된 전자 프로세서를 더 포함할 수 있다. 또한, 상기 전자 프로세서는, 하나의 동작 모드에서 얻은 정보를 사용하여 다른 동작 모드에서 동작할 때 상기 테스트 객체에 관한 추가의 정보를 결정하는 것을 보조하도록 구성될 수 있다.
상기 간섭계 시스템은 또한 상기 테스트 표면의 비간섭 광학 이미지를 측정하도록 비간섭 현미경 모드에서 선택적으로 동작하도록 구성될 수 있다.
일반적으로, 다른 관점에 따르면, (ⅰ) 각도 및 파장의 소정 범위에 걸쳐 테스트 표면의 반사율을 측정하는 제1 동작 모드에서 테스트 표면을 측정하도록 간섭계 시스템을 사용하는 단계; 및 (ⅱ) 상기 테스트 표면의 토포그래피를 간섭적으로 프로파일링하는 제2 동작 모드에서 상기 테스트 표면을 측정하도록 동일한 간섭계 시스템을 사용하는 단계를 포함하는 방법에 대해 개시된다.
상기 방법은 상기 테스트 표면의 하나 이상의 비간섭성 현미경 이미지(non-interferometric microscope images)를 생성하는 제3 동작 모드에서 상기 테스트 표면을 측정하도록 상기 동일한 간섭계 시스템을 사용하는 단계를 더 포함한다.
여기에 사용된 바와 같이, "광"은 가시 스펙트럼 영역 내의 전자기 방사에 제한되는 것이 아니라, 일반적으로 자외선, 가시, 아적외선, 적외선 스펙트럼 영역 중 임의의 것에서의 전자기 방사를 말한다.
그외 정의되어 있지 않은 경우, 여기서 사용된 모든 기술적 그리고 과학적 용어는 본 발명이 속하는 당업자가 공통적으로 이해할 수 있는 것과 동일한 으미를 갖는다. 원용된 문헌과의 분쟁이 있는 경우, 본 발명이 지배한다.
본 발명의 다른 특징, 목적, 및 이점은 후술하는 상세한 설명으로부터 분명하게 될 것이다.
도 1은 엘립소메트리 모드에서 동작하도록 구성된 간섭계 시스템(100)의 개 략도이다.
도 2는 간섭계 시스템(100)에서 테스트 광과 참조 광 사이의 광학 경로 길이 차("OPD")가 가변일 때, 상기 OPD를 프레임 수로 나타내는 경우, 검출기 소자에 의해 검출된 간섭계 신호의 예를 나타내는 그래프이다.
도 3은 프로파일링 모드(profiling mode)에서 동작하도록 구성된 간섭계 시스템(100)의 개략도이다.
도 4는 실리콘 기판 상의 1 미크론 두께의 이산화 실리콘막을 갖는 테스트 객체에 있어서 검출기 소자에 의해 수집된 데이터의 플롯을 나타내며, 위쪽 플롯은 검출기 소자에 의해 측정된 간섭계 신호를 OPD 스캔 동안 프레이 수의 함수로서 나타내며, 아래쪽 플롯은 스펙트럼 크기를 실선으로 나타내고 스펙트럼 위상을 점선으로 나타내어, 파수와 관련된 간섭계 신호의 푸리에 변환을 나타내는 도면이다.
도 5는 선택된 입사각(43도) 및 선택된 파장(576nm)에 대한 실험적으로 유도된, 복소 반사율 계수들의 플롯들을 실리콘 기판 상의 675nm 두께의 이산화 실리콘 단층막에 대한 방위각의 함수로서 나타내며, 위쪽 플롯은 스펙트럼 성분 Z를 나타내고(위의 곡선은 실수 성분이고 아래 곡선은 허수 성분이다), 아래쪽 플롯은 Z를 시스템 캘리브레이션과 관련해서 스케일링하는, Z에 대한 대응하는 값들을 나타낸다(위의 곡선은 실수 성분이고 아래의 곡선은 허수 성분을 나타낸다).
도 6은 실리콘 기판 상의 5 미크론 두께의 이산화 실리콘막에 대한 간섭계 신호의 플롯으로서 구조에서의 선택된 인터페이스를 격리시키기 위해 상기 신호 중 얼마만큼을 선택할 수 있는지를 나타내는 도면이다.
도 7은 간섭계 시스템(100)에 대한 다른 실시예의 개략도이다.
도 8은 간섭계 시스템(100)에 대한 또 다른 실시예의 개략도이다.
도 9는 전자 프로세서의 제어 하에 다양한 구성요소를 자동화된 방식으로 어떻게 조정할 수 있는지를 나타내는 간섭계 시스템(100)의 개략도이다.
도면에서 동일한 도면 부호는 동일한 소자를 나타낸다.
박막 특성에 사용되는 종래의 기술(예를 들어, 엘립소메트리)는, 알려지지 않은 광학 인터페이스의 복소 반사율이 그 고유의 특성(예를 들어, 개별 층의 재료 속성 및 두께) 및 반사율을 측정하는 데 사용되는 광의 3가지 특성: 파장, 입사각 및 편광 상태에 좌우된다는 사실에 기초하고 있다. 실제로, 엘립소메트리 기구는 이러한 파라미터들이 기지의 범위에 걸쳐 변화하여 생기는 반사율 변동을 기록한다. 그런 다음, 측정된 반사율 데이터와 광학 구조의 모델로부터 유도된 반사율 함수 사이의 차를 최소화함으로써 최소 제곱 적합도와 같은 최적화 과정을 사용하여 알려지지 않은 파라미터의 추정치를 얻는다.
본 명세서에 개시된 실시예들은 간섭계 시스템 및 테스트 표면의 선택된 영역에 있어서 프로브 빔의 3가지 광학 특성(즉, 파장, 입사각, 및 편광 상태) 모두에 대한 폭넓은 범위에 걸쳐 많은 수의 반사율 데이터 포인트를 신속하게 수집하는 방법을 제공한다. 또한, 상기 엘립소메트리 기구는 이러한 엘립소메트리 동작 모드를 프로파일링 모드(profiling mode)로 전환하여 상기 테스트 표면에 관한 측면으로 결정된 정보(laterally resolved information)를 제공할 수 있다. 또한, 엘립소메트리에 결정된 정보를 사용하여 프로파일링 모드에서 얻어진 정보의 정확성을 높일 수 있다. 예를 들어, 엘립소메트리 모드는 테스트 표면을 갖는 테스트 객체의 재료 속성에 관한 정보를 제공하여 다양한 광학 인터페이스의 더욱 정확한 토포그래피 맵(topography maps), 예를 들어 특별한 관심의 대상이 되는 상부 표면(에어 인터페이스)의 토포그래피 맵을 생성할 수 있다.
예시적 장치
도 1은 간섭계 시스템(100)의 개략도이다. 공간적으로 연장된 소스(102)는 입력광(104)을 릴레이 광학 기기(108 및 110) 및 빔 스플리터(112)를 통해 간섭 대물렌즈(106)로 향하게 한다. 릴레이 광학 기기(108 및 110)는 상기 공간적으로 연장된 소스(102)로부터의 입력광(104)을 (점선 가장자리의 레이(116) 및 실선 주요 레이(117)에 의해 도시된) 간섭 대물렌즈(106)의 애퍼처 스톱(115) 및 대응하는 동공 면(114)에 투영한다.
도 1의 실시예에서, 간섭 대물렌즈(106)는 대물렌즈(118), 빔 스플리터(120), 및 간섭 표면(122)으로 구성된 미라우-타입(Mirau-type)이다. 빔 스플리터(120)는, 입력광(104)을 테스트 객체(126)의 테스트 표면(124)으로 향하는 테스트 광(122) 및 참조 표면(122)으로부터 반사되는 참조 광(128)으로 분리한다. 대물렌즈(118)는 상기 테스트 광 및 상기 참조 광을 상기 테스트 표면 및 상기 참조 표면에 각각 초점을 맞춘다. 참조 표면(122)을 지지하는 참조 광학 기기(130)는 상기 초점이 맞춰진 참조 광에 대해서만 반사되도록 코팅되어 있어, 상기 입력광의 대부분은 빔 스플리터(120)에 의해 분리되기 전에 상기 참조 광학 기기를 통과한다.
상기 테스트 표면 및 상기 참조 표면으로부터 반사된 후, 상기 테스트 광 및 상기 참조 광은 빔 스플리터(120)에 의해 재결합되어 결합된 광(132)을 형성하고, 이 결합된 광은 빔 스플리터(112) 및 릴레이 렌즈(136)에 의해 투과되어 전자 검출기(134)(예를 들어, 다중 소자 CCD 또는 CMOS 검출기) 상에 광학적 간섭 패턴을 형성한다. 상기 검출기를 횡단하는 광학적 간섭 패턴의 세기 프로파일(intensity profile)은 상기 검출기의 서로 다른 소자에 의해 측정되고 전자 프로세서(도시되지 않음)에 저장되어 분석된다. 상기 테스트 표면이 상기 검출기에 투영되는 종래의 프로파일링 간섭계와는 달리, 본 실시예에서는, (점선 가장자리 레이(116) 및 실선 주요 레이(117)에 의해 다시 도시된 바와 같이) 릴레이 렌즈(136)(예를 들어, 버트랜드 렌즈)가 동공 면(114) 상의 다양한 포인트를 검출기(134) 상의 대응하는 포인트에 투영한다.
동공 면(114)을 조명하는 각각의 소스 포인트는 테스트 표면(124)을 조명하는 테스트 광(122)에 대한 평평한 파면(plane wave front)을 생성하기 때문에, 동공 면(114)에서 상기 소스 포인트의 방사 위치(radial location)는 객체 수직(object normal)과 관련하여 이러한 조명 번들(illumination bundle)의 입사각을 한정한다. 그러므로 광축으로부터 소정의 거리에 위치하는 모든 소스 포인트는 고정 입사각에 대응하고 이에 의해 대물렌즈(118)는 테스트 광(122)을 테스트 표면(124)에 초점을 맞춘다. 릴레이 광학 기기(108 및 110) 사이에 위치하는 필드 스톱(138)은 테스트 광(122)에 의해 조명된 테스트 표면의 영역을 한정한다. 상기 테스트 표면 및 상기 참조 표면으로부터의 반사 후, 결합된 광(132)은 상기 대물렌 즈의 동공 면(114)에 상기 소스의 2차 이미지를 형성한다. 그런 다음 상기 동공 면 상의 상기 결합된 광은 릴레이 렌즈(136)에 의해 검출기(134) 상에 재투영되기 때문에, 검출기(134)의 서로 다른 소자들은 상기 테스트 표면(124) 상의 테스트 광(122)의 서로 조명 각도들에 대응한다.
편광 소자(140, 142, 144, 146)는 상기 테스트 표면 및 상기 참조 표면 각각으로 향하는 상기 테스트 광 및 상기 참조 광의 편광 상태 및 상기 검출기로 향하는 상기 결합된 광의 편광 상태를 규정한다. 본 실시예에 따르면, 각각의 편광 소자는 편광기(예를 들어, 선형 편광기), 지연 판(retardation plate)(예를 들어, 1/2 파 플레이트 또는 1/4파 플레이트), 및 입사 빔의 편광 상태에 영향을 미치는 유사한 광학 기기가 될 수 있다. 또한, 몇몇 실시예에서는 하나 이상의 편광 소자가 없을 수 있다. 또한, 본 실시예에 따르면, 빔 스플리터(112)는 편광 빔 스플리터 또는 비편광 빔 스플리터일 수 있다. 이러한 편광 소자에 대한 다양한 실시예에 대한 상세한 설명은 이하에 후술한다. 일반적으로, 편광 소자(140, 142 및/또는 146)가 존재하므로, 테스트 표면(124)에서의 테스트 광(122)의 편광 상태는 동공 면(114)에서 광의 방위각의 위치의 함수일 수 있다.
여기에 개시된 실시예에서는, 소스(102)는 광대역의 파장(예를 들어, 50nm 이상의, 또는 양호하게는 100nm 훨씬 이상의 전폭 1/2 최대(full-width half-maximum)를 갖는 방출 스펙트럼)에 대한 조명을 제공한다. 예를 들어, 소스(102)는 백색광 방출 다이오드(LED), 할로겐 전구의 필라멘트, 크세논 아크 램프와 같은 아크 램프 또는 매우 넓은 소스 스펙트럼(200nm 이상)을 발생하기 위해 광학 재료 의 비선형 효과를 이용하는 소위 초연속 소스(supercontinuum source)일 수 있다. 광대역의 파장은 한정된 비간섭성 길이(limited coherence length)에 대응한다. 종래의 스캐닝 간섭계에서와 같이, 변환 스테이지(translation stage)(150)는 상기 테스트 광광 상기 참조 광 사이의 상대적 광학 경로 길이를 조정하여 검출기 소자마다 광학 간섭 신호를 생성한다. 예를 들어, 도 1의 실시예에서, 변환 스테이지(150)는 상기 테스트 표면과 상기 간섭 대물렌즈 사이의 거리를 조정하여 상기 검출기에서의 상기 테스트 광과 상기 참조 광 사이의 상대적 경로 길이를 가변시키기 위해 간섭 대물렌즈(106)에 결합된 압전기 트랜스듀서이다.
도 2는 변환 스테이지가 상기 테스트 광과 상기 참조 광 사이의 상대적 광학 경로 길이를 가변시킬 때 상기 검출기 소자들 중 하나에 의해 측정된 예시적 간섭 신호를 도시한다. 상기 간섭 신호는 상기 소스의 가간섭성 길이에 대응하는 콘트라스트 엔벨로프에 의해 변조된다. 상기 참조 표면은 상기 간섭계 내에 위치하고 있으므로 상기 테스트 광과 상기 참조 광 사이의 제로 광학 경로 길이 차는 상기 대물렌즈(118)와 관련하여 초점이 맞춰진 테스트 표면의 위치에 대응한다. 그러므로 상기 테스트 표면이 간섭 대물렌즈에 대한 이러한 초점 내 위치(in-focus position) 내에 있을 때 일반적으로 최대 콘트라스트가 관찰된다. 콘트라스트 엔벨로프가 검출기에서 측정된 세기 패턴의 시퀀스 내에 포착되도록, 가간섭성 길이보다 넓은 범위에 걸쳐 변환 스테이지를 스캐닝함으로써 측정이 수행된다.
각각의 검출기 소자에서 측정된 간섭 신호는 검출기(134)와 변환 스테이지(150) 모두에 전자적으로 결합된 전자 프로세서에 의해 분석된다. 개시된 본 실시예에는, 예를 들어 광원의 서로 다른 파장 구성요소에 대한 위상 및 진폭 정보를 추출하기 위해 푸리에 변환을 이용함으로써 전자 프로세서가 간섭 신호를 주파수 도메인으로 변환시킨다. 양호하게, 소스 스펙트럼은 많은 독립적인 스펙트럼 구성요소가 이러한 과정으로 계산될 수 있을 정도로 넓다. 상세히 후술되는 바와 같이, 진폭 및 위상 데이터는 테스트 표면의 복소 반사율에 직접 관련이 있으며, 테스트 객체에 관한 정보를 결정하기 위해 분석될 수 있다. 일반적으로, 전자 프로세서는 개별의 캘리브레이션으로부터의 정보를 사용하여 참조 미러의 반사율 및 간섭계의 그외 광학적 특성에 대한 측정을 정정한다. 간섭계 시스템(100)을 배치함으로써, 전자 검출기(134)의 각각의 검출기 소자는 (편광 소자(40, 142, 144 및/또는 146)의 방향에 따른) 특정한 입사각 및 편광 상태에 있어서, 소스(102)에 의해 생성된 다수의 파장에서의 반사율 측정치를 제공한다. 그러므로 검출기 소자들의 집단은 알려지지 않은 광학 구조를 적절하게 특징짓도록 기구의 성능을 최대화하는, 입사각, 편광 상태 및 파장의 범위를 가진다.
일련의 캘리브레이션 과정을 사용하여 상기 측정된 간섭 신호로부터 상기 테스트 표면의 복소 반사율을 유도할 수 있다. 예를 들어, 테스트 객체로서 공지의 벌크 재료(불투명하거나 투명함)로 만들어진 미러를 이용하여 캘리브레이션 측정을 행할 수 있으며, 스펙트럼 필터를 이용하여, 선택된 파장을 상기 소스로부터 격리시킬 수 있다. 그런 다음 검출기에서 측정된 간섭 신호를 처리하여, 각각의 검출기 소자에 대응하는 입사각 및 데이터 획득에 사용되는 스캐닝 스테이지의 속도를 결정할 수 있다. 후자의 정보는 간섭 신호 스펙트럼 구성요소를 그것들의 각각의 파장에 적절하게 정합하는 데 유용하다.
또한 공지의 광학적 속성의 객체를 사용하는 추가의 측정치를 사용하여 픽셀x픽셀 단위로 간섭계 및 투영 시스템의 속성을 유도할 수 있다. 예를 들어, 캘리브레이션은 각각의 파장에 대하여 그리고 각가의 검출기 위치에서 시스템의 투과를 계산하는 단계를 포함할 수 있다. 마찬가지로, 직각의 편광 상태들 사이에서 유도된 위상 오프셋과 같은 편광 효과를 검출기 소자마다 그리고 필요하다면 파장마다 측정할 수 있다. 캘리브레이션에 대한 소정의 실시예에 대한 상세한 설명은 후술한다.
테스트 표면의 복소 반사율을 결정하기 위한 엘립소메트리 모드로부터 예를 들어 테스트 표면의 토포그래피를 결정하기 위한 프로파일링 모드로 간섭계 시스템(100)을 전환하기 위해, 상기 투영 시스템의 속성을 변환함으로써 소스의 이미지 대신에 부분의 이미지를 검출기 상에 초점을 맞출 수 있다. 도 3에 도시된 바와 같이, 이것은 예를 들어 릴레이 렌즈(136)를 다른 렌즈(236)로 대체하고 검출기 위치를 고정시킴으로써 수행될 수 있다. 이 경우, 소스(102)로부터의 입력광(102)은 동공 면(114)에 계속해서 투영되지만, 124 상의 포인트는 (소스(102)로부터 가장자리 레이(216) 및 주요 레이(217)로 표시된 바와 같이) 검출기(134) 상의 대응하는 포인트에 투영된다.
측정 모델
간섭계 시스템(100)에 의해 얻어진 간섭 신호의 분석을 나타내기 위해, 편광 소자(140 및 144)가 선형 편광기이고, 편광 소자(142 및 146)는 없는 것으로 가정 한다. 선형 편광기(140)의 효과는 동공 면(114)의 모든 포인트에서 동일한 선형 편광 상태를 생성하는 것이다. 그 결과, 테스트 표면(124) 상에 입사하는 광의 편광은 선형이지만, 입사 면과 관련된 그 방향은 동공 면에서 소스 포인트의 방위각 위치의 함수이다. 예를 들어, 동공 면 내의 선형 편광의 방향과 평행한 동공 직경에 속하는 소스 포인트들은 테스트 표면에서 입사 면 내에서 선형으로 편광된(이것을 P 편광 상태라 한다) 조명광을 발생할 것이다. 마찬가지로, 동공 면 내의 선형 편광의 방향에 수직인 직경에 속하는 소스 포인트들은 입사 면에 수직으로 선형으로 편광된(이것을 S 편광 상태라 한다) 조명광을 발생할 것이다. 이러한 두 개의 직경에 속하지 않는 소스 포인트들은 S 편광 상태와 P 편광 상태의 혼합을 갖는 테스트 표면 상에 조명광을 발생할 것이다. 이것은 테스트 표면에 대한 반사율 계수들이 S 편광된 광과 P 편광된 광에 대해 다르기 때문에 적절하다.
두개의 선형 편광기는 검출기에 의해 검출된 간섭 신호의 내용(content)을 지시할 일련의 상대적 방향을 가질 수 있다. 예를 들어, 상기 편광기들이 평행하다면, 상기 측정된 간섭 신호는 동공 면의 하나의 직경에 있어서 상기 테스트 표면에 입사하는 S 편광된 테스트 광에만 의존할 것이고, 동공 면의 수직 직경에 있어서는 상기 테스트 표면 상에 입사하는 P 편광된 테스트 광에만 의존할 것이다(또한, 참조 표면 상에 입사하는 참조 광의 경우에 있어서도 마찬가지이다). 이것은 S 반사율 및 P 반사율의 진폭 및 위상 사이의 차가 엘립소메트리의 기초이기 때문에 관심을 끈다. 그러므로 원한다면, 간략화된 데이터 처리를 이들 2 직경에 제한할 수 있다. 한편, 전체 동공 면에 걸쳐 데이터를 사용하기 위해서는, 2가지의 편 광 상태의 혼합을 고려해야 하지만, 더 많은 데이터 포인트를 제공하므로 측정의 분해능은 높아진다.
서로에 대해 평행하게 정렬된 2개의 선형 편광기를 갖는 배열에 이하의 분석을 적용한다. 이 경우, 테스트 광의 양은 제2 선형 편광기를 통해 검출기(134)에 투과되고 이것을 다음과 같이 표현할 수 있다:
Figure 112007059925898-pct00001
여기서 θ는 편광기들의 방향과 관련하여 측정된 방위각이고, rp 및 rs는 P 편광 상태 및 S 편광 상태에 대한 객체 표면의 복합 반사 계수("프레넬 반사 계수(Fresnel reflection coefficients)"로 알려져 있다)이며, tp 및 ts는 간섭 대물렌즈(106) 및 메인 빔 스플리터(112)를 통한 왕복 운동(round trip)에 있어서 P 편광 상태 및 S 편광 상태에 대한 투과 계수이며, Eout은 전기장의 복소 진폭이다. 이 모델은 광학 기기가 굴절률과는 상관이 없고 객체 표면의 반사 오프 역시 S 편광 상태 및 P 편광 상태를 혼합하는 메커니즘과는 상관이 없다는 것으로 가정한다. 예를 들어, 축이 로컬 표면 수직(local surface normal)을 따르는 단축 재료(uniazial material)를 본 문맥에서 특징지을 수 있지만, 면-내 굴절률(in-plane birefringence)을 갖는 재료는 다른 모델을 필요로 한다.
실제로, 간섭계의 참조 레그를 따라 전파하는 참조 광에 동일한 모델을 적용 하지만, 반사 계수 및 투과 계수는 선험적으로 서로 다르다:
Figure 112007059925898-pct00002
동공 면에서 주어진 소스 파장 λ 및 주어진 소스 포인트에 대해 검출기에서 측정된 간섭 패턴은 적
Figure 112007059925898-pct00003
에 비례한다:
Figure 112007059925898-pct00004
여기서 k=2π/λ이고, λ는 광의 파장이고, z는 테스트 광과 참조 광 사이의 제로 광학 경로 길이 차에 대한 기계적 스캔 동안 테스트 표면의 수직 위치이고, α는 테스트 표면에서 입사광의 각도이며(동공 면에서 소스 포인트 위치에 의존한다), Φ는 테스트 전기장과 참조 전기장 사이의 위상차이다. 실제로, 주어진 검출기 위치에서 측정된 신호는 소스 스펙트럼에 존재하는 다양한 파장에 의해 발생된 모든 이러한 신호의 합이다. 그 결과, 신호의 푸리에 변환에 의해, 이러한 기여도(contribution)를 매우 좁은 파장 범위에 대응하는 복합 스펙트럼 구성성분으로 분리하는 것이 가능하다. 계산된 스펙트럼 구성성분을 특정한 소스 파장으로 할당하기 위해서는 이러한 스펙트럼 구성요소들의 위치를 시프트하는 보정 인자(correction factor) cos(α)를 고려해야만 한다는 것에 유념하라. 이 보정 인 자에는 검출기의 픽셀마다 입사광의 각도가 공지되어 있다는 것이 포함되어 있다. 광학 시스템의 캘리브레이션은 이러한 작업에 사용할 수 있고 이에 대해서는 후술한다.
도 4(위쪽 플롯)는 1003nm 두께의 이산화 실리콘막을 측정할 때 (동공 면 내의 주어진 위치에 대응하는) 검출기(134)의 소정의 검출기 소자에 의해 측정된 대표적인 간섭 신호를 도시하고 있다. 도 4(아래쪽 플롯)는 진폭 및 위상을 파장(또는 대응하는 파수 k)의 함수로서 생성하기 위해 간섭 신호를 푸리에 변환한 결과를 도시하고 있다. 스펙트럼 진폭 및 위상의 변화는 파장(또는 파수)의 함수로서 프레넬 반사 계수의 변화의 결과이다.
소정의 실시예에서, 검출기의 동공 면의 이미지 내의 관심의 대상이 되는 영역에 주파수 변환 처리를 적용한다. 예를 들어, 상기 관심의 대상이 되는 영역은 테스트 표면에서 입사각의 소정의 범위를 한정하는 환형(annulus)일 수 있다. 이러한 환형 내의 하나의 픽셀(즉, 검출기 소자들 중 하나)의 방위각 위치는 상기 테스트 표면을 조명하는 S 편광 및 P 편광의 혼합을 한정하며, 광축에 대한 상기 픽셀의 방사 상의 거리는 입사각을 한정한다. 또한, 상기 관심의 대상이 되는 영역 내의 복수의 원(circles)에 걸쳐 전술한 바와 같은 스펙트럼 구성성분을 추출하는 데 유용할 수 있다. 이러한 하나의 원에 걸쳐 계산된 이들 구성성분은 다음과 같은 형태로 기재될 수 있다:
Figure 112007059925898-pct00005
여기서, 아래첨자는 함수적 의존성(functional dependence)을 나타내고, α는 동공 면에서 원의 반경에 대응하는 입사각이고, λ는 광의 파장이고, θ는 선형 편광기와 관련하여 측정된 방위각이고, h는 객체 표면의 높이 오프셋이고, L은 소스 세기 또는 신호 세기에 대한 실제 스케일링 인자이며, I는 광학 기기에서 발생하는 위상 및 진폭 변화뿐만 아니라, 소스를 횡단하는 광 세기의 변화를 나타내는 복합 함수이다.
전자 프로세서는 상기 공식을 측정 프로세스의 키 모델(key model)로서 사용할 수 있다. 예를 들어, 상기 전자 프로세서는 검출기에 의해 기록된 간섭 신호를 푸리에 변환하여 서로 다른 파장 및 입사각에 대한 구성성분 Z를 생성할 수 있고 또한 반전에 의해 (예를 들어, 수학식 4에 기초하여) 특징지어질 테스트 표면과 관련된 복합 비율 rp/rs출 추출할 수 있다. 이 비율을 엘립소메트릭 비율이라 하며 다음과 같이 표현할 수 있다:
Figure 112007059925898-pct00006
여기서, Φ 및 Δ는 잘 알려진 2개의 엘립소메트릭 파라미터이다. 그런 다음 표준 엘립소메트리 알고리즘을 사용하여 테스트 객체의 몇몇 광학 속성, 예를 들어 투명막의 두께 및 굴절률을 계산할 수 있다.
예를 들어, 막이 없는 동종의 테스트 표면의 경우, 전자 프로세서는 다음과 같은 수학식에 따라 재료의 복소 굴절률을 쉽게 계산할 수 있다:
Figure 112007059925898-pct00007
여기서, n0는 주위 매체, 예를 들어 공기의 굴절률이다. 이 경우, 이 기술은 전체 소스 스펙트럼에 대한 복소 굴절률을 제공한다. 복수의 입사각에 대해 계산된 데이터를 평균화하여 측정 분해능을 향상시킬 수 있다.
다른 예에서는, 알려지지 않은 두께 t 및 주위의 매체, 두께 및 기판 재료에 대한 알려진 굴절률 n0, n1, n2를 갖는 투명 단층의 경우, 전자 프로세서는 이하의 수학식에 따라 알려지지 않은 두께 t를 결정할 수 있다:
Figure 112007059925898-pct00008
여기서 로그(log)는 복소 자연로그 함수(complex natural logarithm function)이고,
Figure 112007059925898-pct00009
이고, X의 계산 시의 부호는 t의 결과 값에 따라 선택되는 데, 실제로는 거의 양의 부호이다. 간섭계 시스템(100)에 의해 얻어진 데이터에 대한 처리는 t에 대한 복수의 추정치를 제공하는 데, 왜냐하면 상기 측정은 α 및 λ에 대한 복수의 값에 대해 수행되기 때문이다. 이러한 복수의 추정치를 사용하여 수학식 7의 항목 X와 관련된 막두께의 불명료성을 해결할 수 있다. 다른 실시예에서, 상기 전자 프로세서는 유사한 세트의 수학식들에 기초하여 측정 데이 터로부터 테스트 객체의 하나 이상의 굴절률을 유도할 수 있다.
보다 일반적인 경우에 있어서, 상기 전자 프로세서는 예를 들어 "산란 행렬(scattering matrix)" 방식을 사용하여 그 알려지지 않은 파라미터들(굴절률, 막두께, 층 거칠기, 굴절률 경사도 등)의 함수로서 테스트 표면의 반사 계수를 계산할 수 있다. 알려지지 않은 파라미터들의 추정치들에 대한 엘립소메트릭 파라미터 Φmodel 및 Δmodel을 계산하는 데 반사 계수 함수를 적용한다. 그런 다음 반복 알고지즘을 사용하여 이러한 파라미터들을 변화시켜 상기 측정된 엘립소메트릭 계수들과 대응하는 모델 계수들 사이의 제곱 차의 합을 최소화한다:
Figure 112007059925898-pct00010
예를 들어 서로 다른 파장 및 입사각에 대한 가중 인자(weighting factors)를 포함하는 대안의 이로운 함수를 정의할 수 있다. 이러한 방식들에 대해서는 예를 들어, M.A. Azzam 및 N.M. Bashara, "Ellipsometry and Ploarized Light," Elsevier Science b.v., ISBN 0 444 87016 4(paper book), 1987에 개시되어 있다.
시스템 캘리브레이션
소정의 실시예에서, 시스템 캘리브레이션의 제1 단계는 동공 면 내의 소스 포인트의 위치에 기초하여 테스트 표면의 빔 번들의 입사각을 계산하는 단계를 포함한다. 바꾸어 말하면, 입사각 α를 동공 면의 이미지에 대응하는 검출기 내의 각각의 픽셀에 할당하고자 하는 것이다. 이것은 검출기에 의해 검출된 광이 반드시 단색이고 공지의 파장을 갖도록, 예를 들어 협대역 필터로 측정을 수행함으로써 달성될 수 있다. 이 경우, 수학식 3은 간섭 신호의 주파수가 관계 kcosα를 통해 소스 파장 및 입사각에 비례한다는 것을 나타낸다. 상기 신호 주파수는 상기 신호의 푸리에 변환에 의해 계산될 수 있고 상기 입사각은 변환 스테이지의 스캔 레이트 및 소스 파장에 관한 지식으로부터 유도될 수 있다.
또한, 상기 변환 스테이지의 스캔 레이트가 초기에 알려지지 않은 관계로, 상기 스캔 레이트는 간섭 신호가 가장 큰 주파수를 갖는 검출기 상에 픽셀을 위치시킴으로써 결정될 수 있다. 상기 관계 kcosα에 대한 주파수 의존성에 따라, 이 픽셀은 수직 입사(즉, α=0)에 대응하고 그래서 스테이지 속도는 상기 측정된 주파수 및 상기 소스 파장의 지식으로부터 바로 추출될 수 있다.
현미경 대물렌즈가 객체 공간에서의 각도를 동공 위치에 매핑하는 방식에 관한 선험적인 정보를 또한 사용하여 이 캘리브레이션의 질을 향상시킬 수 있다는 것에 유념하라. 예를 들어, 통상적인 대물렌즈는 코마(coma)에 대해 정정되는 데, 이것은 동공 면에서의 레이 매핑은 소위 "아베 사인 조건(Abbe sine condition)"에 명목상으로 따라야 한다는 것을 의미한다. 이 조건은 동공 면에서의 광축으로부터 소스 포인트의 방사 상의 거리가 객체 공간 내의 입사각의 사인(sine)에 정비례한다는 것을 의미한다. 그러므로 각각의 픽셀에 대한 입사각을 계산하고 그런 다음 상기 사인조건으로부터 유도된 전체적인 함수(global function)를 적합화하여 입사각에 대한 분석적 함수 매핑 동공 위치를 제공할 수 있다.
소정의 실시예에서, 위에서 약술한 과정을 서로 다른 명목상의 소스 파장에 대해 반복함으로써 각을 이룬 매핑(angular mapping)의 색채 변화(chromatic variation)를 고려할 수 있다. 적합도 과정의 부작용은 동공 면에서의 광축의 픽셀 위치이다. 그 정보는 또한 파장의 함수로서 기록되어 나중에 입사각 계산을 정정하는 데 사용될 수 있다.
소정의 실시예에서, 상기 캘리브레이션의 제2 단계는 수학식 4에 표현된 관찰 가능한 Z를 엘립소메트릭 비율에 관련시키는 다양한 시스템 파라미터의 값을 계산하는 단계를 포함한다.
예를 들어, 이것은 공지의 광학 속성을 갖는, 예를 들어 엘립소메터에 통상적으로 사용되는 캘리브레이션 웨이퍼를 갖는 2개의 샘플을 측정함으로써 달성될 수 있다. 각각의 입사각 및 관심의 대상이 되는 영역에 있어서 전자 프로세서는 두 샘플에 대해 수학식 4에에서와 같이 방위각 θ의 함수로서 스펙트럼 구성성분 Z를 결정한다. 그런 다음 이들 구성성분을 계산하여 복소수 비율 z을 θ의 함수로서 생성한다:
Figure 112007059925898-pct00011
여기서, zsαλ 및 ταλ는 미지의 복소수이고 a 또는 b 위첨자는 하나 또는 다른 캘리브레이션 샘플을 식별한다.
Figure 112007059925898-pct00012
Figure 112007059925898-pct00013
는 2개의 재료에 대한 반사 계수의 비율을 사용하여 계산된다. 이들 계수는 공지의 재료 속성 및 캘리브레이션 샘플의 공지의 막두께(존재한다면)를 사용하여 자체적으로 계산된다. 그런 다음 전자 프로세서는 예를 들어 마콰트 알고리즘(Levenberg-Marquardt algorithm)에 기초하여 해결기(solver), 예를 들어 최소제곱 해결기(a least-squares solver)를 사용하여, 수학식 9의 양측에 계산된 양들 사이의 차를 최소화하는 두 개의 미지의 파라미터의 값을 찾아낼 수 있다. 상기 처리는 다른 입사각 및 파장에 대해서 반복된다.
추가의 단계에서, 원한다면, zsαλ 및 ταλ를 필터링하고 및/또는 분석적 함수에 적합화한다. 그런 다음 각각의 샘플에 대해 얻어진 스펙트럼 구성성분을 재처리하여 다른 캘리브레이션 파라미터, 함수 J를 유도할 수 있다:
Figure 112007059925898-pct00014
실제로, 수학식 10에 표시된 J에 대한 2개의 표현은 평균화될 수 있다. 그런 다음 입사각, 파장 및 방위각의 함수로서 계산된 J의 값들은 함수 ταλ의 정의와 함께 캘리브레이션 파일에 전자 프로세서에 의해 저장된다.
위에서 약술한 과정은 계산 시 용장성에 이롭게 될 수 있도록 하기 위해 2개 샘플 이상으로 확장될 수 있다는 것에 유념하라.
소정의 실시예에서, 계산에 대한 추가의 단계는 검출기에 의해 보여지는 것과 같이 동공의 좌표 시스템과 관련해서 편광기의 정확한 각 방향을 확립하는 단계를 포함한다. 이것은 예를 들어, 수학식 9가 주기 λ를 따라 θ로 주기적임을 관찰함으로써 수행될 수 있다. 비율 z의 푸리에 변환의 동등한 구성성분의 위상이 상기 편광기의 각도 오프셋의 직접 측정(direct measurement)임은 당연하다. 따라서, 이 캘리브레이션은 zsαλ 및 ταλ을 결정하기 전에 수행될 수 있다.
미지의 테스트 객체의 특성
소정의 실시예에서는, 상기 저장된 캘리브레이션 정보를 사용함으로써, 전자 프로세서는 미지의 테스트 객체를 이하와 같이 특징지을 수 있다. 미지의 객체 표면에 대해 기록된 간섭 데이터를 캘리브레이션에 대해 처리하여 수학식 4에 따라 데이터의 링(rings)을 생성하며, 위첨자 c는 미지의 테스트 객체를 나타낸다:
Figure 112007059925898-pct00015
상기 캘리브레이션 정보를 사옹하여 각각의 링을 처리한다. 먼저, 함수 J는 특정한 입사각 및 파장에 대해 검색되고 새로운 복소수 비율이 계산된다:
Figure 112007059925898-pct00016
Figure 112007059925898-pct00017
Figure 112007059925898-pct00018
는 방위각 θ와는 독립적인 미지의 복소수 파라미터이다. 수치 해결기(numerical solver)를 다시 사용하여 상기 측정된 비율 z와 수학식 12의 아래쪽에 있는 모델 사이의 최상의 정합을 제공하는 이러한 파라미터들의 값을 찾아낸다. 상기 계산의 중요한 결과는 파라미터
Figure 112007059925898-pct00019
인데, 이것은 미지의 객체 표면에 대한 엘립소메트릭 비율이다.
예를 들어 수학식 6-8을 참조하여 전술한 바와 같이, 전자 프로세서는 테스트 객체의 모델에 따라 엘립소메트릭 비율을 처리하여 하나 이상의 층의 굴절률 및/또는 두께와 같은, 테스트 객체에 관한 정보를 추출할 수 있다.
도 5의 그래프들은 실리콘 기판 상의 675nm 두께의 SiO2 단층에 있어서 상기 분석을 이용하여 유도된 실험 데이터를 도시하고 있다. 상기 그래프들은 α=43° 및 λ=576nm인 경우, 실험적으로 측정된
Figure 112007059925898-pct00020
(위쪽) 및
Figure 112007059925898-pct00021
(아래쪽)의 실수부와 허수부를 도시하고 있다. 도시된 바와 같이, 유도된 비율
Figure 112007059925898-pct00022
는 수학식 12에서의 모델에서 예상되는 π-주기성(π-periodicity)을 나타내고 있다.
수학식 11에서 정의된 데이터의 링을 생성하기 위해서는 검출기에서의 광축의 위치를 알고 있어야만 한다는 것에 유념하라. 이 위치는 캘리브레이션 때문에 변화할 수 있다. 예를 들어, 기구는 표면 특성 모드로부터 토포그래피 모드로 전환하였기 때문에, 검출기에서의 동공의 중심의 투사는 일정하게 유지된다고 가정할 수 없다. 그러므로 소정의 실시예에서, 처리의 예비 단계는 각각의 검출기 소자에서 주파수 스펙트럼을 계산하는 단계, 각각의 픽셀에 대한 명목상의 평균 주파수를 유도하는 단계(예를 들어, 상기 명목상의 평균 주파수는 상기 측정된 스펙트럼의 중심(centroid)으로서 계산될 수 있다), 및 평균 주파수의 맵을 분석하여 동공 중심의 위치를 찾아내는 단계를 포함한다. 위의 캘리브레이션 섹션에서 언급한 바와 같이, 소정의 소스 스펙트럼에 대한 상기 평균 주파수는 객체 공간에서 입사각의 코사인에 따라 스케일링될 것으로 예측된다. 그러므로 상기 평균 주파수가 최대인 동공 위치는 광축에 대응한다. 몇몇 실시예에서, 이 위치는 상기 평균 주파수의 맵에 포물선과 같은 짝함수(even function)를 적합시키고 상기 포물선의 정점(apex)을 광축의 위치로서 정의함으로써 계산될 수 있다. 대안으로, 시스템 캘리브레이션에서와 같이, 시스템에 투입된 협대역 필터로 예비 측정을 수행할 수 있다.
설명의 간략화 및 용이함을 위하여, 위에서 약술한 과정은 상기 테스트 표면의 성질(nature) 및 광학 시스템에 관한 소정의 가정에 근거를 두고 있다. 그렇지만, 보다 복잡한 경우에 대해서는 보다 향상된 모델을 사용함으로써 시스템(100)에 의해 측정된 간섭 신호로부터 테스트 객체에 관한 정보를 추출할 수 있다. 예를 들어, 비-복굴절 캘리브레이션 샘플에 기초한 캘리브레이션 과정을 동일하게 유지하면서 테스트 구조가 복굴절을 나타낼 때는 다른 모델을 사용할 수 있다.
편광 소자의 추가 구성
위의 분석적 섹션은 편광 소자(140 및 144)가 서로 평행하게 향하도록 배치된 선형 편광기이고, 편광 소자(142 및 146)는 없으며, 빔 스플리터(112)는 비편광인 실시예에 근거하고 있다. 다른 실시예에서, 선형 분석기들의 평행을 보장하는 분석적으로 등가의 구성은 편광 소자(140 및 144)를 제거하고, 선형 편광기인 편광 소자(142)를 갖도록 되어 있는 데, 왜냐하면 상기 선형 편광기가 간섭 대물렌즈로 향하도록 되어 있는 입력광 및 검출기로 향하도록 되어 있는 결합된 광 모두의 경로에 위치하고 있기 때문이다. 다른 실시예에서, 편광 소자(140 및 144)는 서로 직각으로 배치되어 있는 선형 편광기일 수 있으며, 이 경우 객체로부터 다시 인입 하는 광량은 S 편광 및 P 편광의 방위-독립 가중된 합으로 승산된 방위각 위치의 주기 함수이다. 이러한 정보는 또한 테스트 객체에 관한 정보를 결정하는 데 사용될 수 있다.
또 다른 실시예에서는, 편광 소자(140, 144 및 146)가 없고 반면에 빔 스플리터(112)가 편광 타입이고 편광 소자(142)는 1/4파 플레이트이다. 1/4파 플레이트와, 빔 스플리터(112)에 의해 정의된 편광 축으로부터 45°에 있는 그 고속 축 및 저속 축과의 적절한 정렬에 의해 동공의 모든 포인트에 원형 편광 상태가 생긴다. 그런 다음 상기 검출된 간섭 신호에서의 S 편광 및 P 편광의 기여도는 소스 포인트들의 방위각 위치와는 관계 없는 1차(first-order)이다. 그러므로 광축으로부터 고정된 거리에 있는 검출기 소자들의 그룹들에 대해 수집된 정보를 결합하여 전체적인 측정이 신호 대 잡음비를 향상시킬 수 있다. 1/4파 플레이트의 성질에 의존하여, 예를 들어 파장에 따른 지연의 변화를 고려하기 위해서는 더욱 향상된 처리가 필요하고, 이에 따라 동공 면에서 소스 포인트들의 편광 상태에 대한 작은 방위각 의존성을 도입한다는 것에 유념하라. 이것은 종래 기술에 기지의 바와 같이, 예를 들어 존스(Jones) 행렬 및 벡터를 이용하여 수학적으로 모델화될 수 있다.
또 다른 실시예에서는, 편광 소자(140)이 없고, 빔 스플리터(112)는 편광 타입이고, 편광 소자(142)는 1/4파 플레이트이고, 편광 소자(144)는 없으며, 편광 소자(146)는 간섭 대물렌즈(106)에 부착된 선형 편광기이다. 상기 선형 편광기 때문에, 본 실시예는 평행한 선형 편광기를 갖는 제1 실시예와 분석적으로 등가이다. 그렇지만, 예를 들어 프로파일링 동작 모드에서 사용하기 위해 (편광기가 부착되지 않은) 다른 현미경 대물렌즈를 시스템에 장착할 때, 편광 빔 스플리터와 1/4파 플레이트를 부가하면 시스템의 광 효율성이 향상된다. 상세히 후술되는 바와 같이, 다른 현미경 대물렌즈들 사이의 앞뒤 전환은 대물렌즈 터릿(turret)으로 달성되는 데, 상기 대물렌즈 터릿은 생산 라인 기구용 전자 프로세서의 제어 하에 감시될 수 있다.
반사측정 모드
또 다른 실시예에서는, 편광 소자(140, 142, 144 및 146)가 모두 없고 빔 스플리터는 비편광 타입이다. 할로겐 전구의 필라멘트 또는 백색광 LED의 방출 재료와 같은 통상적인 광대역 소스의 경우, 조명 입력광은 편광되지 않는 데, 이것은 동공 면에서의 모든 소스 포인트에 있어서 편광 상태가 S 편광 구성성분과 P 편광 구성성분의 등가 혼합으로서 설명될 수 있다는 것을 의미한다. 이 경우, 상기 측정된 신호는 상기 1차에 대한 방위각 소스 위치와는 다시 독립적으로 될 것으로 예상된다. 이 경우 상기 시스템은 간섭계에서와 같이, 서로 다른 입사각들에 대해 객체에 의해 반사된 광량을 측정할 수 있다. 그렇지만, 하나의 파장의 광을 한 번에 포착하는 종래의 간섭계와는 대조적으로, 간섭계 시스템(100)은 한 번의 측정 중에 모든 소스 파장을 포착할 수 있다. 이러한 스펙트럼 구성성분들은 전술한 주파수 도메인에서의 신호의 분석을 이용하여 분리된다. 이 반사측정 동작 모드는 전술한 엘립소메트리 모드만큼 테스트 표면에 관한 훨씬 많은 반사율 정보를 제공하지는 않지만, 그럼에도 반사측정 정보는 테스트 객체의 속성의 변동에 민감하다. 예를 들어, 반사측정 데이터를 상기 테스트 객체의 모델들과 비교하여 동종의 샘플의 주어진 위치에서의 재료 합성, 굴절률, 두께, 및/또는 결함의 유무를 결정할 수 있다.
박막 샘플에 대한 선택적 신호 분석
테스트 객체가 하나 이상의 막을 포함할 때, 복수의 인터페이스는 각각의 검출기 소자에서 측정된 간섭 신호에 기여하는 복수의 반사를 생성한다. 광대역 광원의 경우, 동공 면에서의 다양한 위치에서 측정된 간섭 신호는 도 2 및 도 4(위쪽)에서의 신호 엔벨로프에 의해 도시된 바와 같이, 제한된 가간섭성 길이를 갖는다. 왕복 운동 광학 두께가 가간섭성 길이보다 클 정도로 막이 충분히 두꺼울 때, 상기 측정된 신호는 복수의 분리 가능한 신호로 구성되며, 상기 복수의 신호 각각은 2개의 재료 사이의 인터페이스에 대응한다.
이러한 신호의 일례가 실리콘(Si) 상의 5㎛ 두께의 이산화 실리콘(SiO2) 막의 경우에 있어서 도 6에 도시되어 있다. 이 경우, 우측의 약한 신호가 공기/SiO2 인터페이스에 대응한다. 중앙 쪽의 강한 신호는 SiO2/Si 인터페이스에 대응한다. 좌측의 약한 신호는 SiO2 층에서 광의 이중 통과 반사에 기인한 것이다. 이 경우, 주어진 인터페이스에 대응하는 신호의 각각의 부분을 독립적으로 처리하여 샘플 모델을 단순화하고(예를 들어, 미지의 파라미터의 수를 제한한다), 이에 의해 분석의 확실성(robustness)을 단순하게 하거나 향상시킬 수 있다.
예를 들어, 소정의 실시예에서, 상기 분석이 상부 층 재료의 무한히 두꺼운 슬랩(slab)을 측정하는 것과 동등하게 되도록 전자 프로세서는 공기/상부 층 인터페이스에 대응하는 신호의 일부를 처리한다. 이 경우, 수학식 6을 이용하여 상기 재료의 굴절률을 쉽게 계산할 수 있고, 모델링 시에 하부 층들의 효과 및 패턴화된 반도체 웨이퍼에서 발견되는 구조와 같은 복잡한 구조를 포함할 필요가 없다.
또한, 소정의 실시예에서는, 전자 프로세서가 상부 인터페이스에 대응하는 신호의 일부를 분석한 후, 하부 인터페이스에 대응하는 신호 부분들을 포함함으로써 전체 신호(또는 신호의 다른 부분들)에 대해 상기 처리를 반복할 수 있다. 이 경우 엘립소메트리 데이터를 처리하는 데 필요한 모델은 더 복잡하지만, 초기의 처리는 상부 층의 굴절률을 생성하기 때문에, 상기 처리는 초기의 처리가 없을 때에 수행되었던 것보다 더 간단하다. 예를 들어, 최우측의 신호를 처리할 때 두꺼운 단층의 굴절률을 먼저 계산할 수 있다. 기판 재료가 공지되어 있다고 가정하면, (예를 들어, 도 6에 도시된 전체 트레이스를 포함하는) 전체 간섭 신호의 처리는 수학식 7을 사용하여 막두께를 제공하는 새로운 엘립소메트릭 파라미터들을 생성한다. 굴절률의 계산과 물리적 두께의 계산을 분리할 수 있다는 이점이 있다.
충분히 두꺼운 층들로 이루어진 다층 스택의 경우에, 제1 층의 굴절률을 생성하는 제1 신호로 시작하는 부트스트랩 과정(bootstrap procedure)을 적용할 수 있다. 그런 다음 제1 신호와 제2 신호의 처리는 제1 층의 두께와 제2 층의 굴절률을 동시에 생성한다. 그런 다음 제1 신호, 제2 신호 및 제3 신호의 처리는 제2 층의 두께 및 제3 층의 굴절률을 생성하며, 그런 다음 이런 식으로 계속 진행된다. 종래의 엘립소메터들에서는 공통적인 것과 같이, 모든 재료와 두께가 알려지지 않 고 모든 인터페이스를 동시에 포함하는 엘립소메트릭 모델을 사용할 필요가 없다는 이점이 더 있다.
각각의 인터페이스와 관련된 간섭 신호를 부분적으로 중첩시키는 작은 막두께의 경우에 있어서도, 주어진 인터페이스에 대응하는 간섭 신호의 일부를 여전히 격리시킬 수 있고 그 부분을 별도로 처리할 수 있다. 예를 들어, 미국특허출원 No.US-2005-0078318-A1으로서 공지되었고 발명의 명칭이 "METHODS AND SYSTEMS FOR INTERFEROMETRIC ANALYSIS OF SURFACES AND RELATED APPLICATIONS"인 미국출원 No.10/941,649를 참조하라. 상기 문헌의 내용은 본 명세서에 원용되며, 프로파일링 모드와 관련해서 이러한 기술에 대해 설명한다.
프로파일링 모드 분석
전술한 바와 같이, 간섭계 시스템(100)은 테스프 표면에 관한 반사율 정보를 결정하기 위한 엘립소메트리(또는 반사측정) 모드로부터 예를 들어 테스트 표면의 토포그래피를 결정하기 위한 프로파일링 모드로 전환할 수 있다. 도 3에 도시된 바와 같이, 이것은 예를 들어 릴레이 렌즈(136)를, (동공 면을 검출기에 투영하기 보다는) 테스트 표면을 검출기에 투영하는 다른 렌즈(236)로 대체함으로써 달성될 수 있다. 이러한 구성은 표면 프로파일링에 대한 종래의 스캐닝 간섭계에 대응한다. 이하에, 표면 프로파일링 동작에 대한 수학적 형식주의에 대해 설명한다.
벌크 재료 테스트 객체(즉, 박막 구조가 없음)에 있어서, 테스트 레그(test leg)에서의 참조 데이터 면(reference datum plane)과 관련하여 각 파수(angular wavenumber) k=2π/λ에서 1차에 대한 간섭 신호 Φ는 다음과 같이 표현될 수 있 다:
Figure 112007059925898-pct00023
k0는 명목상의 파수이고, ζ는 변환 스테이지의 스캔 좌표이고,
Figure 112007059925898-pct00024
는 반사의 부분 표면 위상 변화(phase change on reflection: PCOR)이며,
Figure 112007059925898-pct00025
는 간섭계 시스템에 기인하는 시스템 위상 오프셋이다. 값
Figure 112007059925898-pct00026
는 간섭계 광학 기기로부터의 POCR 기여도 및 예를 들어 스캔 ζ의 시작 위치에서 생기는 임으의 일정한 오프셋을 포함한다. 선형 분산 계수
Figure 112007059925898-pct00027
Figure 112007059925898-pct00028
Figure 112007059925898-pct00029
Figure 112007059925898-pct00030
에 각각 대응한다. 위상 오프셋
Figure 112007059925898-pct00031
는 명목상의 파수 k0에서 평가된다. 공기에 대한 굴절률 n은 파수와는 무관한 것으로 가정한다. 당업자는 본 발명의 내용이 재료 인덱스의 파수 의존성(wavenumber dependence)을 고려함으로써 공기보다 더 밀집한 투명 매체로 까지 확장될 수 있다는 것을 이해할 것이다. 수학식 13에 있는 모든 항은 잠재적으로 필드 위치 x,y의 함수이며, 이하의 설명에서는 변수 n, ζ, k0는 시야에 걸쳐 일정한 것으로 가정한다.
광원으로부터의 광대역 방사 때문에, 참조 레그와 측정 레그 사이의 광학 경로 차(OPD)가 광대역 방사의 가간섭성 길이 내에 있을 때에만 간섭 프린 지(interference fringes)가 생성된다. 그러므로 간섭 효과의 광대역 성질, 예를 들어 프린지 콘트라스트의 국지화 또는 등가적으로 파수에 따른 간섭 위상의 변화율에 대한 측정을 사용하는 "비간섭성 프로파일링" 모드는 스캐닝 간섭 측정을 고려할 수 있다. 그 결과, 각각의 검출기 소자에 의해 측정된 간섭 신호 I를 다음과 같이 표현할 수 있다:
Figure 112007059925898-pct00032
여기서, V는 프린지 콘트라스트 엔벨로프이다. 엔벨로프 V는 광원으로부터의 광의 스펙트럼 분포의 푸리에 변환에 비례한다.
대칭적인 콘트라스트 엔벨로프에 있어서, 프린지 콘트라스트 엔벨로프의 피크값은
Figure 112007059925898-pct00033
인 경우 스캔 위치에 의해 주어진다. 이것은 고정 위상 위치이며, 간섭 위상은 파수와 관계 없이 동일한 경우, 모든 간섭 패턴은 구조적으로 합해진다. 더욱 일반적으로, 고정 위상 조건
Figure 112007059925898-pct00034
은 프린지 콘트라스트 엔벨로프 V의 중심에 대응한다는 것을 알 수 있다. 고정 위상 위치와, 가장 가까운 제로 위상 포인트 Φ=0 위치 사이의 위상 갭 G는 다음과 같이 주어진다:
Figure 112007059925898-pct00035
이것은, 파수와는 무관하지만 시스템 및 부분 파라미터에는 의존하는, 일정한 위상 오프셋이다. 위상 Φ0는 (ζ=0 스캔 위치와 관련하여) 명목상의 파수 k0에서의 위상이며, 예를 들어 수학식 13으로부터 다음과 같이 쓸 수 있다:
Figure 112007059925898-pct00036
수학식 14로부터, 최대 또는 피크 프린지 콘트라스트는 스캔 위치
Figure 112007059925898-pct00037
에서 발생한다는 것을 알 수 있다. 그러므로하나의 데이터 처리 실시예에서, 전자 프로세서는, 프로파일링 모드에서 동작할 때, 전자 또는 디지털 변환에 의해, 모든 검출기 픽셀에 대해, 프린지-콘트라스트 엔벨로프 V를 ζ의 함수로서 결정한다. 그런 다음 엔벨로프 V가 특정한 값, 예를 들어 그 최대치 또는 피크치에 도달하는 스캔 위치 ζmax를 결정한다. 테스트 객체 상의 각각의 위치에 대한 대응하는 높이 h는 이 스캔 위치에서 분산 오프셋을 뺀 것이다:
Figure 112007059925898-pct00038
다른 신호 처리 방법에서, 가간섭성 프로파일링 세기 신호는 스캔 위치 ζ와 관련해서 (즉, 주파수 파수 k와 관련해서) 주파수 도메인으로 변환된 푸리에이다. 상기 변환된 데이터이 위상은 수학식 13에서의 위상 Φ(k)에 직접 대응한다. 이 위상으로부터, 신호 프로세서는 위상 도함수
Figure 112007059925898-pct00039
를 계산하고, 다음 식에 따라 각각의 검출기 픽셀에 대한 높이 h를 결정한다:
Figure 112007059925898-pct00040
여기서, 도함수
Figure 112007059925898-pct00041
는 ζ=0에 대해 계산된다. 수학식 18은 수학식 13에 바로 뒤따른다.
수학식 17 및 18로부터, 가간섭성 프로파일링 데이터에 기초한 표면 높이 측정은, 간섭계 시스템 및 테스트 부분(예를 들어,
Figure 112010012490270-pct00042
Figure 112010012490270-pct00043
)에 대한 PCOR 분산을, 예를 들어 캘리브레이션에 의해 고려함으로써 더욱 정확하게 계산될 수 있다. POCR 인자들이 시야에 걸쳐 일정한 경우에는, 상기 POCR 인자들을 고려하지 않고 표면 프로파일에서 전체적인 시프트를 단지 도입만 하게 되어 그에 따른 표면 토포그래피가 정확하게 된다. 그렇지만, POCR 인자들이 예를 들어 표면 재료에서의 변동으로 인해 변화하는 경우에는 상기 POCR 인자들은 더욱 정확한 표면 토포그래피 프로파일을 제공하는 것을 고려해야만 한다.
가간섭성 프로파일링 외에, 표면 높이 측정 역시 간섭 위상 Φ(k)가 하나 이상의 파수 k에 대해 직접적으로 측정되는 간섭 위상 프로파일링 데이터에 근거를 두고 있다. 예를 들어, 이러한 측정에 위상 시프팅 간섭계(phase shifting interferometry: PSI) 기술을 사용할 수 있다. 수학식 13으로부터, 직접 간섭 위상 측정을 사용하여 높이 h를 결정하는 경우, POCR
Figure 112007059925898-pct00044
Figure 112007059925898-pct00045
(및 명목상의 파수 k0와는 다른 파수에 대한 POCR 분산
Figure 112007059925898-pct00046
Figure 112007059925898-pct00047
)을 고려하면 높이 측정의 정확성을 높일 수 있다는 것은 분명하다.
일반적으로, 가간섭성 프로파일링 측정에 대산 특별한 잡음 소스에 대한 감도는 위상 프로파일링 측정에 대한 감도와는 다르므로 특별한 기술이 특별한 애플리케이션에 바람직할 수 있거나, 상기 감도를 서로 보완하는 데 사용할 수 있다. 그러나 많은 위상 프로파일링 측정의 한가지 단점은 상기 측정된 위상 Φ(k)이 2π 프린지 불명료성(2π fringe ambiguity)을 포함한다는 것이다. 상대적으로 매끄러운 표면에 있어서, 상기 표면에 대한 상대적 프린지 불명료성은 표준 프린지 언래핑 과정(standard fringe unwrapping procedure)을 사용하여 복수의 검출기 픽셀의 데이터로부터 보간될 수 있다. 보다 일반적으로, 그렇지만, 가간섭성 프로파일링 데이터와 같은 독립 측정을 가지고 그러한 프린지 불명료성을 제거하는 것이 바람직하다. 그러므로 절대적인 표면 프로파일 측정치를 얻기 위해, 가간섭성 프로파일링 높이 측정치를 단독으로 사용할 수 있거나, 위상 프로파일링 측정치로부터 절대적인 프린지 불명료성을 제거하는 데 사용될 수 있으며, 이것은 몇몇의 경우에 있어서는 가간섭성 측정보다 더 정확할 수 있다.
이러한 하나의 실시예에서, 가간섭성 프로파일링 측정치로부터 유도된 높이 h는 다음 식에 따라 위상 Φ0=Φ(k)인 경우의 위상 프로파일링 데이터에 기초하여 절대적인 위상 프로파일링 높이 측정치를 계산하는 데 사용될 수 있다:
Figure 112007059925898-pct00048
여기서, Int[]는 가장 가까운 정수를 그 독립변수(argument)로 복귀시킨다. 수학식 19는는 부분 표면 상의 모든 포인트 x,y에 독립적으로 적용될 수 있다. 다시, 수학식 19로부터 분명한 바와 같이, POCR
Figure 112007059925898-pct00049
Figure 112007059925898-pct00050
을 고려하면 절대적인 위상 프로파일링 측정의 정확성을 높일 수 있다. 또한, 수학식 19는 h의 ㄱ가간섭성 프로파일링 결정을 통해 CR 분산값
Figure 112007059925898-pct00051
Figure 112007059925898-pct00052
에 무조건적으로 의존한다.
박막을 갖는 것과 같이, 더 많은 복합 샘플의 경우, 프로파일링 형식주의는 하부 표면으로부터의 반사가 또한 간섭 신호에 기여할 것이기 때문에 더욱 복잡해진다. 간섭 신호를 각각의 인터페이스에 대응하는 부분들로 분리하기 위해 입력광의 제한된 가간섭성 길이가 막두께에 비해 충분히 작은 경우, 전자 프로세서는 관심의 대상이 되는 인터페이스에 대응하는 간섭 신호의 그 부분을 격리시킬 수 있고 전술한 일반적인 형식주의 사용하여 상기 부분을 처리함으로써 그 인터페이스에 대한 표면 토포그래피를 추출할 수 있다. 또한, 각각의 인터페이스와 관련된 간섭 신호가 부분적으로 중첩되게 하는 작은 막두께의 경우에 있어서도, 주어진 인터페이스에 대응하는 간섭 신호의 일부를 격리시켜 그 부분을 별도로 처리하는 것이 여전히 가능하다. 예를 들어, 미국특허공개 No.US-2005-0078318-A1으로 공개되고 발명의 명칭이 "METHODS AND SYSTEMS FOR INTERFEROMETRIC ANALYSIS OF SURFACES AND RELATED APPLICATIONS"인 미국특허출원 No.10/941,649를 참조하라. 상기 문헌은 본 명세서에 원용된다. 또 다른 실시예에서, 전자 프로세서는 미국특허공개 No.US-2004-0189999-A1으로서 공개되고 발명의 명칭이 "PROFILING COMPLEX SURFACE STRUCTURES USING SCANNING INTERMETROMETRY"인 미국 특허출원 No.10/795,579에 개시된 모델 기반의 기술을 사용할 수 있으며, 상기 문헌의 내용은 복합 표면 구조에 대한 프로파일 정보를 결정하기 위해, 본 명세서에 원용된다.
프로파일링에 대한 추가의 실시예
릴레이 렌즈(136)을 전환시키는 대신에, 추가의 실시예에서는, 예를 들어, 릴레이 렌즈만을 남겨 두고 검출기(134)를 테스트 표면이 초점이 맞춰진 위치로 옮길 수 있다. 이것은 도 7에 개략적으로 도시되어 있는 데, 도 7에는 간섭계 시스템(700)의 나머지와 관련해서 결합된 광(132)을 수광하는 검출기 위치를 조정하기 위해 전자 프로세서(770)의 제어 하에 모니터링된 변환 스테이지(760)에 결합된 검출기(134)를 도시하고 있다. 상기 변환 스테이지는, 동공 면이 검출기에 투영되는 엘립소메트리 모드에 대응하는 제1 위치와, 테스트 표면이 검출기에 투영되는 프로파일링 모드에 대응하는 제2 위치 사이를 상기 시스템이 전환할 수 있게 한다.
도 8에 도시된 바와 같은 또 다른 실시예에서, 빔 스플리터(810)는 간섭계 시스템(800)의 나머지로부터 수광된 결합된 광(132)를 두개의 대응하는 다중 소자 검출기(880 및 890)를 갖는 두개의 채널로 분할할 수 있으며, 하나의 채널은 엘립소메트리 모드 측정치를 제공하기 위해 릴레이 광학 기기(875)를 사용하여 동공 면(114)을 제1 검출기(880)에 투영하고 다른 채널은 프로파일링 모드 측정치를 동시에 제공하기 위해 릴레이 광학 기기(885)를 사용하여 테스트 표면을 제2 검출기(890)에 투영한다. 양 검출기들은 전술한 바와 같이 검출기 이미지를 분석하는 전자 프로세서(870)에 결합되어 있다.
이러한 방법들에 대한 다양한 조합 역시 가능하다. 예를 들어, 시스템은 동공 면을 공통의 전자 검출기의 제1 부분에 투영하고 상기 테스트 표면을 상기 공통의 전자 검출기의 제2 부분에 투영하는 광학 기기를 포함할 수 있다. 이 경우, 상기 공통의 전자 검출기의 서로 다른 부분들은 별도의 검출기들로 고려될 수 있다.
다중 모드 동작 및 애플리케이션
도 9는 간섭계 시스템(100)의 다양한 구성요소가 전자 프로세서(970)의 제어 하에 어떻게 자동화될 수 있는지에 대한 개략도를 도시하며, 개시된 본 실시예에서, 상기 전자 프로세서(970)는 수학적 분석을 수행하는 분석 프로세서(972), 간섭계 시스템 내의 다양한 구성요소를 제어하는 디바이스 제어기(974), 사용자 인터페이스(예를 들어, 키보드 및 디스플레이), 및 캘리브레이션 정보, 데이터 파일, 샘플 모델, 및/또는 자동화된 프로토콜을 저장하기 위한 저장 매체(978)를 포함할 수 있다.
먼저, 상기 시스템은 복수의 대물렌즈(912)를 지지하고, 선택된 대물렌즈를 입력광(104)의 경로로 도입하도록 구성된 모니터링된 터릿(910)을 포함할 수 있다. 하나 이상의 대물렌즈는 간섭 대물렌즈일 수 있으며, 서로 다른 간섭 대물렌즈는 서로 다른 배율을 제공한다. 또한, 소정의 실시예에서, 하나(또는 그 이상의) 간섭 대물렌즈는 자체에 부착된 편광 소자(146)(예를 들어, 선형 편광기)를 구비함으로써 엘립소메트리 동작 모드를 위해 구성될 수 있다. 나머지 간섭 대물렌즈는 프로파일링 모드에서 사용될 수 있으며, 소정의 실시예에서는, (빔 스플리터(112)가 편광 빔 스플리터이고 편광 소자(142)는 1/4파 플레이트인 전술한 실시예에서와 같이) 광 효율성을 향상시키기 위해 편광 소자(146)를 생략할 수 있다. 또한, 하나 이상의 대물렌즈는 비간섭 대물렌즈(즉, 하나는 참조 레그가 없음)일 수 있으며, 각각은 상이한 배율을 가지며 그래서 시스템(100)은 또한 테스트 표면의 광학 이미지를 수집하는 종래의 현미경 모드에서 동작할 수 있다(이 경우 릴레이 렌즈는 테스트 표면을 검출기에 투영하도록 설정되어 있다). 터릿(910)은 전자 프로세서(970)의 제어 하에 있으며, 사용자 입력 또는 몇몇 자동화된 프로토콜에 따라 원하는 대물렌즈를 선택한다.
다음, 상기 시스템은, 릴레이 렌즈(136 및 236)를 지지하고 상기 릴레이 렌즈 중 하나를 결합된 광(132)의 경로에 선택적으로 위치시켜, 공동 판(114)이 검출기에 투영되는 제1 모드(예를 들어, 엘립소메트리 모드 또는 반사측정 모드)와 테스트 표면이 검출기에 투영되는 제2 모드(예를 들어, 프로파일링 모드 또는 현미경 모드)를 선택하는 모니터링된 스테이지(920)(예를 들어, 튜브 렌즈 홀더)를 포함한다. 모니터링된 스테이지(920)는 전자 프로세서(970)의 제어 하에 있으며, 사용자 입력 또는 몇몇 자동화된 프로토콜에 따라 원하는 릴레이 렌즈를 선택한다. 다른 실시예에서, 변환 스테이지는 상기 제1 모드와 상기 제2 모드 사이를 전환하기 위해 검출기의 위치를 조정하도록 이동하며, 상기 변환은 전자 프로세서의 제어 하에 있다. 또한, 두개의 검출 채널을 갖는 이들 실시예들에서, 각각의 검출기는 분석을 위해 전자 프로세서(970)에 결합되어 있다.
또한, 상기 시스템은 제1 스톱(138) 및 애퍼처 스톱(115)의 치수를 각각 제어하기 위해 전자 프로세서(970)의 제어 하에 모니터링된 애퍼처(930 및 932)를 포함할 수 있다. 다시 상기 모니터링된 애퍼처들은 상기 전자 프로세서(970)의 제어 하에 있고, 사용자 입력 또는 몇몇 자동화된 프로토콜에 따라 원하는 설정을 선택한다.
또한, 간섭계의 테스트 레그와 참조 레그 사이에서 상대적 광학 경로 길이를 가변시키는 데 사용되는 변환 스테이지(150)는 전자 프로세서(970)의 제어 하에 있다. 전술한 바와 같이, 변환 스테이지는 테스트 객체(126)를 지지하기 위한 탑재부(940)에 대해 간섭 대물렌즈의 위치를 조정하도록 결합될 수 있다. 대안으로, 추가의 실시예에서, 변환 스테이지는 간섭계 시스템의 위치를 상기 탑재부에 대해 전체적으로 조정할 수 있거나, 상기 변환 스테이지가 상기 탑재부에 결합되고 그래서 상기 탑재부는 광학 경로 길이 차를 가변하도록 이동한다.
또한, 전자 프로세서(970)의 제어 하에 있는 측면 변환 스테이지(940) 역시 테스트 객체를 지지하는 탑재부(940)에 결합되어 광학적 감시 하에 테스트 표면의 영역을 측면으로 이동시킨다. 소정의 실시예에서, 상기 측면 변환 스테이지(950) 는 또한 테스트 표면을 간섭 대물렌즈의 광축에 대해 수직으로 정렬시키도록 탑재부(940)을 배향시킬 수 있다(즉, 경사지게 할 수 있다).
마지막으로, 상기 전자 프로세서(970)의 제어 하에 있는 객체 핸들링 스테이션(940)은 테스트 샘플을 시스템(100)쪽으로 자동화적으로 도입 및 제거하여 상기 테스트 샘플을 측정할 수 있도록 탑재부(940)에 결합될 수 있다. 예를 들어, 당업계에 기지의 자동화된 웨이퍼 핸들링 시스템을 이 목적을 위해 사용할 수 있다. 또한, 필요하다면, 시스템(100) 및 객체 핸들링 스테이션(940)은 진공 또는 깨끗한 실내 조건 하에 내장되어 테스트 객체의 오염을 최소화 할 수 있다.
이와 같은 시스템은 유연성이 크므로 다양한 측정 양식 및 과정을 제공할 수 있다. 예를 들어, 시스템은 먼저 하나 이상의 선택된 배율을 갖는 현미경 모드로 구성되어 테스트 객체의 다양한 측면 위치에 대한 테스트 객체의 광학 이미지를 얻을 수 있다. 이러한 이미지를 사용자 또는 전자 프로세서(970)가 (머신 비전 기술을 사용하여) 상기 테스트 객체의 소정의 영역들(예를 들어, 특정한 구조 및 특징, 랜드마크, 기점 마커(diducial markers), 결함 등)을 식별할 수 있다. 그런 다음 이러한 식별에 기초하여, 샘플의 선택된 영역들을 엘립소메트리 모드에서 연구하여 샘플 속성(예를 들어, 굴절률, 하부 막 두께(들), 재료 식별 등)을 결정할 수 있다.
따라서, 전자 프로세서는, 모니터링된 스테이지(920)가 릴레이 렌즈를 엘립소메트리 모드용으로 구성된 것으로 전환할 수 있게 하고 또한 터릿(910)이 적절한 간섭 대물렌즈를 입력광의 경로로 도입할 수 있게 한다. 엘립소메트리 측정의 정확성을 높이기 위해, 전자 프로세서는 모니터링된 애퍼처(930)를 통해 필드 스톱의 크기를 소형화하여 테스트 객체의 작은 측면 동종 영역을 격리할 수 있다. 엘립소메트리 특성화가 완료된 후, 전자 프로세서(970)는 상기 기구를 프로파일링 모드로 전환하고, 적절한 배율을 갖는 간섭 대물렌즈를 선택하며 이에 따라 필드 스톱의 크기를 조정할 수 있다. 전술한 바와 같이, 프로파일링 모드는 예를 들어 테스트 객체를 구성하는 하나 이상의 인터페이스의 토포그래피를 재구성할 수 있게 하는 간섭 신호를 포착한다. 명백하게, 상세히 후술하는 바와 같이, 엘립소메트리 모드에서 결정된 다양한 재료의 광학적 특성의 지식에 의해 박막에 대한 상기 계산된 토포그래피 또는 프로파일을 일그러뜨리는 다른 재료 효과를 정정할 수 있다. 예를 들어, 미국특허공보 No.US-2004-0989999-A1으로서 공개되고 발명의 명칭이 "PROFILING COMPLEX SURFACE STRUCTURES USING SCANNING INTERFEROMETRY"인 미국특허출원 No.10/795,579를 참조하라. 상기 문헌은 본 명세서에서 원용된다. 원한다면, 전자 프로세서는 또한 애퍼처 스톱 직경을 모니터링된 애퍼처(932)를 통해 조정하여 어떠한 다양한 모드에서도 측정을 향상시킬 수 있다.
자동화된 객체 핸들링 시스템(960)과 결합하여 사용할 때, 측정 과정은 일련의 샘플에 대해 자동적으로 반복될 수 있다. 이것은 하나 이상의 반도체 프로세싱 단계를 모니터링하고, 테스트하고, 및/또는 최적화하는 것과 같이, 다양한 프로세스 제어 방식에 유용할 수 있다.
예를 들어, 시스템은 프로세스 흐름 자체를 모니터링하거나 제어하기 위해 특정한 도구용의 반도체 프로세서에서 사용될 수 있다. 프로세스 모니터링 애플리 케이션에서, 단층막/다층막은 대응하는 공정 도구에 의해 패턴화되지 않은 Si 웨이퍼 상에서 성장하고, 증착되고, 연마되고, 또는 에칭되며, 이어서 두께 및/또는 광학 속성은 여기에 개시된 간섭계 시스템을 사용하여 (예를 들어, 엘립소메트리 모드나 프로파일링 모드를 사용하거나 또는 양쪽 모드 모두를 사용하여) 측정된다. 웨이퍼 균일성 내에서 뿐만 아니라, 이러한 모니터 웨이퍼의 두께(및/또는 광학 속성)의 평균을 이용하여, 관련 프로세스 도구가 목표로 정해진 명세서로 동작하는지, 다시 목표가 정해져야 하는지, 조정되어야 하는지, 또는 생산 사용을 배제해야 하는지를 결정한다.
프로세스 제어 애플리케이션에서, 후자의 단층막/다층막은 대응하는 공정 도구에 의해 패턴화된 Si 생산 웨이퍼 상에서 성장하고, 증착되고, 연마되고, 또는 에칭되며, 이어서 두께 및/또는 광학 속성은 여기에 개시된 간섭계 시스템을 사용하여 (예를 들어, 엘립소메트리 모드나 프로파일링 모드를 사용하거나 또는 양쪽 모드 모두를 사용하여) 측정된다. 프로세스 제어에 사용되는 생산 측정은 통상적으로 작은 측정 위치를 포함하고 관심의 대상이 되는 샘플 영역에 측정 도구를 정렬시킬 수 있다. 상기 작은 측정 위치는 (자체가 패턴화될 수 있는) 다층막 스택으로 이루어지고 그러므로 관련 물리적 파라미터를 추출하기 위해 복잡한 수학적 모델링을 필요로 한다. 프로세스 제어 측정은 통합된 프로세스 흐름의 안정성을 결정하고 상기 통합된 프로세스가 계속되어야 하는지, 다시 목표를 정해야 하는지, 다른 장비로 방향을 바꾸어야 하는지 또는 전체적으로 정지하여야 하는지를 결정한다.
구체적으로, 예를 들어, 여기에 개시된 간섭계 시스템을 사용하여 이하의 장비: 확산, 급속 열 어닐링, 화학적 증기 증착 도구(저압 및 고압 모두), 유전체 에칭, 화학 기계적 연마기, 플라즈마 증착, 플라즈마 에칭, 리소그래피 트랙, 및 리소그래피 노출 도구를 모니터링할 수 있다. 또한, 여기에 개시된 간섭 시스템을 사용하여 이하의 프로세스: 트렌치 및 격리, 트랜지스터 변환, 뿐만 아니라 (이중 다마신(dual damascene)과 같은) 층간 유전체 형성을 제어할 수 있다.
상이한 모드로부터 정보를 결합하기
간섭계 시스템(100)의 하나의 강력한 특징은 신속하게 그리고 자동화된 방식으로 다양한 측정 모드에 대한 테스트 객체에 관한 정보를 모을 수 있을 뿐만 아니라, 하나의 동작 모드에서 결정된 그 정보를 사용하여 다른 동작 모드에서 측정의 정확성을 높일 수 있다는 것이다.
예를 들어, 엘립소메트리 동작 모드에 있을 때, 전자 프로세서는 객체 상의 서로 다른 위치에 제공된 다양한 재료의 광학 속성(예를 들어, 반도체 웨이퍼 상의 유전체 영역에 의해 분리된 구리선)을 결정할 수 있다. 이러한 경우, 각각의 재료는 통상적으로 별도의 측정을 필요로 한다. 이러한 속성들이 공지되어 있는 한, 객체 표면을 광반사시킴으로써 행해지는 반사시 위상 변화(PCOR)를 계산할 수 있다. 프로파일링 분석 섹션에서 전술한 바와 같이, 이러한 위상 변화들은 재료 종속aterial dependent)이고 토포그래피 측정에 악영향을 미친다. 예를 들어, 구리 영역은 유전체 영역과 관련해서 실제 존재하는 것보다 낮게 나타난다. 그렇지만, 엘립소메트리 모드에서 결정된 재료 종속 위상 변화에 대한 지식에 의해 전자 프로세서는 토포그래피 맵을 정정하여 실제의 표면 토포그래피를 얻을 수 있다. 실제로, 주어진 입사각 및 파장에 있어서의 반사시 위상 변화는 수학식 20에서 파라미터 Φ에 대한 기여 때문에 상기 측정된 신호에 악영향을 미친다. 예를 들어 다음과 같이 쓸 수 있다:
Figure 112007059925898-pct00053
여기서,
Figure 112010065149314-pct00054
는 기구의 한 특징이고
Figure 112010065149314-pct00055
는 그 특별한 측정 위치에 있어서 반사시 위상 변화이다. 두꺼운 금속막과 같은 벌크 재료의 경우 표면 특성화 기술은 예를 들어 수학식 6을 사용하여 계산된 굴절률을 생성한다. 그런 다음 전자 프로세서는, 프레넬 반사 계수의 복소 독립변수(complex argument)를 사용하여 다양한 입사각 및 파장에서 금속을 광반사시키기 위한 반사시 위상 변화의 값을 계산할 수 있다. 더 복잡한 표면 구조의 경우, 수학식 8에서의 이로운 함수의 최적화는 구조의 광학 속성을 생성한다. 그런 다음 산란 행렬 기술은 반사시 위상 변화를 입사각 및 파장의 함수로서 계산한다. 프로파일링 분석 섹션에서 전술한 바와 같이, 낮은 수치의 애퍼처(NA) 간섭 대물렌즈를 토포그래피 측정에 사용할 때, 상기 반사시 위상 변화의 효과에 대한 정정은 단순하게, (예를 들어, 수학식 6에 나타난 바와 같이) 표면 높이 계산에 사용된 파수에 의해 분할된 상기 계산된 위상 변화를 상기 표면 높이에서 감산하는 것이 된다. 높은 NA 간섭 대물렌즈에 있어서는 간섭계의 모델링으로부터 상기 정정이 생긴다: 상기 모델은 높이 h=0의 객체 포인트에 대한 적절한 가중치를 이용하여 다양한 파장에서의 다양한 소스 포인트에 의해 수집된 간섭 신호를 합산한다. 상기 합산된 간섭 신호는 토포그래피 측정에 사용된 것과 동일한 알고리즘을 이용하여 분석되어 유효 높이 h'을 생성하는 데, 이것은 단순하게 반사시 위상 변화의 조합에 기인한 높이 오프셋이다. 그런 다음 값 h'는 특별한 표면 구조에 대응하는 위치에서의 실험적 토포그래피 맵으로부터 감산된다.
다른 예에서, 엘립소메트리에서 측정의 정확성은 객체 표면이 간섭 대물렌즈의 광축에 대해 수직일 때(즉, 간섭 대물렌즈에 대한 객체 표면이 경사되어 있지 않을 때) 향상된다. 이것은 전자 프로세서가 토포그래피 모드로 전환하여 부분 배향(part orientation)을 조정하면서 표면 정점 및 경사의 반복된 측정을 수행함으로써 달성될 수 있다. 전술한 바와 같이, 상기 과정은 모니터링된 정점 및 경사 스테이지(960)에 의해 자동화될 수 있으며, 이것은 상기 기구가 자기 정합(self aligning)을 이루게 한다. 상기 부분이 적절하게 무효화되면, 상기 기구는 표면 특성화 모드(예를 들어, 엘립소메트리 모드 또는 반사측정 모드)로 다시 전환될 수 있다.
또 다른 실시예에서, 토포그래피 측정 모드는 또한 상부 층의 표면 거칠기를 측정하는 데도 사용될 수 있다. 이때 이 정보는 표면의 엘립소메트리 모델에 포함될 수 있다. 마찬가지로, 상부 표면의 토포그래피는 막의 두께 균일성에 관한 정보를 잠재적으로 제공한다. 이 정보는 표면 특성화 모드에서 측정 영역을 정의하는 필드 스톱의 크기를 최선으로 선택하는 데 사용될 수 있다. 예를 들어, 두께가 명목상으로 일정한 작은 영역을 선택하고자 할 수도 있다.
또한, 테스트 객체 내에서 대응하는 인터페이스를 격리하기 위해 간섭 신호의 일부를 선택하는 실시예들에서, 토포그래피 모드에서 (굴절률이 알려져 있으면) 막 광학 두께 또는 물리적 두께를 측정할 수 있다. 그런 다음 두께에 관한 이 선험적인 정보를 표면 특성화 모드에 제공하여 엘립소메트리 모델에 정확한 추측 값을 제공할 수 있다.
협대역 파장가변형 광원(Narrow-band Tunable Source)
또 다른 실시예에서, 도 1의 시스템(100)의 광원(102)은 전자 프로세서의 제어 하에 파장가변형 단색 광원으로 대체된다. 예를 들어, 상기 파장가변현 단색 광원은 파장가변형 스펙트럼 출력을 생성하기 위해 파장가변형 레이저 다이오드 또는 파장가변형 스펙트럼 필터와 일체화된 광대역 소스일 수 있다(예를 들어, 모노크로메이터, 스펙트럼 필터 휠(spectral filter wheel), 또는 파장가변형 액정 필터 등). 또한, 테스트 표면이 간섭 대물렌즈과 관련해서 초점 내에 있을 때 테스트 광과 참조 광 사이의 광학 경로 길이 차가 비제로(non-zero)가 되도록 참조 미러(122)의 위치를 조정한다. 검출기(134)는 상기 결합된 광에 의해 생성된 간섭 패턴을 상기 파장가변형 단색 광원의 파장이 스캐닝될 때 기록한다. 이 경우 간섭 대물렌즈와 관련해서 객체의 기계적 이동은 없다. 참조 미러의 위치, 및 상기 간섭계의 테스트 레그와 참조 레그 사이의 결과적인 비제로 광학 경로 길이 차의 조정으로 인해, 상기 파장가변형 단색 광원 주파수의 스캐닝은 각각의 검출기 소자에서 측정된 간섭 신호를 생성한다. 이 간섭 신호를 흔히 "채널 스펙트럼(channel spectrum)"이라 한다.
(도 1에서와 같이) 엘립소메트리 모드에서 동작할 때, 각각의 검출기 소자에서 측정된 간섭 신호의 세기는, "z"가 비제로 광학 경로 길이 차에 고정되어 있고 파수 k가 가변인 것을 제외하고는, 도 4에 대응한다. 분석 동안, 전자 프로세서는 위에서 도시된 것과 유사한 분석 구성(analytical framework)을 사용하여 수학식 4에서의 간섭 교차-항(cross-term)으로부터 테스트 표면의 파장-종속, 복소 반사율을 결정한다. 또한, 각각의 검출기 소자에서의 간섭 신호는 푸리에 변환되고, 상기 교차-항에 대응하는 변환된 신호의 일부를 선택하도록 필터링된 다음, 역푸리에 변환되어, 파장과 관련하여 신호의 크기(magnitude) 및 위상을 제공할 수 있다. 그런 다음 이 크기 및 위상을 전술한 것과 유사한 방식으로 엘립소메트리 파라미터에 대해 관련지을 수 있다. (도 3에서와 같이) 프로파일링 모드에서 동작할 때, 본 실시예의 간섭 신호는 푸리에 변환될 수 있으며, 비제로 광학 경로 길이 차에서의 위상 변동 및 다양한 검출기 소자에 대한 변환 좌표는 테스트 표면의 토포그래피의 변화와 관련될 수 있다. 푸리에 변환에서 다른 좌표로부터의 정보 역시 토포그래피 정보를 제공하는 데 사용될 수 있다.
따라서, 이러한 협대역의 파장가변형 광원에 대한 실시예도 다양한 동작 모드 및 전술한 다양한 애플리케이션에서 동작할 수 있다.
추가의 실시예
도 1 및 도 3에 도시된 실시예는 미라우 타입의 간섭 대물렌즈를 실행하는 데, 여기서는 간섭 대물렌즈의 빔 스플리터가 테스트 광에 대한 광축을 따라 참조 광을 반대로 향하게 한다. 다른 실시예에서, 간섭 시스템(100)은 마이켈슨 대물렌 즈와 같은, 다른 타입의 간섭 대물렌즈를 대신 사용할 수 있는 데, 여기서는 빔 스플리터가 참조 광을 테스트 광의 광축으로부터 떨어져서 향하게 한다(예를 들어, 빔 스플리터는 입력광에 대해 약 45도로 배향되어 테스트 광 및 참조 광이 서로에 대해 직각으로 이동할 수 있다). 이 경우, 참조 표면을 테스트 광의 경로 외측에 위치시킬 수 있다.
다른 실시예에서, 간섭 대물렌즈는 리닉 타입(Linnik-type)일 수 있는 데, 이 경우 빔 스플리터는 테스트 표면에 대한 대물렌즈 앞에 위치하고 상기 테스트 광 및 참조 광을 서로 다른 경로를 따라 향하게 한다. 별도의 대물렌즈를 사용하여 상기 참조 광을 참조 렌즈에 초점을 맞춘다. 바꾸어 말하면, 빔 스플리터는 입력광을 테스트 광 및 참조 광으로 분리하고, 그런 다음 별도의 대물렌즈는 상기 테스트 광 및 상기 참조 광을 각각의 테스트 표면 및 참조 표면에 초점을 맞춘다. 이상적으로 상기 두개의 대물렌즈가 서로 일치하여 상기 테스트 광 및 상기 참조 광이 유사한 수차(abberations) 및 광학 경로를 갖는다.
추가의 간섭 구성도 가능하다. 예를 들어, 테스트 샘플을 통해 투과한 다음 참조 광과 결합되는 테스트 광을 수집하도록 시스템을 구성할 수 있다. 이러한 실시예에서, 예를 들어, 상기 시스템은 각각의 레그 상에 이중 현미경 대물렌즈를 갖는 마하젠다 간섭계(Mach-Zehnder interferometer)를 실행할 수 있다.
상기 마하젠다 간섭계에서의 광원은: 할로겐 전구 또는 금속 할로겐 화합물 램프와 같이, 스펙트럼 대역통과 필터를 구비하거나 구비하지 않는 백열 광원; 광대역 레이저 다이오드; 발광 다이오드; 동일한 유형 또는 서로 다른 유형의 수개의 광원의 조합; 아크 램프; 가시 스펙트럼 영역에서의 임의의 광원; IR 스펙트럼 영역에서의, 특히 거친 표면을 보기 위한 그리고 위상 프로파일일 적용하기 위한 임의의 광원; 및 UV 스펙트럼 영역에서의, 특히 향상된 측면 분해능을 위한 임의의 광원 중 어느 것이어도 된다. 광대역 애플리케이션의 경우, 광원은 평균 파장이 5%더 넓은 순수 스펙트럼 대역폭을 가지며, 더욱 양호하게는 평균 파장이 10%, 20%, 30% 더 큰, 심지어는 50% 더 큰 순수 스펙트럼 대역폭을 갖는다. 파장가변형의 협대역 애플리케이션에 있어서, 파장 조정 범위(tuning range)는 넓은 파장 범위에 걸쳐 반사율 정보를 제공하기 위해 양호하게 넓고(예를 들어, 가시 광에 있어서 50nm보다 크거나, 10nm보다 크거나, 또는 200nm보다 크다), 반면에 임의의 특별한 설정에서의 스펙트럼 폭은 분해능을 최적화하기 위해 양호하게 좁은 데, 예를 들어 10nm, 2nm, 또는 1nm만큼이나 좁다. 광원은 또한 하나 이상의 확산기 소자를 포함하여 상기 광원으로부터 방출되는 입력광의 공간적 양을 증가시킨다.
또한, 변환 스테이지(150)과 같은, 시스템 내의 다양한 변환 스테이지는: 압전기 디바이스, 스테퍼 모터, 및 보이스 코일(voice coil)에 의해 구동되거나; 광학 경로 길이 변동을 도입하기 위해 (예를 들어, 액정, 전기-광학 효과, 팽팽한 광섬유, 및 회전하는 파면 중 어느 것을 사용함으로써) 순수 변환이 아닌 광학-기계적으로 또는 광학- 전기적으로 수행되거나; 굴곡형 탑재부(flexure mount)를 구비한 하나의 드라이버 및 기계적 스테이지 예를 들어 롤러 베어링 또는 에어 베어링을 구비한 임의의 드라이버 중 어느 것에 의해서 구동될 수 있다.
전자 검출기는 다중 소자 CCD 또는 CMOS 검출기와 같이, 공간 분해능으로 광 학 간섭 패턴을 측정하기 위한 어떠한 유형의 검출기이어도 된다.
소프트웨어
전술한 분석 단계는 표준 프로그래밍 기술을 사용하는 컴퓨터 프로그램으로 실행될 수 있다. 이러한 프로그램은, 전자 프로세서, 데이터 저장 시스템(메모리 및/또는 저장 소자를 포함함), 하나 이상의 입력 디바이스, 및 디스플레이나 프린터와 같은 하나 이상의 출력 디바이스를 포함하는, 프로그래머블 컴퓨터 또는 구체적으로 설계된 집적회로 상에서 실행하도록 설계되어 있다. 프로그램 코드를 입력 데이터(예를 들어, 검출기로부터의 이미지)에 적용하여 여기에 개시된 기능을 수행하고 출력 정보(예를 들어, 굴절률 정보, 두께 측정치(들), 표면 프로파일(들) 등)를 발생하고, 이 출력 정보는 하나 이상의 출력 디바이스에 적용된다. 각각의 이러한 컴퓨터 프로그램은 하이-레벨 절차상의 또는 객체-지향된 프로그래밍 언어나 어셈블리나 기계어로 수행될 수 있다. 또한, 상기 언어는 컴파일링되거나 해석된 언일 수 있다. 각각의 이러한 컴퓨터 프로그램은, 컴퓨터가 판독할 때 컴퓨터 내의 프로세서가 여기에 개시된 분석 및 제어 기능을 수행하게 하는 컴퓨터 판독 가능한 저장 매체(예를 들어, CD ROM 또는 자기 디스켓)에 저장될 수 있다.
본 발명의 일련의 실시예에 대해 서술하였다. 그럼에도, 본 발명의 정신 및 범주를 벗어남이 없이 다양한 변형이 이루어질 수 있음은 자명하다.

Claims (144)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
  50. 삭제
  51. 삭제
  52. 삭제
  53. 삭제
  54. 삭제
  55. 삭제
  56. 삭제
  57. 삭제
  58. 삭제
  59. 삭제
  60. 삭제
  61. 삭제
  62. 삭제
  63. 삭제
  64. 삭제
  65. 삭제
  66. 삭제
  67. 삭제
  68. 삭제
  69. 삭제
  70. 삭제
  71. 삭제
  72. 삭제
  73. 삭제
  74. 삭제
  75. 삭제
  76. 삭제
  77. 삭제
  78. 삭제
  79. 삭제
  80. 삭제
  81. 삭제
  82. 삭제
  83. 삭제
  84. 삭제
  85. 삭제
  86. 삭제
  87. 삭제
  88. 삭제
  89. 삭제
  90. 삭제
  91. 삭제
  92. 삭제
  93. 삭제
  94. 삭제
  95. 삭제
  96. 삭제
  97. 미리 정해진 범위의 각도 및 파장에서 테스트 표면의 반사율을 측정하는 제1 모드 및 미리 정해진 범위의 테스트 표면 위치들을 가로질러 상기 테스트 표면의 표면 높이, 두께, 묻혀 있는 인터페이스(interface)의 높이, 및 표면 거칠기 중 하나 이상을 측정하는 제2 모드 각각에서 동작하도록 구성된 간섭계 시스템을 포함하되,
    상기 간섭계 시스템은, 테스트 광을 테스트 표면으로 향하게 하여 상기 테스트 광을 참조 광과 결합하여 간섭 패턴을 형성하도록 구성되고 또 상기 광원의 가간섭성 길이보다 더 넓은 범위에 걸쳐 상기 테스트 광과 상기 참조 광 사이의 광학 경로 길이 차를 가변시키도록 구성된 스캐닝 간섭계를 포함하여 구성되고,
    상기 테스트 광 및 상기 참조 광은 공통의 광원으로부터 유도되는 것을 특징으로 하는 장치.
  98. 제97항에 있어서,
    상기 장치는 상기 제1 모드와 상기 제2 모드 사이를 선택적으로 전환하도록 구성된 것을 특징으로 하는 장치.
  99. 제97항에 있어서,
    상기 장치는 상기 제1 모드 및 상기 제2 모드의 양 모드에서의 측정치를 동시에 제공하도록 구성된 것을 특징으로 하는 장치.
  100. 제97항에 있어서,
    상기 스캐닝 간섭계는 하나 이상의 전자 검출기를 포함하며, 상기 전자 검출기는 복수의 검출기 소자로 이루어지며, 상기 제1 모드에서 상기 전자 검출기의 서로 다른 검출기 소자는 상기 간섭계 시스템에서 테스트 광에 의한 상기 테스트 표면의 서로 다른 조명 각도에 대응하는 것을 특징으로 하는 장치.
  101. 제100항에 있어서,
    상기 제1 모드는, 하나 이상의 선택된 편광에 대한 각도 및 파장의 범위에 걸쳐 상기 테스트 표면의 반사율을 측정하는 엘립소메트리 모드(ellipsometry mode)인 것을 특징으로 하는 장치.
  102. 제100항에 있어서,
    상기 제1 모드는, 편광되어 있지 않은 광(unpolarized light)에 대한 각도 및 파장의 범위에 걸쳐 상기 테스트 표면의 반사율을 측정하는 반사측정 모드(reflectometry mode)인 것을 특징으로 하는 장치.
  103. 제100항에 있어서,
    상기 스캐닝 간섭계는 상기 테스트 표면으로 향하는 테스트 광에 대한 동공 면(pupil plane)을 상기 전자 검출기에 투영하는 것을 특징으로 하는 장치.
  104. 제97항에 있어서,
    상기 스캐닝 간섭계는 하나 이상의 전자 검출기를 포함하며, 상기 전자 검출기는 복수의 검출기 소자로 이루어지고, 상기 제2 모드에서 상기 전자 검출기의 서로 다른 검출기 소자는 상기 간섭계 시스템에서 테스트 광에 의해 조명된 상기 테스트 표면의 서로 다른 위치에 대응하는 것을 특징으로 하는 장치.
  105. 제104항에 있어서,
    상기 스캐닝 간섭계는 상기 테스트 표면을 상기 전자 검출기에 투영하도록 구성된 것을 특징으로 하는 장치.
  106. 제104항에 있어서,
    상기 제2 모드는 프로파일링 모드인 것을 특징으로 하는 장치.
  107. 제97항에 있어서,
    상기 간섭계 시스템에 결합되고 각각의 동작 모드에서 상기 간섭계 시스템에 의해 측정된 정보를 처리하여 상기 테스트 표면을 갖는 테스트 객체에 관한 정보를 결정하도록 구성된 전자 프로세서를 더 포함하며,
    상기 전자 프로세서는, 하나의 동작 모드에서 얻은 정보를 사용하여, 다른 동작 모드에서 동작할 때 상기 테스트 객체에 대한 정보로서 상기 하나의 동작 모드에서 얻은 정보와는 다른 정보를 결정하도록 구성된 것을 특징으로 하는 장치.
  108. 제97항에 있어서,
    상기 간섭계 시스템은 비간섭 현미경 모드에서 선택적으로 동작하여 상기 테스트 표면의 비간섭 광학 이미지를 측정하기 위한 비간섭 대물 렌즈를 추가로 포함하여 이루어지는 것을 특징으로 하는 장치.
  109. 미리 정해진 범위의 각도 및 파장에 걸쳐 테스트 표면의 반사율을 측정하는 제1 동작 모드로, 간섭계 시스템을 사용하여 상기 테스트 표면을 측정하는 단계; 및
    상기 테스트 표면의 토포그래피를 간섭적으로 프로파일링하는 제2 동작 모드로, 상기 간섭계 시스템을 사용하여 상기 테스트 표면을 측정하는 단계
    를 포함하는 것을 특징으로 하는 방법.
  110. 제109항에 있어서,
    상기 테스트 표면의 하나 이상의 비간섭성 현미경 이미지(non-interferometric microscope images)를 생성하는 제3 동작 모드로, 상기 간섭계 시스템을 사용하여 상기 테스트 표면을 측정하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  111. 제109항에 있어서,
    상기 제1 동작 모드에서 상기 테스트 표면을 측정하는 단계는,
    조명 각도의 범위에 걸쳐 테스트 광을 상기 테스트 표면으로 향하게 하는 조향 단계;
    이어서 상기 테스트 광을 참조 광과 결합하여 간섭 패턴을 형성하되, 상기 테스트 광 및 상기 참조 광은 공통 소스로부터 유도되는, 상기 간섭 패턴을 형성하는 형성 단계; 및
    상기 결합된 광의 적어도 일부를 다중 소자 검출기로 향하게 하여 상기 다중 소자 검출기의 서로 다른 검출기 소자가 상기 테스트 광에 의해 상기 테스트 표면의 서로 다른 조명 각도에 대응하도록 하는 대응 단계
    를 포함하고,
    상기 다중 소자 검출기는 복수의 검출기 소자를 포함하여 이루어진 검출기인 것을 특징으로 하는 방법.
  112. 제111항에 있어서,
    상기 테스트 광에 대한 동공 면을 상기 다중 소자 검출기에 투영함으로써 상기 결합된 광을 상기 다중 소자 검출기로 향하게 하는 것을 특징으로 하는 방법.
  113. 제112항에 있어서,
    상기 다중 소자 검출기의 복수의 검출기 소자 각각에서의 간섭 신호를 상기 테스트 광과 상기 참조 광 사이의 광학 경로 길이를 가변시키는 함수로서 측정하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  114. 제113항에 있어서,
    서로 다른 검출기 소자에서의 간섭 신호의 주파수에 기초하여, 상기 테스트 광에 의한 상기 테스트 표면의 서로 다른 조명 각과 상기 다중 소자 검출기의 서로 다른 검출기 소자 사이의 관계를 결정하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  115. 제113항에 있어서,
    서로 다른 검출기 소자에서의 간섭 신호의 주파수에 기초하여 상기 다중 소자 검출기 상의 상기 결합된 광에 대한 광학 축의 위치를 결정하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  116. 제113항에 있어서,
    서로 다른 검출기 소자에서의 간섭 신호의 주파수에 기초하여 상기 광학 경로 길이 차가 가변하는 레이트를 결정하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  117. 제111항에 있어서,
    상기 테스트 표면은 기지의 반사 특성을 가지며,
    상기 방법은, 서로 다른 검출기 소자에서 측정된 신호 및 상기 테스트 표면의 기지(旣知)의 반사 특성에 기초하여 상기 테스트 광의 방향을 제어하여 상기 참조 광과 결합시키기 위해 간섭계의 광학적 특성을 조정하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  118. 제117항에 있어서,
    기지의 반사 특성을 가진 상기 테스트 표면과는 다른 제2의 테스트 표면에 대해, 상기 조향 단계, 상기 형성 단계 및 상기 대응 단계를 실행하는 단계와,
    상기 테스트 표면의 기지의 반사 특성 및 상기 테스트 표면과는 다른 상기 제2의 테스트 표면의 기지의 반사 특성에 기초하여 상기 간섭계의 광학적 특성을 조정하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  119. 제111항에 있어서,
    상기 테스트 광, 상기 참조 광 및 상기 결합된 광 중 하나 이상은 편광되어 있는 것을 특징으로 하는 방법.
  120. 제111항에 있어서,
    서로 다른 검출기 소자에서 측정된 신호에 기초하여 상기 테스트 표면을 갖는 테스트 객체에 관한 정보를 결정하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  121. 제120항에 있어서,
    상기 테스트 객체에 관한 정보를 결정하는 단계는 서로 다른 조명 각도 및 파장에 관하여 상기 테스트 표면에 대한 반사율을 결정하는 단계를 포함하는 것을 특징으로 하는 방법.
  122. 제121항에 있어서,
    상기 반사율은, 선택된 편광 상태에 있어서, 서로 다른 조명 각도 및 파장에 관하여 복합 반사율인 것을 특징으로 하는 방법.
  123. 제121항에 있어서,
    상기 테스트 객체에 관한 정보를 결정하는 단계는 상기 측정된 반사율과 상기 테스트 객체의 모델에 기초한 반사율에 대한 추정치를 비교하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  124. 제109항에 있어서,
    하나의 동작 모드에서 얻은 정보를 사용하여, 다른 동작 모드에서 동작할 때 상기 테스트 객체에 관한 정보를 추가로 결정하는 것을 보조하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  125. 제109항에 있어서,
    상기 제1 동작 모드 및 상기 제2 동작 모드에서 테스트 표면을 측정하도록 간섭계 시스템을 사용하는 단계는,
    테스트 광을 테스트 표면으로 향하게 하고 상기 테스트 광을 참조 광과 결합하여 간섭 패턴을 형성하되, 상기 테스트 광 및 상기 참조 광은 공통 소스로부터 유도되는, 상기 간섭 패턴을 형성하는 단계;
    상기 공통 소스의 가간섭성 길이보다 더 넓은 범위에 걸쳐 상기 테스트 광과 상기 참조 광 사이의 광학 경로 길이 차를 가변시키는 단계를 포함하는 것을 특징으로 하는 방법.
  126. 제97항에 있어서,
    상기 간섭계 시스템이 상기 공통의 광원을 더 구비하는 것을 특징으로 하는 장치.
  127. 제100항에 있어서,
    상기 전자 검출기에 결합된 전자 프로세서를 더 포함하며, 상기 전자 프로세서는 상기 전자 검출기에 의해 측정된 정보를 처리하여 상기 테스트 표면을 갖는 테스트 객체에 관한 정보를 결정하는 것을 특징으로 하는 장치.
  128. 제127항에 있어서,
    상기 테스트 객체는 기판 위에 하나 이상의 층을 포함하는 것을 특징으로 하는 장치.
  129. 제127항에 있어서,
    상기 전자 프로세서는 상기 전자 검출기의 측정으로부터 상기 테스트 표면에 관한 각도상으로 분석된 반사율 정보를 추출하고, 상기 각도상으로 분석된 정보에 기초하여 상기 테스트 객체에 관한 정보를 결정하는 것을 특징으로 하는 장치.
  130. 제127항에 있어서,
    상기 테스트 광과 참조 광이 간섭 패턴을 형성할 때 상기 테스트 광과 상기 참조 광 사이의 상대적 광학 경로를 조정하도록 구성된 변환 스테이지를 더 포함하며, 상기 전자 프로세서는, 상기 전자 검출기를 가로질러 복수의 위치 각각에서 측정되고 상기 변환 스테이지를 스캐닝하여 생성된, 간섭의 세기를 나타내는 간섭 세기 신호를 분석하도록 구성된 것을 특징으로 하는 장치.
  131. 제130항에 있어서,
    상기 전자 프로세서는, 상기 전자 검출기 상의 서로 다른 위치에서의 상기 간섭 세기 신호의 주파수에 기초하여 상기 전자 검출기의 서로 다른 영역과 상기 테스트 광에 의한 상기 테스트 표면의 서로 다른 조명 각도 사이의 대응 관계를 결정하도록 구성된 것을 특징으로 하는 장치.
  132. 제130항에 있어서,
    상기 전자 프로세서는, 상기 전자 검출기를 가로질러 측정된 상기 간섭 세기 신호에 기초하여 상기 테스트 표면에 관한 정보로서 각도 및 파장으로 분해되는 정보를 추출하도록 구성된 것을 특징으로 하는 장치.
  133. 제132항에 있어서,
    상기 전자 프로세서는 상기 전자 검출기의 서로 다른 위치에서의 간섭 신호를 주파수 도메인으로 변환하여 각도 및 파장으로 분해되는 정보를 추출하는 것을 특징으로 하는 장치.
  134. 제133항에 있어서,
    상기 스캐닝 간섭계는 상기 전자 검출기에 의해 측정된 상기 간섭 패턴의 편광량(polarization extent)을 조정하도록 위치하는 하나 이상의 편광 소자를 포함하고, 상기 전자 프로세서는 상기 전자 검출기를 가로질러 측정된 상기 간섭 세기 신호에 기초하여 상기 테스트 표면에 관한 정보로서 각도 및 파장으로 분해되는 정보를 추출하도록 구성된 것을 특징으로 하는 장치.
  135. 제134항에 있어서,
    상기 각도상으로 분석되고 파장-분석되며 편광-분석된 정보는 상기 테스트 표면에 관한 복합 반사율 정보(complex reflectivity information)인 것을 특징으로 하는 장치.
  136. 제135항에 있어서,
    상기 테스트 객체에 관한 정보는 상기 테스트 객체의 일부에 대한 굴절률 추정치를 포함하는 것을 특징으로 하는 장치.
  137. 제132항에 있어서,
    상기 테스트 객체에 관한 정보는 상기 테스트 객체의 일부에 대한 두께 추정치를 포함하는 것을 특징으로 하는 장치.
  138. 제129항에 있어서,
    상기 전자 프로세서는, 상기 전자 검출기에 기록된, 간섭의 세기를 나타내는 복수의 간섭 세기 신호 중 일부를 서브세트로서 선택하도록 구성되고, 상기 서브세트는 상기 테스트 객체의 선택된 인터페이스에 대응하며, 상기 전자 프로세서는 상기 서브세트를 처리하여 상기 선택된 인터페이스에 대한 상기 테스트 객체에 관한 정보를 결정하는 것을 특징으로 하는 장치.
  139. 제127항에 있어서,
    상기 전자 프로세서는 상기 전자 검출기에 의해 측정된 정보에 따른 데이터와 상기 테스트 객체에 대한 모델 사이의 비교에 기초하여 상기 테스트 객체에 관한 정보를 결정하도록 구성된 것을 특징으로 하는 장치.
  140. 제139항에 있어서,
    상기 모델은 상기 측정된 정보에 대한 추정치를 상기 테스트 객체에 대한 하나 이상의 파라미터의 함수로서 제공하며, 상기 비교는 상기 하나 이상의 파라미터에 대한 값을 선택하여 상기 측정된 정보와 상기 모델에 의해 제공된 정보 사이의 적합도(fit)를 최적화하는 것을 특징으로 하는 장치.
  141. 제107항에 있어서,
    상기 제1 동작 모드에서 얻은 정보는 상기 테스트 객체의 일부의 굴절률을 포함하고, 상기 전자 프로세서는, 상기 굴절률에 기초하여 반사시 위상 변화(phase change on reflection: PCOR)를 결정하여 제2 동작 모드에서 동작할 때 토포그래피 정보(topography information)를 결정하는 것을 보조하도록 구성된 것을 특징으로 하는 장치.
  142. 제107항에 있어서,
    상기 제2 동작 모드에서 얻은 정보는 상기 테스트 표면의 표면 거칠기(roughness)에 대한 추정치를 포함하고, 상기 전자 프로세서는, 상기 제1 동작 모드에서 상기 전자 검출기에 의해 측정된 정보를 처리하도록 상기 표면 거칠기 추정치를 사용하여 상기 테스트 객체에 관한 정보를 결정하도록 구성된 것을 특징으로 하는 장치.
  143. 제127항에 있어서,
    상기 전자 프로세서는 상기 스캐닝 간섭계의 광학 특성에 관한 캘리브레이션 정보를 저장하고 상기 캘리브레이션 정보와 상기 전자 검출기에 의해 측정된 정보를 사용하여 상기 테스트 객체에 관한 정보를 결정하는 것을 특징으로 하는 장치.
  144. 삭제
KR1020077019011A 2005-01-20 2006-01-19 객체 표면의 특성을 결정하기 위한 간섭계 KR101006422B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US64544805P 2005-01-20 2005-01-20
US60/645,448 2005-01-20

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020097009642A Division KR101006423B1 (ko) 2005-01-20 2006-01-19 객체 표면의 특성을 결정하기 위한 간섭계

Publications (2)

Publication Number Publication Date
KR20070104615A KR20070104615A (ko) 2007-10-26
KR101006422B1 true KR101006422B1 (ko) 2011-01-06

Family

ID=36218638

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020097009642A KR101006423B1 (ko) 2005-01-20 2006-01-19 객체 표면의 특성을 결정하기 위한 간섭계
KR1020077019011A KR101006422B1 (ko) 2005-01-20 2006-01-19 객체 표면의 특성을 결정하기 위한 간섭계

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020097009642A KR101006423B1 (ko) 2005-01-20 2006-01-19 객체 표면의 특성을 결정하기 위한 간섭계

Country Status (8)

Country Link
US (4) US7616323B2 (ko)
EP (2) EP1853874B1 (ko)
JP (2) JP4768754B2 (ko)
KR (2) KR101006423B1 (ko)
AT (1) ATE441831T1 (ko)
DE (1) DE602006008896D1 (ko)
TW (2) TWI409451B (ko)
WO (1) WO2006078718A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102305193B1 (ko) * 2021-01-28 2021-09-27 에이치비솔루션(주) 백색광주사간섭계를 이용한 투명막 굴절률 측정 방법

Families Citing this family (157)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7139081B2 (en) 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US7869057B2 (en) 2002-09-09 2011-01-11 Zygo Corporation Multiple-angle multiple-wavelength interferometer using high-NA imaging and spectral analysis
US7324214B2 (en) 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
US7102758B2 (en) 2003-05-06 2006-09-05 Duke University Fourier domain low-coherence interferometry for light scattering spectroscopy apparatus and method
US7289225B2 (en) 2003-09-15 2007-10-30 Zygo Corporation Surface profiling using an interference pattern matching template
TWI335417B (en) 2003-10-27 2011-01-01 Zygo Corp Method and apparatus for thin film measurement
KR100831806B1 (ko) * 2004-04-19 2008-05-28 아리스트 인스트루먼트, 인크. 박막 및 cd 측정들을 위한 빔 프로파일 복합 반사율시스템 및 방법
US7142311B2 (en) * 2004-05-18 2006-11-28 Zygo Corporation Methods and systems for determining optical properties using low-coherence interference signals
GB0415766D0 (en) * 2004-07-14 2004-08-18 Taylor Hobson Ltd Apparatus for and a method of determining a characteristic of a layer or layers
US7884947B2 (en) * 2005-01-20 2011-02-08 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
JP4768754B2 (ja) * 2005-01-20 2011-09-07 ザイゴ コーポレーション オブジェクト表面の特徴を求める干渉計
DE102005022819A1 (de) * 2005-05-12 2006-11-16 Nanofocus Ag Verfahren zur Bestimmung der absoluten Dicke von nicht transparenten und transparenten Proben mittels konfokaler Messtechnik
US20070002336A1 (en) * 2005-06-30 2007-01-04 Asml Netherlands B.V. Metrology apparatus, lithographic apparatus, process apparatus, metrology method and device manufacturing method
US20080296477A1 (en) * 2005-08-31 2008-12-04 U.S.A. as represented by the Administrator of the National Aeronautics and Space Adm. Optical system for inducing focus diversity
WO2007044786A2 (en) * 2005-10-11 2007-04-19 Zygo Corporation Interferometry method and system including spectral decomposition
US8537366B2 (en) * 2005-10-11 2013-09-17 Duke University Systems and methods for endoscopic angle-resolved low coherence interferometry
CA2626116C (en) * 2005-10-11 2012-08-21 Duke University Systems and method for endoscopic angle-resolved low coherence interferometry
CN1971256A (zh) * 2005-11-25 2007-05-30 鸿富锦精密工业(深圳)有限公司 透光薄膜瑕疵的检验方法
US9050026B2 (en) 2006-01-20 2015-06-09 Clarity Medical Systems, Inc. Apparatus and method for operating a real time large diopter range sequential wavefront sensor
US8356900B2 (en) * 2006-01-20 2013-01-22 Clarity Medical Systems, Inc. Large diopter range real time sequential wavefront sensor
EP2013570A1 (en) * 2006-04-28 2009-01-14 Micronic Laser Systems Ab Method and apparatus for recording of images and study of surfaces
DE102006028238B3 (de) * 2006-06-20 2007-07-19 Benecke-Kaliko Ag Verfahren zur Analyse der Reflexionseigenschaften
AU2007275018A1 (en) * 2006-07-21 2008-01-24 Oncoscope, Inc. Protective probe tip, particularly for use on a fiber-optic probe used in an endoscopic application
EP2097713A4 (en) * 2006-12-22 2010-09-15 Zygo Corp DEVICE AND METHOD FOR MEASURING SURFACE PROPERTIES
US7889355B2 (en) 2007-01-31 2011-02-15 Zygo Corporation Interferometry for lateral metrology
US8213021B2 (en) * 2007-06-29 2012-07-03 Veeco Metrology, Inc. Interferometric measurement of non-homogeneous multi-material surfaces
TWI336767B (en) * 2007-07-05 2011-02-01 Ind Tech Res Inst Method for calibration of image and apparatus for acquiring image
US7619746B2 (en) * 2007-07-19 2009-11-17 Zygo Corporation Generating model signals for interferometry
JP5579606B2 (ja) * 2007-09-13 2014-08-27 デユーク・ユニバーシテイ 低コヒーレンス干渉法(lci)のための装置、システムおよび方法
US8072611B2 (en) 2007-10-12 2011-12-06 Zygo Corporation Interferometric analysis of under-resolved features
JP5222954B2 (ja) 2007-11-13 2013-06-26 ザイゴ コーポレーション 偏光スキャンを利用した干渉計
KR100917912B1 (ko) * 2007-11-13 2009-09-16 한국표준과학연구원 단일 편광자 초점 타원계측기
KR20090049951A (ko) * 2007-11-14 2009-05-19 한국표준과학연구원 선형 초점 타원계측기
FR2923905B1 (fr) * 2007-11-19 2014-09-05 Centre Nat Etd Spatiales Procede et dispositif pour l'inversion interferometrique a echantillonnage libre
EP2232195B1 (en) 2007-12-14 2015-03-18 Zygo Corporation Analyzing surface structure using scanning interferometry
CA2711643A1 (en) * 2008-01-08 2009-07-16 Oncoscope, Inc. Systems and methods for tissue examination, diagnostic, treatment, and/or monitoring
KR100988454B1 (ko) 2008-01-31 2010-10-18 에스엔유 프리시젼 주식회사 두께 측정방법
US8248617B2 (en) * 2008-04-22 2012-08-21 Zygo Corporation Interferometer for overlay measurements
US7864334B2 (en) * 2008-06-03 2011-01-04 Jzw Llc Interferometric defect detection
KR101556430B1 (ko) 2008-06-03 2015-10-01 환 제이. 정 간섭 결함 검출 및 분류
US7986412B2 (en) 2008-06-03 2011-07-26 Jzw Llc Interferometric defect detection and classification
JP5336772B2 (ja) * 2008-06-04 2013-11-06 オリンパス株式会社 顕微鏡システム、及び、変倍方法
RU2494375C2 (ru) * 2008-09-25 2013-09-27 Конинклейке Филипс Электроникс Н.В. Система и способ детектирования
US8120781B2 (en) 2008-11-26 2012-02-21 Zygo Corporation Interferometric systems and methods featuring spectral analysis of unevenly sampled data
US8130904B2 (en) 2009-01-29 2012-03-06 The Invention Science Fund I, Llc Diagnostic delivery service
US8111809B2 (en) 2009-01-29 2012-02-07 The Invention Science Fund I, Llc Diagnostic delivery service
US8107084B2 (en) * 2009-01-30 2012-01-31 Zygo Corporation Interference microscope with scan motion detection using fringe motion in monitor patterns
TWI447351B (zh) * 2009-02-24 2014-08-01 Univ Nat Taipei Technology 正交偏極式Mirau干涉術以及其分光模組與干涉系統
EP2403396B1 (en) 2009-03-04 2019-08-14 Elie Meimoun Wavefront analysis inspection apparatus and method
US8045175B2 (en) * 2009-06-19 2011-10-25 Zygo Corporation Equal-path interferometer
US8189202B2 (en) * 2009-08-04 2012-05-29 Zygo Corporation Interferometer for determining overlay errors
US8559014B2 (en) * 2009-09-25 2013-10-15 Hwan J. Jeong High-resolution, common-path interferometric imaging systems and methods
TWI408331B (zh) * 2009-12-17 2013-09-11 Ind Tech Res Inst 雙面光學膜片量測裝置與方法
DE102010015944B4 (de) * 2010-01-14 2016-07-28 Dusemund Pte. Ltd. Dünnungsvorrichtung mit einer Nassätzeinrichtung und einer Überwachungsvorrichtung sowie Verfahren für ein in-situ Messen von Waferdicken zum Überwachen eines Dünnens von Halbleiterwafern
JP2013518256A (ja) 2010-01-22 2013-05-20 デユーク・ユニバーシテイ 分光光コヒーレンストモグラフィ(oct)およびフーリエドメイン低コヒーレンス干渉法のための多重ウィンドウ処理スキーム
US9823127B2 (en) 2010-01-22 2017-11-21 Duke University Systems and methods for deep spectroscopic imaging of biological samples with use of an interferometer and spectrometer
TWI398623B (zh) * 2010-03-11 2013-06-11 Chroma Ate Inc Measurement method of interference system
TWI407078B (zh) * 2010-06-08 2013-09-01 Chung Shan Inst Of Science Micro - lens array surface profile detection system and its detection method
KR101174274B1 (ko) 2010-09-13 2012-08-16 케이맥(주) 간섭계와 2차원-반사광도계의 측정이 가능한 복합시편 표면특성 측정장치
US8854628B2 (en) 2010-09-22 2014-10-07 Zygo Corporation Interferometric methods for metrology of surfaces, films and underresolved structures
DE102010041556A1 (de) 2010-09-28 2012-03-29 Carl Zeiss Smt Gmbh Projektionsbelichtungsanlage für die Mikrolithographie und Verfahren zur mikrolithographischen Abbildung
TWI448789B (zh) * 2010-10-07 2014-08-11 Innolux Corp 光配向製程與使用此光配向製程的液晶顯示裝置
US20120089365A1 (en) * 2010-10-08 2012-04-12 Zygo Corporation Data interpolation methods for metrology of surfaces, films and underresolved structures
JP2012122768A (ja) * 2010-12-06 2012-06-28 National Central Univ 光学マルチ波長インターフェロメトリーを使用した薄膜素子測定方法
JP5794664B2 (ja) * 2011-01-20 2015-10-14 キヤノン株式会社 断層画像生成装置及び断層画像生成方法
US9086483B2 (en) 2011-03-28 2015-07-21 Northrop Grumman Guidance And Electronics Company, Inc. Systems and methods for detecting and/or identifying materials
JP5821029B2 (ja) * 2011-07-22 2015-11-24 パナソニックIpマネジメント株式会社 偏光解析装置
KR20130028370A (ko) * 2011-09-09 2013-03-19 삼성전자주식회사 영상 모델링 시스템에서 형상 정보, 재질 정보 및 조명 정보를 획득하는 장치 및 방법
TW201326737A (zh) * 2011-12-30 2013-07-01 Metal Ind Res & Dev Ct 孔洞表面形貌量測系統及方法
JP5965167B2 (ja) * 2012-03-16 2016-08-03 株式会社ミツトヨ 白色光干渉測定装置
US9121705B2 (en) * 2012-04-20 2015-09-01 Massachusetts Institute Of Technology Sensor for simultaneous measurement of thickness and lateral position of a transparent object
EP2662661A1 (de) * 2012-05-07 2013-11-13 Leica Geosystems AG Messgerät mit einem Interferometer und einem ein dichtes Linienspektrum definierenden Absorptionsmedium
US20130301056A1 (en) * 2012-05-10 2013-11-14 Robert E. Parks Noncontact interferometric sensor and method of use
US8896827B2 (en) 2012-06-26 2014-11-25 Kla-Tencor Corporation Diode laser based broad band light sources for wafer inspection tools
US9036157B2 (en) * 2012-10-19 2015-05-19 National Applied Research Laboratories System of computing surface reconstruction, in-plane and out-of-plane displacements and strain distribution
US9879977B2 (en) 2012-11-09 2018-01-30 Kla-Tencor Corporation Apparatus and method for optical metrology with optimized system parameters
JP2014095642A (ja) * 2012-11-09 2014-05-22 Mitsutoyo Corp 干渉対物レンズ光学系及び当該干渉対物レンズ光学系を備えた光干渉測定装置
US20170314914A1 (en) * 2016-04-28 2017-11-02 Scott A. Chalmers Optical profilometer
US20180252518A1 (en) * 2013-01-16 2018-09-06 Scott A. Chalmers Optical profilometer
US11099068B2 (en) * 2016-03-04 2021-08-24 Filmetrics, Inc. Optical instrumentation including a spatially variable filter
SG11201505637XA (en) * 2013-03-07 2015-08-28 Univ Nanyang Tech Optical imaging device and method for imaging a sample
US9909982B2 (en) * 2013-03-08 2018-03-06 Kla-Tencor Corporation Pupil plane calibration for scatterometry overlay measurement
WO2014138522A1 (en) * 2013-03-08 2014-09-12 Kla-Tencor Corporation Pupil plane calibration for scatterometry overlay measurement
DE102013005187A1 (de) * 2013-03-20 2014-09-25 Carl Zeiss Microscopy Gmbh Verfahren zur Ermittlung von Rauheit- und/oder Topographiedaten von Oberflächen in der Materialmikroskopie
DE102013205115A1 (de) * 2013-03-22 2014-09-25 Leica Microsystems Cms Gmbh SPIM-Anordnung
US9619878B2 (en) * 2013-04-16 2017-04-11 Kla-Tencor Corporation Inspecting high-resolution photolithography masks
JP2015031649A (ja) 2013-08-06 2015-02-16 セイコーエプソン株式会社 測色装置
WO2015021411A1 (en) * 2013-08-09 2015-02-12 Kla-Tencor Corporation Multi-spot illumination for improved detection sensitivity
US9857159B1 (en) 2013-09-24 2018-01-02 TVS Holdings, LLC Velocity compensated frequency sweeping interferometer and method of using same
KR101479249B1 (ko) * 2013-11-08 2015-01-05 한국표준과학연구원 간섭성 구조조명 이미징 방법 및 간섭성 구조조명 현미경 시스템
US9851433B2 (en) 2013-12-19 2017-12-26 DSCG Solutions, Inc. Single laser LIDAR system
JP6306724B2 (ja) 2014-01-09 2018-04-04 ザイゴ コーポレーションZygo Corporation 非球面およびその他の非平坦面のトポグラフィの測定
US9335146B1 (en) 2014-01-29 2016-05-10 The United States Of America As Represented By The Secretary Of The Navy Dimensional measurement apparatus for a cylindrical object
US9651356B1 (en) 2014-01-29 2017-05-16 The United States Of America As Represented By The Secretary Of The Navy Measuremental evaluation of dimensional tolerancing compliance of a cylindrical object
RU2677126C2 (ru) * 2014-02-21 2019-01-15 Абб Швайц Аг Интерферометрический датчик
TWI479119B (zh) * 2014-03-06 2015-04-01 Nat Univ Chung Hsing Optical measuring device and optical measuring method
US10161885B2 (en) * 2014-04-07 2018-12-25 Nova Measuring Instruments Ltd. Optical phase measurement method and system
US10869623B2 (en) 2014-05-28 2020-12-22 Santec Corporation Non-invasive optical measurement of blood analyte
CN104034271B (zh) * 2014-06-19 2016-08-24 清华大学 一种横向分辨率达到1nm的干涉旋转映射检测方法
WO2016025505A1 (en) 2014-08-12 2016-02-18 Zygo Corporation Calibration of scanning interferometers
GB2529251A (en) * 2014-08-15 2016-02-17 Taylor Hobson Ltd Apparatus for and a method of determining a characteristic of a sample
KR101711192B1 (ko) 2014-08-19 2017-03-14 삼성전자 주식회사 전기-광학 변조기, 및 그 전기-광학 변조기를 포함한 검사 장치
US9976947B1 (en) 2014-11-24 2018-05-22 TVS Holdings, LLC Position measurement device
CN107209116B (zh) 2014-12-23 2020-08-07 苹果公司 包括考虑样本内的光学路径长度的变化的光学检查系统和方法
US10548520B2 (en) 2015-04-01 2020-02-04 Santec Corporation Non-invasive optical measurement of blood analyte
US20180143147A1 (en) * 2015-05-11 2018-05-24 Board Of Regents, The University Of Texas System Optical-coherence-tomography guided additive manufacturing and laser ablation of 3d-printed parts
JP6713149B2 (ja) 2015-06-01 2020-06-24 サンテック株式会社 2つの波長を合成する光コヒーレンストモグラフィーシステム
WO2017003983A1 (en) * 2015-06-30 2017-01-05 Corning Incorporated Interferometric roll-off measurement using a static fringe pattern
KR102500358B1 (ko) 2015-09-01 2023-02-16 애플 인크. 물질의 비접촉 감지를 위한 레퍼런스 스위치 아키텍처
JP6790099B2 (ja) * 2015-09-23 2020-11-25 ケーエルエー コーポレイション マルチビーム走査型顕微鏡システムの焦点調整方法及びシステム
DE102015118483B3 (de) * 2015-10-29 2017-05-04 Leica Microsystems Cms Gmbh Interferenzobjektiv nach Mirau
US9574992B1 (en) * 2016-01-22 2017-02-21 Kla-Tencor Corporation Single wavelength ellipsometry with improved spot size capability
JP6692658B2 (ja) * 2016-02-25 2020-05-13 株式会社ミツトヨ 内壁測定装置及びオフセット量算出方法
GB2550539A (en) 2016-03-21 2017-11-29 Res Center Pharmaceutical Engineering Gmbh Monitoring dissolution of a dosage form in progress during dissolution by low coherence interferometry
US10107615B2 (en) 2016-04-20 2018-10-23 Quality Vision International, Inc. Remote probe for optical measuring machine
WO2017184420A1 (en) 2016-04-21 2017-10-26 Bribbla Dynamics Llc Optical system for reference switching
US10677580B2 (en) 2016-04-27 2020-06-09 Santec Corporation Optical coherence tomography system using polarization switching
GB2551968A (en) 2016-06-28 2018-01-10 Oclaro Tech Ltd Optical locker
JP6767790B2 (ja) * 2016-06-30 2020-10-14 株式会社ディスコ 計測装置及び色収差光学系
US9993153B2 (en) 2016-07-06 2018-06-12 Santec Corporation Optical coherence tomography system and method with multiple apertures
JP6730124B2 (ja) * 2016-08-01 2020-07-29 株式会社ディスコ 厚み計測装置
JP6730125B2 (ja) * 2016-08-01 2020-07-29 株式会社ディスコ 計測装置
CN110836633B (zh) * 2016-11-18 2022-06-14 齐戈股份有限公司 用于优化干涉仪的光学性能的方法及设备
US10288408B2 (en) * 2016-12-01 2019-05-14 Nanometrics Incorporated Scanning white-light interferometry system for characterization of patterned semiconductor features
JP6905357B2 (ja) * 2017-03-09 2021-07-21 株式会社ディスコ ウエーハのうねり検出方法及び研削装置
US10107614B1 (en) 2017-04-18 2018-10-23 Quality Vision International, Inc. Optical pen for interferometric measuring machine
US10422700B1 (en) * 2017-04-24 2019-09-24 Apre Instruments, Inc. Optical alignment based on spectrally-controlled interferometry
US10426337B2 (en) 2017-06-01 2019-10-01 Santec Corporation Flow imaging in an optical coherence tomography (OCT) system
US10408600B2 (en) * 2017-06-22 2019-09-10 Santec Corporation Optical coherence tomography with a fizeau-type interferometer
US10206567B2 (en) 2017-07-12 2019-02-19 Santec Corporation Dual wavelength resampling system and method
DE102017115922C5 (de) * 2017-07-14 2023-03-23 Precitec Gmbh & Co. Kg Verfahren und Vorrichtung zur Messung und Einstellung eines Abstands zwischen einem Bearbeitungskopf und einem Werkstück sowie dazugehöriges Verfahren zur Regelung
US10705105B2 (en) 2017-07-21 2020-07-07 Applied Concepts, Inc. Absolute speed detector
CN116893160A (zh) 2017-09-29 2023-10-17 苹果公司 路径解析的光学采样架构
US10948284B1 (en) * 2017-10-06 2021-03-16 Filmetrics, Inc. Optical profilometer with color outputs
US10502546B2 (en) 2017-11-07 2019-12-10 Santec Corporation Systems and methods for variable-range fourier domain imaging
US11226459B2 (en) 2018-02-13 2022-01-18 Apple Inc. Integrated photonics device having integrated edge outcouplers
US11213200B2 (en) 2018-03-22 2022-01-04 Santec Corporation Topographical imaging using combined sensing inputs
US10838047B2 (en) 2018-04-17 2020-11-17 Santec Corporation Systems and methods for LIDAR scanning of an environment over a sweep of wavelengths
US11067671B2 (en) 2018-04-17 2021-07-20 Santec Corporation LIDAR sensing arrangements
US11262191B1 (en) * 2018-07-12 2022-03-01 Onto Innovation Inc. On-axis dynamic interferometer and optical imaging systems employing the same
EP3824245B1 (en) * 2018-07-16 2023-08-23 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e.V. Interferometry with an achromatic interferometric superposition of electromagnetic fields
DE102018211853A1 (de) 2018-07-17 2020-01-23 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zur Charakterisierung der Oberflächenform eines optischen Elements
US10295476B1 (en) * 2018-08-14 2019-05-21 Applied Materials Israel Ltd. System and method for multiple mode inspection of a sample
JP2020122930A (ja) * 2019-01-31 2020-08-13 キヤノン株式会社 計測装置、露光装置及び物品の製造方法
JP7296844B2 (ja) * 2019-10-08 2023-06-23 株式会社ミツトヨ 解析装置、解析方法、干渉測定システム、およびプログラム
US11507020B2 (en) 2019-11-14 2022-11-22 Industrial Technology Research Institute Optical measurement system for obtaining and analyzing surface topography of object
TWI733442B (zh) * 2019-11-14 2021-07-11 財團法人工業技術研究院 光學量測系統
JP7469867B2 (ja) 2019-11-26 2024-04-17 三星電子株式会社 エリプソメータ及び半導体装置の検査装置
JP7277610B2 (ja) * 2019-12-12 2023-05-19 株式会社エビデント 試料構造測定装置及び試料構造測定方法
US11530952B2 (en) 2020-01-17 2022-12-20 Spectrove Inc. MEMS device for interferometric spectroscopy
JP7420667B2 (ja) 2020-07-03 2024-01-23 三星電子株式会社 エリプソメータ及び半導体装置の検査装置
JP7471938B2 (ja) 2020-07-03 2024-04-22 三星電子株式会社 エリプソメータ及び半導体装置の検査装置
KR20220032922A (ko) 2020-09-08 2022-03-15 삼성전자주식회사 퓨필 타원 편광 계측 장치 및 방법, 및 그 방법을 이용한 반도체 소자 제조방법
US11852318B2 (en) 2020-09-09 2023-12-26 Apple Inc. Optical system for noise mitigation
US11761753B2 (en) 2021-07-30 2023-09-19 Svarog LLC Thin films and surface topography measurement using polarization resolved interferometry
US20230236125A1 (en) * 2022-01-21 2023-07-27 Onto Innovation Inc. Dynamic phase-shift interferometer utilizing a synchronous optical frequency-shift
TWI827032B (zh) * 2022-04-30 2023-12-21 合盈光電科技股份有限公司 光學元件相位疊合系統

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6545761B1 (en) * 1999-11-30 2003-04-08 Veeco Instruments, Inc. Embedded interferometer for reference-mirror calibration of interferometric microscope

Family Cites Families (188)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2612074A (en) 1949-03-30 1952-09-30 Prec Mecanique Paris Soc Interferometer
US4199219A (en) 1977-04-22 1980-04-22 Canon Kabushiki Kaisha Device for scanning an object with a light beam
US4188122A (en) 1978-03-27 1980-02-12 Rockwell International Corporation Interferometer
US4340306A (en) 1980-02-04 1982-07-20 Balasubramanian N Optical system for surface topography measurement
US4355903A (en) 1980-02-08 1982-10-26 Rca Corporation Thin film thickness monitor
DE3145633A1 (de) 1981-11-17 1983-08-11 Byk-Mallinckrodt Chemische Produkte Gmbh, 4230 Wesel Vorrichtung zur farbmessung
US4576479A (en) 1982-05-17 1986-03-18 Downs Michael J Apparatus and method for investigation of a surface
US4523846A (en) 1982-09-10 1985-06-18 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Integrated optics in an electrically scanned imaging Fourier transform spectrometer
JPS60127403A (ja) 1983-12-13 1985-07-08 Anritsu Corp 厚み測定装置
US4618262A (en) 1984-04-13 1986-10-21 Applied Materials, Inc. Laser interferometer system and method for monitoring and controlling IC processing
US4710642A (en) 1985-08-20 1987-12-01 Mcneil John R Optical scatterometer having improved sensitivity and bandwidth
US4639139A (en) * 1985-09-27 1987-01-27 Wyko Corporation Optical profiler using improved phase shifting interferometry
US4818110A (en) * 1986-05-06 1989-04-04 Kla Instruments Corporation Method and apparatus of using a two beam interference microscope for inspection of integrated circuits and the like
US4806018A (en) 1987-07-06 1989-02-21 The Boeing Company Angular reflectance sensor
US4869593A (en) * 1988-04-22 1989-09-26 Zygo Corporation Interferometric surface profiler
US4923301A (en) 1988-05-26 1990-05-08 American Telephone And Telegraph Company Alignment of lithographic system
US5151752A (en) * 1988-06-16 1992-09-29 Asahi Kogaku Kogyo K.K. Method of measuring refractive indices of lens and sample liquid
US4964726A (en) 1988-09-27 1990-10-23 General Electric Company Apparatus and method for optical dimension measurement using interference of scattered electromagnetic energy
US4948253A (en) 1988-10-28 1990-08-14 Zygo Corporation Interferometric surface profiler for spherical surfaces
GB8903725D0 (en) 1989-02-18 1989-04-05 Cambridge Consultants Coherent tracking sensor
US5042949A (en) 1989-03-17 1991-08-27 Greenberg Jeffrey S Optical profiler for films and substrates
US5042951A (en) 1989-09-19 1991-08-27 Therma-Wave, Inc. High resolution ellipsometric apparatus
US4999014A (en) 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US5073018A (en) 1989-10-04 1991-12-17 The Board Of Trustees Of The Leland Stanford Junior University Correlation microscope
DE3942896A1 (de) 1989-12-23 1991-06-27 Zeiss Carl Fa Interferometrischer sensor zur messung von abstandsaenderungen einer kleinen flaeche
US5112129A (en) * 1990-03-02 1992-05-12 Kla Instruments Corporation Method of image enhancement for the coherence probe microscope with applications to integrated circuit metrology
US5135307A (en) 1990-05-30 1992-08-04 Hughes Danbury Optical System, Inc. Laser diode interferometer
US5241369A (en) 1990-10-01 1993-08-31 Mcneil John R Two-dimensional optical scatterometer apparatus and process
EP0566657B1 (en) * 1991-01-11 1998-08-05 Rudolph Research Corporation Simultaneous multiple angle/multiple wavelength ellipsometer and method
US5129724A (en) 1991-01-29 1992-07-14 Wyko Corporation Apparatus and method for simultaneous measurement of film thickness and surface height variation for film-substrate sample
US5164790A (en) 1991-02-27 1992-11-17 Mcneil John R Simple CD measurement of periodic structures on photomasks
EP0502679B1 (en) 1991-03-04 2001-03-07 AT&T Corp. Semiconductor integrated circuit fabrication utilizing latent imagery
DE4108944A1 (de) 1991-03-19 1992-09-24 Haeusler Gerd Verfahren und einrichtung zur beruehrungslosen erfassung der oberflaechengestalt von diffus streuenden objekten
US5153669A (en) 1991-03-27 1992-10-06 Hughes Danbury Optical Systems, Inc. Three wavelength optical measurement apparatus and method
JPH04313006A (ja) * 1991-04-10 1992-11-05 Toyobo Co Ltd 膜厚測定方法
US5194918A (en) 1991-05-14 1993-03-16 The Board Of Trustees Of The Leland Stanford Junior University Method of providing images of surfaces with a correlation microscope by transforming interference signals
US5173746A (en) 1991-05-21 1992-12-22 Wyko Corporation Method for rapid, accurate measurement of step heights between dissimilar materials
US5133601A (en) 1991-06-12 1992-07-28 Wyko Corporation Rough surface profiler and method
US5204734A (en) * 1991-06-12 1993-04-20 Wyko Corporation Rough surface profiler and method
JPH05304627A (ja) 1991-08-19 1993-11-16 Fuji Photo Film Co Ltd ビデオカメラのサイドグリップ
US5181080A (en) 1991-12-23 1993-01-19 Therma-Wave, Inc. Method and apparatus for evaluating the thickness of thin films
US5390023A (en) 1992-06-03 1995-02-14 Zygo Corporation Interferometric method and apparatus to measure surface topography
US5402234A (en) 1992-08-31 1995-03-28 Zygo Corporation Method and apparatus for the rapid acquisition of data in coherence scanning interferometry
US5539571A (en) * 1992-09-21 1996-07-23 Sdl, Inc. Differentially pumped optical amplifer and mopa device
US5384717A (en) 1992-11-23 1995-01-24 Ford Motor Company Non-contact method of obtaining dimensional information about an object
US5398113A (en) 1993-02-08 1995-03-14 Zygo Corporation Method and apparatus for surface topography measurement by spatial-frequency analysis of interferograms
US5777742A (en) 1993-03-11 1998-07-07 Environmental Research Institute Of Michigan System and method for holographic imaging with discernible image of an object
DE4309056B4 (de) 1993-03-20 2006-05-24 Häusler, Gerd, Prof. Dr. Verfahren und Vorrichtung zur Ermittlung der Entfernung und Streuintensität von streuenden Punkten
US5386119A (en) 1993-03-25 1995-01-31 Hughes Aircraft Company Apparatus and method for thick wafer measurement
JPH074922A (ja) 1993-06-21 1995-01-10 Jasco Corp 半導体多層薄膜膜厚測定装置およびその測定方法
EP0767361B1 (en) 1993-07-22 2000-02-23 Applied Spectral Imaging Ltd. Method and apparatus for spectral imaging
US5856871A (en) 1993-08-18 1999-01-05 Applied Spectral Imaging Ltd. Film thickness mapping using interferometric spectral imaging
US5481811A (en) 1993-11-22 1996-01-09 The Budd Company Universal inspection workpiece holder
US5483064A (en) 1994-01-21 1996-01-09 Wyko Corporation Positioning mechanism and method for providing coaxial alignment of a probe and a scanning means in scanning tunneling and scanning force microscopy
US5459564A (en) 1994-02-18 1995-10-17 Chivers; James T. Apparatus and method for inspecting end faces of optical fibers and optical fiber connectors
US5471303A (en) 1994-04-29 1995-11-28 Wyko Corporation Combination of white-light scanning and phase-shifting interferometry for surface profile measurements
US5633714A (en) 1994-12-19 1997-05-27 International Business Machines Corporation Preprocessing of image amplitude and phase data for CD and OL measurement
US5555471A (en) 1995-05-24 1996-09-10 Wyko Corporation Method for measuring thin-film thickness and step height on the surface of thin-film/substrate test samples by phase-shifting interferometry
US5589938A (en) 1995-07-10 1996-12-31 Zygo Corporation Method and apparatus for optical interferometric measurements with reduced sensitivity to vibration
US5703692A (en) 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US5748318A (en) 1996-01-23 1998-05-05 Brown University Research Foundation Optical stress generator and detector
US5602643A (en) 1996-02-07 1997-02-11 Wyko Corporation Method and apparatus for correcting surface profiles determined by phase-shifting interferometry according to optical parameters of test surface
US5640270A (en) 1996-03-11 1997-06-17 Wyko Corporation Orthogonal-scanning microscope objective for vertical-scanning and phase-shifting interferometry
GB9610471D0 (en) * 1996-05-18 1996-07-24 Univ Nottingham Optical measurement
US5880838A (en) 1996-06-05 1999-03-09 California Institute Of California System and method for optically measuring a structure
JP3459327B2 (ja) 1996-06-17 2003-10-20 理化学研究所 積層構造体の層厚および屈折率の測定方法およびその測定装置
US5923423A (en) 1996-09-12 1999-07-13 Sentec Corporation Heterodyne scatterometer for detecting and analyzing wafer surface defects
US5956141A (en) 1996-09-13 1999-09-21 Olympus Optical Co., Ltd. Focus adjusting method and shape measuring device and interference microscope using said focus adjusting method
US5757502A (en) 1996-10-02 1998-05-26 Vlsi Technology, Inc. Method and a system for film thickness sample assisted surface profilometry
US5774224A (en) 1997-01-24 1998-06-30 International Business Machines Corporation Linear-scanning, oblique-viewing optical apparatus
US5777740A (en) * 1997-02-27 1998-07-07 Phase Metrics Combined interferometer/polarimeter
US5867276A (en) 1997-03-07 1999-02-02 Bio-Rad Laboratories, Inc. Method for broad wavelength scatterometry
US5784164A (en) 1997-03-20 1998-07-21 Zygo Corporation Method and apparatus for automatically and simultaneously determining best focus and orientation of objects to be measured by broad-band interferometric means
JP3275797B2 (ja) 1997-09-10 2002-04-22 松下電器産業株式会社 低圧水銀蒸気放電ランプ
US6031615A (en) * 1997-09-22 2000-02-29 Candela Instruments System and method for simultaneously measuring lubricant thickness and degradation, thin film thickness and wear, and surface roughness
US20020015146A1 (en) * 1997-09-22 2002-02-07 Meeks Steven W. Combined high speed optical profilometer and ellipsometer
US6665078B1 (en) 1997-09-22 2003-12-16 Candela Instruments System and method for simultaneously measuring thin film layer thickness, reflectivity, roughness, surface profile and magnetic pattern in thin film magnetic disks and silicon wafers
US6392749B1 (en) * 1997-09-22 2002-05-21 Candela Instruments High speed optical profilometer for measuring surface height variation
US5912741A (en) 1997-10-10 1999-06-15 Northrop Grumman Corporation Imaging scatterometer
US5963329A (en) 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US5900633A (en) 1997-12-15 1999-05-04 On-Line Technologies, Inc Spectrometric method for analysis of film thickness and composition on a patterned sample
US6124141A (en) 1998-01-07 2000-09-26 International Business Machines Corporation Non-destructive method and device for measuring the depth of a buried interface
US6028670A (en) 1998-01-19 2000-02-22 Zygo Corporation Interferometric methods and systems using low coherence illumination
US5953124A (en) 1998-01-19 1999-09-14 Zygo Corporation Interferometric methods and systems using low coherence illumination
US6407816B1 (en) 1998-02-23 2002-06-18 Zygo Corporation Interferometer and method for measuring the refractive index and optical path length effects of air
US6483580B1 (en) 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
DE19814057B4 (de) 1998-03-30 2009-01-02 Carl Zeiss Meditec Ag Anordnung zur optischen Kohärenztomographie und Kohärenztopographie
US6242739B1 (en) 1998-04-21 2001-06-05 Alexander P. Cherkassky Method and apparatus for non-destructive determination of film thickness and dopant concentration using fourier transform infrared spectrometry
US6275297B1 (en) 1998-08-19 2001-08-14 Sc Technology Method of measuring depths of structures on a semiconductor substrate
USH1972H1 (en) 1998-10-06 2001-07-03 Nikon Corporation Autofocus system using common path interferometry
JP2000121317A (ja) 1998-10-12 2000-04-28 Hitachi Electronics Eng Co Ltd 光干渉計の干渉位相検出方式
US6159073A (en) * 1998-11-02 2000-12-12 Applied Materials, Inc. Method and apparatus for measuring substrate layer thickness during chemical mechanical polishing
JP3569726B2 (ja) 1998-12-15 2004-09-29 独立行政法人理化学研究所 試料の幾何学的厚さおよび屈折率測定装置およびその測定方法
US6184984B1 (en) 1999-02-09 2001-02-06 Kla-Tencor Corporation System for measuring polarimetric spectrum and other properties of a sample
KR100290086B1 (ko) 1999-03-23 2001-05-15 윤덕용 백색광주사간섭법을 이용한 투명한 박막층의 3차원 두께 형상 측정 및 굴절률 측정 방법 및 그 기록매체
US6449066B1 (en) 1999-04-29 2002-09-10 Kaiser Optical Systems, Inc. Polarization insensitive, high dispersion optical element
US6888638B1 (en) 1999-05-05 2005-05-03 Zygo Corporation Interferometry system having a dynamic beam steering assembly for measuring angle and distance
TW477897B (en) 1999-05-07 2002-03-01 Sharp Kk Liquid crystal display device, method and device to measure cell thickness of liquid crystal display device, and phase difference plate using the method thereof
US6507405B1 (en) 1999-05-17 2003-01-14 Ultratech Stepper, Inc. Fiber-optic interferometer employing low-coherence-length light for precisely measuring absolute distance and tilt
US6249351B1 (en) 1999-06-03 2001-06-19 Zygo Corporation Grazing incidence interferometer and method
US6381009B1 (en) 1999-06-29 2002-04-30 Nanometrics Incorporated Elemental concentration measuring methods and instruments
US6160621A (en) 1999-09-30 2000-12-12 Lam Research Corporation Method and apparatus for in-situ monitoring of plasma etch and deposition processes using a pulsed broadband light source
US6259521B1 (en) 1999-10-05 2001-07-10 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography parameters based on photoresist images
JP3642996B2 (ja) 1999-11-18 2005-04-27 独立行政法人科学技術振興機構 光干渉法による測定対象物の屈折率と厚さの同時測定方法及びそのための装置
JP5112588B2 (ja) 2000-01-25 2013-01-09 ザイゴ コーポレーション 精密工業部品の形状および幾何学的寸法を測定するための方法並びに装置
JP4673955B2 (ja) 2000-03-24 2011-04-20 オリンパス株式会社 光学装置
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
LU90580B1 (fr) 2000-05-08 2001-11-09 Europ Economic Community M-thode d'identification d'un objet
US6449048B1 (en) 2000-05-11 2002-09-10 Veeco Instruments, Inc. Lateral-scanning interferometer with tilted optical axis
US6597460B2 (en) 2000-05-19 2003-07-22 Zygo Corporation Height scanning interferometer for determining the absolute position and surface profile of an object with respect to a datum
US6417109B1 (en) 2000-07-26 2002-07-09 Aiwa Co., Ltd. Chemical-mechanical etch (CME) method for patterned etching of a substrate surface
WO2002010831A2 (en) 2000-07-27 2002-02-07 Zetetic Institute Differential interferometric scanning near-field confocal microscopy
WO2002010829A2 (en) 2000-07-27 2002-02-07 Zetetic Institute Multiple-source arrays with optical transmission enhanced by resonant cavities
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
JP2002093094A (ja) * 2000-09-11 2002-03-29 Hitachi Electronics Eng Co Ltd 磁気ヘッド浮上量測定装置
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6891610B2 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining an implant characteristic and a presence of defects on a specimen
US6694284B1 (en) * 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US6798511B1 (en) 2000-10-18 2004-09-28 Regents Of The University Of Minnesota Imaging ellipsometry
DE60141848D1 (de) 2000-11-02 2010-05-27 Zygo Corp Verfahren und vorrichtung zur höhenabtastenden interferometrie mit phasendifferenz-analyse
US6633389B1 (en) 2000-11-28 2003-10-14 Nanometrics Incorporated Profiling method
US6909509B2 (en) 2001-02-20 2005-06-21 Zygo Corporation Optical surface profiling systems
US6721094B1 (en) 2001-03-05 2004-04-13 Sandia Corporation Long working distance interference microscope
US6624894B2 (en) * 2001-06-25 2003-09-23 Veeco Instruments Inc. Scanning interferometry with reference signal
US7382447B2 (en) * 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
US6867866B1 (en) 2001-08-10 2005-03-15 Therma-Wave, Inc. CD metrology analysis using green's function
US6741357B2 (en) 2001-08-14 2004-05-25 Seagate Technology Llc Quadrature phase shift interferometer with unwrapping of phase
US7286242B2 (en) * 2001-09-21 2007-10-23 Kmac Apparatus for measuring characteristics of thin film by means of two-dimensional detector and method of measuring the same
US6714307B2 (en) 2001-10-16 2004-03-30 Zygo Corporation Measurement of complex surface shapes using a spherical wavefront
KR100437024B1 (ko) * 2001-10-18 2004-06-23 엘지전자 주식회사 박막 검사 방법 및 그 장치
US6630982B2 (en) * 2001-10-18 2003-10-07 Motorola, Inc. Color and intensity tunable liquid crystal device
KR100354613B1 (ko) * 2001-11-06 2002-10-11 박헌휘 교체 가능한 침지형 중공사막 모듈
US7030995B2 (en) * 2001-12-10 2006-04-18 Zygo Corporation Apparatus and method for mechanical phase shifting interferometry
US6856384B1 (en) 2001-12-13 2005-02-15 Nanometrics Incorporated Optical metrology system with combined interferometer and ellipsometer
US6934035B2 (en) * 2001-12-18 2005-08-23 Massachusetts Institute Of Technology System and method for measuring optical distance
KR100434445B1 (ko) * 2001-12-28 2004-06-04 (주) 인텍플러스 3차원 형상/표면조도 측정장치
GB2385417B (en) 2002-03-14 2004-01-21 Taylor Hobson Ltd Surface profiling apparatus
CN1320334C (zh) 2002-03-14 2007-06-06 泰勒·霍布森有限公司 表面成型设备和获得数据方法,数据处理设备及其相关器
US7068376B2 (en) * 2002-04-19 2006-06-27 Zygo Corporation Interferometry method and apparatus for producing lateral metrology images
US6989905B2 (en) 2002-05-02 2006-01-24 Zygo Corporation Phase gap analysis for scanning interferometry
AU2003276755A1 (en) * 2002-06-17 2003-12-31 Zygo Corporation Interferometric optical system and methods providing simultaneously scanned optical path length and focus
WO2003106920A1 (en) * 2002-06-17 2003-12-24 Zygo Corporation Interferometry methods and systems having a coupled cavity geometry for use with an extended source
US6882433B2 (en) * 2002-07-01 2005-04-19 Lightgage, Inc. Interferometer system of compact configuration
JP2004069651A (ja) * 2002-08-09 2004-03-04 Omron Corp 膜厚測定装置
JP4313006B2 (ja) 2002-08-30 2009-08-12 コニカミノルタビジネステクノロジーズ株式会社 画像形成方法
KR20050057279A (ko) * 2002-09-09 2005-06-16 지고 코포레이션 박막 구조의 특징화를 포함하여, 타원편광 측정, 반사 측정및 산란 측정을 위한 간섭측정 방법
US7869057B2 (en) * 2002-09-09 2011-01-11 Zygo Corporation Multiple-angle multiple-wavelength interferometer using high-NA imaging and spectral analysis
US7139081B2 (en) * 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US6925860B1 (en) 2003-02-21 2005-08-09 Nanometrics Incorporated Leveling a measured height profile
JP4791354B2 (ja) * 2003-03-06 2011-10-12 ザイゴ コーポレーション 走査干渉分光を用いた複雑な表面構造のプロファイリング
US7106454B2 (en) * 2003-03-06 2006-09-12 Zygo Corporation Profiling complex surface structures using scanning interferometry
US7271918B2 (en) 2003-03-06 2007-09-18 Zygo Corporation Profiling complex surface structures using scanning interferometry
US7324214B2 (en) * 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
US6985232B2 (en) 2003-03-13 2006-01-10 Tokyo Electron Limited Scatterometry by phase sensitive reflectometer
US7049156B2 (en) * 2003-03-19 2006-05-23 Verity Instruments, Inc. System and method for in-situ monitor and control of film thickness and trench depth
US6999180B1 (en) * 2003-04-02 2006-02-14 Kla-Tencor Technologies Corporation Optical film topography and thickness measurement
DE10327019A1 (de) 2003-06-12 2004-12-30 Carl Zeiss Sms Gmbh Verfahren zur Bestimmung der Abbildungsgüte eines optischen Abbildungssystems
US7102761B2 (en) 2003-06-13 2006-09-05 Zygo Corporation Scanning interferometry
US6956716B2 (en) 2003-07-30 2005-10-18 Hitachi Global Storage Technologies Netherlands, B.V. Magnetic head having multilayer heater for thermally assisted write head and method of fabrication thereof
FI20031143A0 (fi) 2003-08-08 2003-08-08 Wallac Oy Optinen fokusointimenetelmä ja -järjestely
US7061623B2 (en) * 2003-08-25 2006-06-13 Spectel Research Corporation Interferometric back focal plane scatterometry with Koehler illumination
US7289225B2 (en) * 2003-09-15 2007-10-30 Zygo Corporation Surface profiling using an interference pattern matching template
TWI335417B (en) * 2003-10-27 2011-01-01 Zygo Corp Method and apparatus for thin film measurement
WO2005067579A2 (en) * 2004-01-06 2005-07-28 Zygo Corporation Multi-axis interferometers and methods and systems using multi-axis interferometers
US20050179911A1 (en) * 2004-02-17 2005-08-18 Digital Optics Corporation Aspheric diffractive reference for interferometric lens metrology
US7492469B2 (en) 2004-03-15 2009-02-17 Zygo Corporation Interferometry systems and methods using spatial carrier fringes
KR100831806B1 (ko) * 2004-04-19 2008-05-28 아리스트 인스트루먼트, 인크. 박막 및 cd 측정들을 위한 빔 프로파일 복합 반사율시스템 및 방법
US7321430B2 (en) * 2004-04-22 2008-01-22 Zygo Corporation Vibration resistant interferometry
US7177030B2 (en) * 2004-04-22 2007-02-13 Technion Research And Development Foundation Ltd. Determination of thin film topography
US7142311B2 (en) 2004-05-18 2006-11-28 Zygo Corporation Methods and systems for determining optical properties using low-coherence interference signals
US7119909B2 (en) 2004-06-16 2006-10-10 Veeco Instruments, Inc. Film thickness and boundary characterization by interferometric profilometry
US20060012582A1 (en) * 2004-07-15 2006-01-19 De Lega Xavier C Transparent film measurements
US20060066842A1 (en) * 2004-09-30 2006-03-30 Saunders Winston A Wafer inspection with a customized reflective optical channel component
JP4768754B2 (ja) * 2005-01-20 2011-09-07 ザイゴ コーポレーション オブジェクト表面の特徴を求める干渉計
US7884947B2 (en) * 2005-01-20 2011-02-08 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
JP2006214856A (ja) 2005-02-03 2006-08-17 Canon Inc 測定装置及び方法
TWI394930B (zh) 2005-05-19 2013-05-01 Zygo Corp 取得薄膜結構資訊之低同調干涉信號的分析方法及裝置
US7595891B2 (en) * 2005-07-09 2009-09-29 Kla-Tencor Corporation Measurement of the top surface of an object with/without transparent thin films in white light interferometry
WO2007044789A2 (en) 2005-10-11 2007-04-19 Clear Align Llc Apparatus and method for generating short optical pulses
WO2007044786A2 (en) * 2005-10-11 2007-04-19 Zygo Corporation Interferometry method and system including spectral decomposition
US7408649B2 (en) * 2005-10-26 2008-08-05 Kla-Tencor Technologies Corporation Method and apparatus for optically analyzing a surface
US20070127036A1 (en) * 2005-12-07 2007-06-07 Chroma Ate Inc. Interference measurement system self-alignment method
US7612891B2 (en) * 2005-12-15 2009-11-03 Veeco Instruments, Inc. Measurement of thin films using fourier amplitude
EP2306141A1 (en) * 2006-02-24 2011-04-06 The General Hospital Corporation Methods and systems for performing angle-resolved fourier-domain optical coherence tomography
TWI428559B (zh) * 2006-07-21 2014-03-01 Zygo Corp 在低同調干涉下系統性效應之補償方法和系統
EP2097713A4 (en) * 2006-12-22 2010-09-15 Zygo Corp DEVICE AND METHOD FOR MEASURING SURFACE PROPERTIES
US7619746B2 (en) * 2007-07-19 2009-11-17 Zygo Corporation Generating model signals for interferometry
JP5222954B2 (ja) * 2007-11-13 2013-06-26 ザイゴ コーポレーション 偏光スキャンを利用した干渉計

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6545761B1 (en) * 1999-11-30 2003-04-08 Veeco Instruments, Inc. Embedded interferometer for reference-mirror calibration of interferometric microscope

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102305193B1 (ko) * 2021-01-28 2021-09-27 에이치비솔루션(주) 백색광주사간섭계를 이용한 투명막 굴절률 측정 방법

Also Published As

Publication number Publication date
KR20070104615A (ko) 2007-10-26
US20060158657A1 (en) 2006-07-20
US20060158658A1 (en) 2006-07-20
US7446882B2 (en) 2008-11-04
TW200632306A (en) 2006-09-16
DE602006008896D1 (de) 2009-10-15
KR20090073221A (ko) 2009-07-02
TWI409451B (zh) 2013-09-21
EP1853874B1 (en) 2009-09-02
WO2006078718A1 (en) 2006-07-27
TWI428582B (zh) 2014-03-01
JP4768754B2 (ja) 2011-09-07
JP2010101898A (ja) 2010-05-06
JP5107331B2 (ja) 2012-12-26
EP1853874A1 (en) 2007-11-14
US20060158659A1 (en) 2006-07-20
EP2108919A2 (en) 2009-10-14
ATE441831T1 (de) 2009-09-15
EP2108919B1 (en) 2015-03-11
JP2008528972A (ja) 2008-07-31
KR101006423B1 (ko) 2011-01-06
EP2108919A3 (en) 2010-05-05
US20100134786A1 (en) 2010-06-03
TW201312094A (zh) 2013-03-16
US7428057B2 (en) 2008-09-23
US7616323B2 (en) 2009-11-10
US7952724B2 (en) 2011-05-31

Similar Documents

Publication Publication Date Title
KR101006422B1 (ko) 객체 표면의 특성을 결정하기 위한 간섭계
US7619746B2 (en) Generating model signals for interferometry
US7884947B2 (en) Interferometry for determining characteristics of an object surface, with spatially coherent illumination
US7924435B2 (en) Apparatus and method for measuring characteristics of surface features
US8248617B2 (en) Interferometer for overlay measurements
JP5222954B2 (ja) 偏光スキャンを利用した干渉計
US8854628B2 (en) Interferometric methods for metrology of surfaces, films and underresolved structures
US20120089365A1 (en) Data interpolation methods for metrology of surfaces, films and underresolved structures
WO2008151266A2 (en) Interferometry for determining characteristics of an object surface, with spatially coherent illumination

Legal Events

Date Code Title Description
A201 Request for examination
A107 Divisional application of patent
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20131211

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20141210

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20151208

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20171213

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20181211

Year of fee payment: 9