JPH06267830A - Manufacture of x-ray mask - Google Patents

Manufacture of x-ray mask

Info

Publication number
JPH06267830A
JPH06267830A JP5275293A JP5275293A JPH06267830A JP H06267830 A JPH06267830 A JP H06267830A JP 5275293 A JP5275293 A JP 5275293A JP 5275293 A JP5275293 A JP 5275293A JP H06267830 A JPH06267830 A JP H06267830A
Authority
JP
Japan
Prior art keywords
film
pattern
ray
mask
oxide film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP5275293A
Other languages
Japanese (ja)
Inventor
Toshio Ito
敏雄 伊東
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Oki Electric Industry Co Ltd
Original Assignee
Oki Electric Industry Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Oki Electric Industry Co Ltd filed Critical Oki Electric Industry Co Ltd
Priority to JP5275293A priority Critical patent/JPH06267830A/en
Publication of JPH06267830A publication Critical patent/JPH06267830A/en
Withdrawn legal-status Critical Current

Links

Abstract

PURPOSE:To provide a forming method of an X-ray mask wherein the fine working of an X-ray absorber pattern is easily possible. CONSTITUTION:Resist solution wherein 1.0g of linear poly(siloxane) which has C-O-Si bond for each monometric unit and 10mg of triphenyl trifluoro methanesulfonate are dissolved is spin-coated on a W film 34 of 1.0mum in thickness which is formed on a BN film 30 via a Ti film 36. A resist film 38 of 0.3mum in thickness is formed by baking at 80 deg.C for 1 minute, and selectively irradiated with an electron beam, under the condition that the acceleration voltage is 20kV and the dose is 5mum/cm<2>. After baking at 120 deg.C for 2 minutes, development is performed. Thus an oxide film pattern 40 is formed. Next SF6 is introduced, and the W mask 34 is etched by applying the oxide film pattern 40 to an etching mask. Thereby an X-ray absorber pattern 42 is formed.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】この発明は、X線マスクの製造方
法に関する。特にX線吸収体用の金属膜をエッチング加
工する方法に関するものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an X-ray mask manufacturing method. In particular, it relates to a method of etching a metal film for an X-ray absorber.

【0002】[0002]

【従来の技術】従来、LSI等の半導体装置の高集積化
が進むに伴い、半導体装置の製造に用いるフォトリソグ
ラフィの解像度も、例えばサブハ−フミクロンからクォ
−タ−ミクロンレベルのより微細な解像度が必要とされ
てきている。しかし、この様な微細な解像度を実用的な
プロセスマ−ジンを確保しつつ達成することは困難であ
る。
2. Description of the Related Art Conventionally, with the progress of higher integration of semiconductor devices such as LSI, the resolution of photolithography used in the manufacture of semiconductor devices has become finer, for example, from sub-half micron to quarter micron. Has been needed. However, it is difficult to achieve such a fine resolution while securing a practical process margin.

【0003】そこで、既存のリソグラフィ方式を一新す
る方法として、シンクロトロン放射光(SOR)を用い
た等倍露光方式が提案されている。リソグラフィに用い
るシンクロトロン放射光は、極めて平行性の良い軟X線
であり、その上、他の方式、例えばキセノンランプから
得られるX線に比べて強度が大きい。
Therefore, as a method for renewing the existing lithography method, a unity-exposure method using synchrotron radiation (SOR) has been proposed. The synchrotron radiation used for lithography is a soft X-ray with extremely good parallelism and, in addition, has a higher intensity than that obtained by another method, for example, an X-ray obtained from a xenon lamp.

【0004】シンクロトロン放射光を用いた等倍露光方
式では、基板加工寸法と同じ寸法のX線吸収体パタ−ン
が必要となる。このため、X線マスクのX線吸収体パタ
−ンの高精度加工が、SORを用いた等倍露光方式にお
いて最も重要な技術の一つとなる。
In the equal-magnification exposure method using synchrotron radiation, an X-ray absorber pattern having the same size as the substrate processing size is required. Therefore, high-precision processing of the X-ray absorber pattern of the X-ray mask is one of the most important techniques in the same-magnification exposure method using SOR.

【0005】従来のレジストをエッチングパタ−ンとし
てX線吸収体パタ−ンを形成する場合は、レジストとX
線吸収体とのエッチング選択比を大きくとることができ
ないため、フォトレジストの厚みを厚くする必要があ
る。その結果、レジストパタ−ンのアスペクト比が高く
なってしまう。
When an X-ray absorber pattern is formed using a conventional resist as an etching pattern, the resist and X
Since the etching selection ratio with respect to the line absorber cannot be made large, it is necessary to increase the thickness of the photoresist. As a result, the aspect ratio of the resist pattern becomes high.

【0006】しかも、X線は物質透過力が強いため、X
線マスクのコントラストを大きくするためにはX線吸収
体も厚くする必要がある。X線吸収体は、材料にもよる
が、現在0.5〜1.0μm程度の厚さのものが使用さ
れている。一方、等倍露光方式において要求されるパタ
−ンの解像度は0.2μmレベルに達する。このため、
X線マスクのアスペクト比は極めて高くなる。
Moreover, since X-rays have a strong substance penetrating power,
In order to increase the contrast of the line mask, it is necessary to make the X-ray absorber thick. The X-ray absorber currently has a thickness of about 0.5 to 1.0 μm, although it depends on the material. On the other hand, the pattern resolution required in the 1 × exposure method reaches the level of 0.2 μm. For this reason,
The aspect ratio of the X-ray mask becomes extremely high.

【0007】従って、従来例の様に通常のフォトレジス
トをエッチングマスクとして使用する方法は、高アスペ
クト比のフォトレジストパタ−ンを形成した上、さらに
このフォトレジストパタ−ンをエッチングマスクとし
て、高アスペクト比のX線吸収体パタ−ンをエッチング
して形成しなければならない。このため、サブミクロン
さらにはハ−フサブミクロンレベルのより微細な配線パ
タ−ンの形成は困難である。
Therefore, according to the conventional method of using a photoresist as an etching mask as in the conventional example, a photoresist pattern having a high aspect ratio is formed, and the photoresist pattern is further used as an etching mask. The aspect ratio X-ray absorber pattern must be formed by etching. For this reason, it is difficult to form a finer wiring pattern on the submicron level or on the half submicron level.

【0008】そこで、X線吸収体とのエッチング選択比
を大きくとることができる酸化膜パタ−ンをエッチング
マスクとして用いるX線マスクの製造方法の一例が、文
献:「Extended Abstracts of the 16th(1984 internat
ional)Conference on Devices and Materials,Kobe,198
4,pp.23-26」に開示されている。
Therefore, one example of a method of manufacturing an X-ray mask using an oxide film pattern as an etching mask, which can have a large etching selection ratio with respect to the X-ray absorber, is described in a document: "Extended Abstracts of the 16th (1984). internat
ional) Conference on Devices and Materials, Kobe, 198
4, pp.23-26 ”.

【0009】以下、図面を参照して、酸化膜パタ−ンを
エッチングマスクとして用いるX線マスクの製造方法の
従来例について簡単に説明する。
A conventional example of a method for manufacturing an X-ray mask using an oxide film pattern as an etching mask will be briefly described below with reference to the drawings.

【0010】図3の(A)〜(D)は、X線マスクの製
造方法の従来例の説明に供する工程図である。
FIGS. 3A to 3D are process diagrams for explaining a conventional example of a method for manufacturing an X-ray mask.

【0011】先ず、Si基板10上に形成したX線を透
過するSiN膜12に、タンタル(Ta)膜14、酸化
膜16およびレジスト膜18を順に積層する(図3の
(A))。
First, a tantalum (Ta) film 14, an oxide film 16 and a resist film 18 are sequentially laminated on a SiN film 12 which transmits X-rays and is formed on a Si substrate 10 (FIG. 3A).

【0012】次に、レジスト膜18をEB(電子ビ−
ム)リソグラフィを用いてパタ−ニングし、レジストパ
タ−ン20を形成する(図3の(B))。
Next, the resist film 18 is coated with EB (electron beam).
Pattern) by using lithography to form a resist pattern 20 (FIG. 3B).

【0013】次に、レジストパタ−ン20をエッチング
マスクとして、酸化膜16に対してエッチングを行い、
酸化膜パタ−ン22を形成する(図3の(C))。
Next, the oxide film 16 is etched using the resist pattern 20 as an etching mask,
An oxide film pattern 22 is formed (FIG. 3C).

【0014】次に、酸化膜パタ−ン22をエッチングマ
スクとして、Ta膜14に対してエッチングを行い、タ
ンタルのX線吸収体パタ−ン24を形成する(図3の
(D))。
Next, the Ta film 14 is etched by using the oxide film pattern 22 as an etching mask to form a tantalum X-ray absorber pattern 24 (FIG. 3D).

【0015】X線吸収体パタ−ン24を形成した後、S
i基板10をバックエッチしてX線マスクを形成する。
この様に、酸化膜パタ−ン22をX線吸収体となるTa
膜14のエッチングマスクとして用いれば、エッチング
マスクのアスペクト比をレジストをエッチングマスクと
して用いた場合よりも小さくすることができる。
After forming the X-ray absorber pattern 24, S
The i-substrate 10 is back-etched to form an X-ray mask.
In this way, the oxide film pattern 22 is used as Ta that becomes an X-ray absorber.
When used as an etching mask for the film 14, the aspect ratio of the etching mask can be made smaller than that when a resist is used as an etching mask.

【0016】[0016]

【発明が解決しようとする課題】しかしながら、エッチ
ングマスクとして酸化膜パタ−ンを用いる従来の方法
は、レジストパタ−ンを酸化膜にエッチングにより転写
する必要がある。このため、工程が増えるだけでなく、
転写の際の寸法変換誤差の重畳により、エッチング精度
が低下してしまう可能性がある。
However, in the conventional method using the oxide film pattern as an etching mask, it is necessary to transfer the resist pattern to the oxide film by etching. This not only increases the number of steps, but also
Due to the superposition of the dimension conversion error at the time of transfer, the etching accuracy may decrease.

【0017】ところで、この出願に係る発明者は、文
献:「特願平4−017588号」において、ポリシロ
キサンのSi(シリコン)の側鎖にアルコシキ基を結合
させたシリコ−ン樹脂と酸発生剤とからなる放射線感応
性樹脂組成物を提案している。この樹脂組成物において
は、下記の(3)式に示すように、Siにt−ブトキシ
基等のC−O結合を有する官能基が結合している場合、
このC−O結合が酸により切断されて、ポリマから炭素
成分が除かれる。そこで、この出願に係る発明者は、試
験、研究を続けたところ、C−O結合が切断される反応
を利用することにより、レジストからの転写を行わず
に、X線吸収体とのエッチング選択比の大きなエッチン
グパタ−ンを形成することができるという結論に達し
た。
In the meantime, the inventor of the present application, in the document: Japanese Patent Application No. 4-017588, discloses a silicone resin in which an alkoxy group is bonded to the side chain of Si (silicon) of polysiloxane and acid generation. A radiation-sensitive resin composition comprising an agent is proposed. In this resin composition, when a functional group having a C—O bond such as t-butoxy group is bonded to Si as shown in the following formula (3),
The C-O bond is cleaved by the acid to remove the carbon component from the polymer. Therefore, the inventor of this application continued the tests and studies and found that by utilizing the reaction of breaking the C—O bond, the etching selection with the X-ray absorber was performed without transferring from the resist. It was concluded that a high ratio etching pattern can be formed.

【0018】[0018]

【化2】 [Chemical 2]

【0019】従って、この発明の目的は、X線吸収体パ
タ−ンの微細な加工を容易に行うことができるX線マス
クの形成方法を提供することにある。
Therefore, an object of the present invention is to provide a method of forming an X-ray mask which enables easy fine processing of an X-ray absorber pattern.

【0020】[0020]

【課題を解決するための手段】この目的の達成を図るた
め、この発明のパタ−ン形成方法によれば、X線を透過
させるメンブレンと、その上に設けたX線を吸収する金
属の吸収体を構造の一部分として有する、パタ−ン化さ
れたX線マスクを形成するにあたり、メンブレン上にX
線吸収体用の金属膜を形成する工程と、この金属膜上
に、単量体単位毎にC−O−Si結合を有する、線状ま
たはラダ−状のポリ(シロキサン)からなるシリコ−ン
樹脂と放射線の作用により分解して酸を発生する酸発生
剤とを含む放射線感応性樹脂組成物の薄膜を形成する工
程と、この薄膜に対して選択的に電子線を照射する工程
と、電子線を照射した薄膜を加熱した後、現像して酸化
膜パタ−ンを形成する工程と、酸化膜パタ−ンをエッチ
ングマスクとし、金属膜に対してエッチングを行う工程
とを含むことを特徴とする。
To achieve this object, according to the pattern forming method of the present invention, an X-ray permeable membrane and an X-ray absorbing metal provided on the membrane are provided. In forming a patterned X-ray mask having a body as a part of the structure, X is formed on the membrane.
A step of forming a metal film for a line absorber, and a silicon or linear silicone having a C--O--Si bond for each monomer unit on the metal film. A step of forming a thin film of a radiation-sensitive resin composition containing a resin and an acid generator that decomposes to generate an acid by the action of radiation, a step of selectively irradiating the thin film with an electron beam, and an electron beam After heating the thin film irradiated with rays, the thin film is developed to form an oxide film pattern, and the oxide film pattern is used as an etching mask to etch the metal film. To do.

【0021】また、好ましくは、線状のポリ(シロキサ
ン)は、下記の(1)式で表され、前記ラダ−状のポリ
(シロキサン)は、下記の(2)式で表され、(1)お
よび(2)式中の官能基Rは、水素、第3ブチル、1−
フェネチル、1−メチル−1−フェネチル、シクロヘキ
セン−2−イルおよびt−ブトキシカルボニルの官能基
群の内から選ばれた少なくとも1種類以上の官能基であ
ると良い。
Preferably, the linear poly (siloxane) is represented by the following formula (1), and the ladder-shaped poly (siloxane) is represented by the following formula (2): ) And the functional group R in the formula (2) is hydrogen, tert-butyl, 1-
At least one functional group selected from the functional group group of phenethyl, 1-methyl-1-phenethyl, cyclohexen-2-yl, and t-butoxycarbonyl is preferable.

【0022】[0022]

【化1】 [Chemical 1]

【0023】また、好ましくは、酸発生剤を、トリクロ
ロメチル基を少なくとも1つ以上有する芳香族化合物、
スルホニウム塩、ヨ−ドニウム塩またはp−トルエンス
ルホン酸エステルの群れから選ばれた1種類の酸発生剤
とすると良い。
Preferably, the acid generator is an aromatic compound having at least one trichloromethyl group,
It is preferable to use one kind of acid generator selected from the group of sulfonium salts, iodonium salts or p-toluenesulfonic acid esters.

【0024】尚、前記加熱温度は60℃以上の温度が望
ましい。加熱時間を短くすることができるからである。
The heating temperature is preferably 60 ° C. or higher. This is because the heating time can be shortened.

【0025】[0025]

【作用】酸化膜それ自身は感光性を有さないことは自明
であるが、フォトリソグラフィによって酸化膜に変換で
きる放射線感応性の組成物を用いれば、一回のフォトリ
ソグラフィのみで、転写によるエッチング精度の低下の
虞なく、X線吸収体とのエッチング選択比の大きな酸化
膜のエッチングパタ−ンを容易に形成することができ
る。この様な放射線感応性の組成物として、この出願に
係る発明者は、文献:「特願平4−017588号」に
下記の(1)式および(2)式に示すシリコ−ン樹脂
と、光、電子線、X線またはイオンビ−ムの作用により
分解して酸を発生させる微量の酸発生剤とからなる放射
線感応性樹脂組成物(以下、組成物とも称する)を提案
している。この組成物に電子線を照射した後、加熱処理
を行うと下記の(3)式に示す様にC−O結合を切断す
る脱離反応が起きる。この様にしてできた膜は、微量の
酸発生剤の分解物が有機成分として残るが、エッチング
で用いる膜としては、実質的に酸化膜として考えて良
い。
[Function] It is obvious that the oxide film itself has no photosensitivity, but if a radiation-sensitive composition that can be converted into an oxide film by photolithography is used, etching by transfer can be performed with only one photolithography. An etching pattern of an oxide film having a large etching selection ratio with respect to the X-ray absorber can be easily formed without fear of deterioration of accuracy. As such a radiation-sensitive composition, the inventor of the present application discloses a silicone resin represented by the following formulas (1) and (2) in the document: "Japanese Patent Application No. 4-017588". A radiation-sensitive resin composition (hereinafter also referred to as a composition) comprising a trace amount of an acid generator that decomposes to generate an acid by the action of light, an electron beam, an X-ray or an ion beam is proposed. When this composition is irradiated with an electron beam and then heat-treated, an elimination reaction for cleaving the C—O bond occurs as shown in the following formula (3). In the film formed in this manner, a trace amount of decomposed products of the acid generator remains as an organic component, but it can be considered that the film used in etching is substantially an oxide film.

【0026】[0026]

【化1】 [Chemical 1]

【0027】[0027]

【化2】 [Chemical 2]

【0028】[0028]

【実施例】以下、図面を参照して、この発明のX線マス
クの製造方法の実施例について説明する。以下の説明中
で挙げる使用材料およびその量、処理時間、処理温度、
膜厚等の数値的条件は、この発明の範囲内の好適例にす
ぎない。従って、この発明は以下の実施例にのみ限定さ
れるものではないことは明らかである。また、以下に参
照する図は、この発明が理解できる程度に各構成成分の
大きさ、形状および配置関係を概略的に示してあるにす
ぎない。従って、この発明は、この実施例にのみ限定さ
れるものでないことは明らかである。
Embodiments of the method of manufacturing an X-ray mask according to the present invention will be described below with reference to the drawings. Materials used and their amounts mentioned in the following description, processing time, processing temperature,
Numerical conditions such as film thickness are only suitable examples within the scope of the present invention. Therefore, it is obvious that the present invention is not limited to the following examples. Further, the drawings referred to below only schematically show the sizes, shapes and arrangement relationships of the respective constituents to the extent that the present invention can be understood. Therefore, it is obvious that the present invention is not limited to this embodiment.

【0029】第1実施例 図1の(A)〜(D)は、この発明のX線マスクの製造
方法の第1実施例の説明に供する工程図である。図は、
断面を表すハッチング等を一部省略して示してある。
First Embodiment FIGS. 1A to 1D are process drawings for explaining a first embodiment of the method for manufacturing an X-ray mask of the present invention. The figure is
The hatching and the like showing the cross section are partially omitted.

【0030】先ず、X線を透過させるメンブレンとし
て、BN(ボロンナイトライド)膜30を形成する。両
面研磨した(100)Si基板32をLPCVD法装置
の成形反応チャンバ内に設置し、400℃の温度に加熱
する。次に、B2 6 (6%H2 希釈)とNH3 をチャ
ンバ内に導入し、圧力360Torr、NH3 の分圧/
2 6 の分圧=0.6の条件下でBN膜30を形成す
る。BN膜30の膜厚をエリプソメーターにより測定し
たところ、5μmであった。
First, a BN (boron nitride) film 30 is formed as a membrane that transmits X-rays. The double-sided polished (100) Si substrate 32 is placed in the molding reaction chamber of the LPCVD method and heated to a temperature of 400 ° C. Next, B 2 H 6 (diluted with 6% H 2 ) and NH 3 were introduced into the chamber, the pressure was 360 Torr, the partial pressure of NH 3 /
The BN film 30 is formed under the condition that the partial pressure of B 2 H 6 is 0.6. When the film thickness of the BN film 30 was measured by an ellipsometer, it was 5 μm.

【0031】次に、BN膜30上にX線吸収体用の金属
膜としてタングステン(W)膜34を形成する。W膜3
4の形成に先立ち、密着膜として、チタン(Ti)膜3
6を形成する。先ず、BN膜34を形成したSi基板3
2をスパッタ成膜装置に設置し、Tiをタ−ゲット、ス
パッタガスにArを用い、パワ−1kW、圧力3mTo
rr、基板バイアス電圧350Vの条件下でTiをBN
膜30上に堆積してTi膜を形成する。形成したTi膜
36の厚さは500A°(A°はオングストームを表す
記号)であった。次に、タ−ゲットをWに交換し、Ti
膜36の形成条件と同じ条件下でWをTi膜上に堆積し
てW膜34を形成する。形成したW膜34の厚さは1.
0μmであった。
Next, a tungsten (W) film 34 is formed on the BN film 30 as a metal film for the X-ray absorber. W film 3
Prior to the formation of 4, the titanium (Ti) film 3 is used as an adhesion film.
6 is formed. First, the Si substrate 3 on which the BN film 34 is formed
2 is installed in a sputtering film forming apparatus, Ti is used as a target, Ar is used as a sputtering gas, power is 1 kW, and pressure is 3 mTo.
BN with Ti under the condition of rr and substrate bias voltage of 350V
A Ti film is formed by depositing on the film 30. The thickness of the formed Ti film 36 was 500 A ° (A ° is a symbol representing angstrom). Next, replace the target with W,
W is deposited on the Ti film under the same conditions as the film 36 to form the W film 34. The formed W film 34 has a thickness of 1.
It was 0 μm.

【0032】次に、このW膜34上に、単量体単位毎に
C−O−Si結合を有する、線状またはラダ−状のポリ
(シロキサン)からなるシリコ−ン樹脂と放射線の作用
により分解して酸を発生する酸発生剤とを含む放射線感
応性樹脂組成物の薄膜を形成する。第1実施例では、下
記の(3)式に示すポリ(シロキサン)1.0gと、ト
リフェニルトリフルオロメタンスルホネート10mgを
メチルイソブチルケトン9.0mlに溶解し、これを
0.2μmのフィルタで濾過してレジスト溶液を調整し
た。次に、調整したレジスト溶液をW膜34上に250
0rpmで回転塗布した後、80℃の温度下で1分間べ
−クを行って、0.3μmの厚さのレジスト膜38を上
述した薄膜として形成する(図1の(A))。
Then, on the W film 34, the silicone resin made of linear or ladder poly (siloxane) having a C--O--Si bond for each monomer unit is acted on by the action of radiation. A thin film of a radiation-sensitive resin composition containing an acid generator that decomposes to generate an acid is formed. In the first example, 1.0 g of poly (siloxane) represented by the following formula (3) and 10 mg of triphenyltrifluoromethanesulfonate were dissolved in 9.0 ml of methyl isobutyl ketone, and this was filtered through a 0.2 μm filter. To prepare a resist solution. Next, the adjusted resist solution is applied on the W film 34 by 250
After spin coating at 0 rpm, baking is performed at a temperature of 80 ° C. for 1 minute to form a resist film 38 having a thickness of 0.3 μm as the above-mentioned thin film ((A) of FIG. 1).

【0033】[0033]

【化3】 [Chemical 3]

【0034】次に、電子線露光装置を用い、下層電圧2
0kV、露光量5μC/cm2 の条件下で、レジスト膜
28に対して選択的に電子線を照射する(図1の
(B))。
Next, using an electron beam exposure apparatus, the lower layer voltage 2
Under the conditions of 0 kV and an exposure amount of 5 μC / cm 2 , the resist film 28 is selectively irradiated with an electron beam ((B) of FIG. 1).

【0035】次に、電子線を照射したレジスト膜28を
ホットプレート上で120℃の温度下で2分間べ−クし
て加熱した後、酢酸イソアミル中で30秒間現像し、シ
クロヘキサンデ30秒間リンスを行って、酸化膜パタ−
ン40を形成する(図1の(C))。酸化膜パタ−ン4
0を形成した試料をSEM測長機でパタ−ンを測長した
ところ、0.2μmのラインアンドスペ−スのパタ−ン
を解像していることが確認できた。また、第1実施例の
酸化膜パタ−ン40の形成に先立ち、露光量と残膜率と
の特性を調べた特性曲線によれば、露光量が5μC/c
2 のときの残膜率は60%である。従って、この実施
例で形成した酸化膜パタ−ン40の膜厚はレジスト膜3
8の膜厚0.3μmの60%の0.18μmとなる。
Next, the resist film 28 irradiated with the electron beam was baked on a hot plate at a temperature of 120 ° C. for 2 minutes, heated, developed in isoamyl acetate for 30 seconds, and rinsed with cyclohexane for 30 seconds. The oxide film pattern.
Forming a film 40 (FIG. 1 (C)). Oxide film pattern 4
When the pattern of the sample in which 0 was formed was measured with a SEM length measuring machine, it was confirmed that a line-and-space pattern of 0.2 μm was resolved. Further, according to the characteristic curve obtained by examining the characteristics of the exposure amount and the remaining film ratio before the formation of the oxide film pattern 40 of the first embodiment, the exposure amount was 5 μC / c.
The residual film rate at m 2 is 60%. Therefore, the film thickness of the oxide film pattern 40 formed in this embodiment is the same as the resist film 3
8 is 0.18 μm, which is 60% of the film thickness of 0.3 μm.

【0036】次に、第1実施例では、酸化膜パタ−ン4
〜を形成した試料を平行平板型のドライエッチャーのカ
ソードに装着し、試料を−20℃に冷却する。次に、S
6ガスを流量20SCCMで導入し、圧力5mTor
r、投入パワ−20W、自己バイアス150Vの条件下
で、酸化膜パタ−ン40をエッチングマスクとし、W膜
34に対してエッチングを行って、X線吸収体パタ−ン
42を形成する(図1の(D))。このエッチングは、
25%オーバーエッチングしたところでエッチングを停
止し、試料の断面をSEMで観察したところ0.2μm
のラインアンドスペ−スのパタ−ンをテーパ角度85°
で形成されていることが確認できた。また、エッチング
マスクとして用いた酸化膜パタ−ンの残存膜厚は0.0
8μmであり、約0.1μmエッチングされていること
が分かった。従って、エッチング選択比は、1.0μm
/0.1μm=10程度であることが分かった。
Next, in the first embodiment, the oxide film pattern 4 is used.
The sample formed with is attached to the cathode of a parallel plate type dry etcher, and the sample is cooled to -20 ° C. Then S
F 6 gas is introduced at a flow rate of 20 SCCM and the pressure is 5 mTorr
Under the conditions of r, input power 20 W, and self-bias 150 V, the oxide film pattern 40 is used as an etching mask to etch the W film 34 to form an X-ray absorber pattern 42 (FIG. 1 (D)). This etching is
The etching was stopped when 25% was over-etched, and the cross section of the sample was observed by SEM.
The line and space pattern of the taper angle 85 °
It was confirmed that they were formed in. The remaining film thickness of the oxide film pattern used as the etching mask is 0.0
It was 8 μm, and it was found that it was etched by about 0.1 μm. Therefore, the etching selectivity is 1.0 μm.
It was found that /0.1 μm = about 10.

【0037】第2実施例 図2の(A)〜(D)は、この発明のX線マスクの製造
方法の第1実施例の説明に供する工程図である。図は、
断面を表すハッチング等を一部省略して示してある。
Second Embodiment FIGS. 2A to 2D are process drawings for explaining the first embodiment of the method for manufacturing an X-ray mask of the present invention. The figure is
The hatching and the like showing the cross section are partially omitted.

【0038】第1実施例と同じ条件でSi基板32形成
したBN膜30およびTi膜36上に、第2実施例で
は、厚差1.0μmタンタル(Ta)膜44を、第1実
施例のW膜34を形成したのと同じ条件で形成する。次
に、Ta膜44上に、第1実施例と同じ条件でレジスト
膜38を形成する(図2の(A))。
In the second embodiment, a tantalum (Ta) film 44 having a thickness difference of 1.0 μm is formed on the BN film 30 and the Ti film 36 formed on the Si substrate 32 under the same conditions as in the first embodiment. The W film 34 is formed under the same conditions as the formation. Next, a resist film 38 is formed on the Ta film 44 under the same conditions as in the first embodiment ((A) of FIG. 2).

【0039】次に、電子線露光装置を用い、下層電圧2
0kV、露光量4.5μC/cm2の条件下で、レジス
ト膜38に対して選択的に電子線を照射する(図2の
(B))。 次に、電子線を照射したレジスト膜38を
第1実施例と同じ条件で、加熱および現像し、酸化膜パ
タ−ン40を形成する(図2の(C))。酸化膜パタ−
ン40を形成した試料をSEM測長機でパタ−ンを測長
したところ、0.2μmのラインアンドスペ−スのパタ
−ンを解像していることが確認できた。また、第2実施
例の酸化膜パタ−ン40の形成に先立ち、露光量と残膜
率との特性を調べた特性曲線によれば、露光量が5μC
/cm2 のときの残膜率は60%である。従って、この
実施例で形成した酸化膜パタ−ン40の膜厚はレジスト
膜38の膜厚0.3μmの60%の0.18μmとな
る。
Next, using an electron beam exposure apparatus, the lower layer voltage 2
Under the conditions of 0 kV and an exposure amount of 4.5 μC / cm 2 , the resist film 38 is selectively irradiated with an electron beam ((B) of FIG. 2). Next, the resist film 38 irradiated with the electron beam is heated and developed under the same conditions as in the first embodiment to form an oxide film pattern 40 ((C) in FIG. 2). Oxide film pattern
When the pattern of the sample on which the pattern 40 was formed was measured with an SEM length measuring machine, it was confirmed that a 0.2 μm line-and-space pattern was resolved. Further, according to the characteristic curve obtained by examining the characteristics of the exposure amount and the residual film ratio prior to the formation of the oxide film pattern 40 of the second embodiment, the exposure amount was 5 μC.
The residual film ratio at 60 cm 2 / cm 2 is 60%. Therefore, the film thickness of the oxide film pattern 40 formed in this embodiment is 0.18 μm, which is 60% of the film thickness 0.3 μm of the resist film 38.

【0040】次に、第2実施例では、酸化膜パタ−ン4
0を形成した試料を平行平板型のドライエッチャーのカ
ソードに装着し、試料を0℃に冷却する。次に、CBr
3ガスを流量15SCCMで導入し、圧力5mTor
r、投入パワ−20W、自己バイアス150Vの条件下
で、酸化膜パタ−ン40をエッチングマスクとし、Ta
膜44に対してエッチングを行って、X線吸収体パタ−
ン46を形成する(図2の(D))。このエッチング
は、25%オーバーエッチングしたところでエッチング
を停止した。試料の断面をSEMで観察したところ0.
2μmのラインアンドスペ−スのパタ−ンをテーパ角度
85°で形成されていることが確認できた。また、エッ
チングマスクとして用いた酸化膜パタ−ン40の残存膜
厚は0.06μmであり、約0.12μmエッチングさ
れていることが分かった。一方、Ta膜44の膜厚は
1.0μmであったから、エッチング選択比は、1.0
μm/0.12μm=8.3以上であることが分かっ
た。
Next, in the second embodiment, the oxide film pattern 4 is used.
The sample on which 0 is formed is mounted on the cathode of a parallel plate type dry etcher, and the sample is cooled to 0 ° C. Next, CBr
F 3 gas is introduced at a flow rate of 15 SCCM and the pressure is 5 mTorr
Under the conditions of r, input power 20 W, and self-bias 150 V, the oxide film pattern 40 was used as an etching mask and Ta was used.
The film 44 is etched to form an X-ray absorber pattern.
Forming a film 46 ((D) of FIG. 2). In this etching, the etching was stopped when 25% was over-etched. When the cross section of the sample was observed by SEM, it was 0.
It was confirmed that a line-and-space pattern of 2 μm was formed with a taper angle of 85 °. Further, it was found that the remaining film thickness of the oxide film pattern 40 used as the etching mask was 0.06 μm, which was etched by about 0.12 μm. On the other hand, since the film thickness of the Ta film 44 was 1.0 μm, the etching selection ratio was 1.0.
It was found that μm / 0.12 μm = 8.3 or more.

【0041】上述した各実施例では、この発明を、特定
の材料を使用し、また、特定の条件で形成した例につき
説明したが、この発明は多くの変更および変形を行うこ
とができる。例えば、上述した実施例では、X線吸収体
としてタングステン(W)またはタンタル(Ta)を用
いた例につき説明したが、この発明では、例えばタング
ステンナイトライド(BN)を用いても良い。
In each of the above-described embodiments, the present invention has been described with reference to an example in which a specific material is used and formed under specific conditions, but the present invention can be modified and modified in many ways. For example, in the above-described embodiments, an example in which tungsten (W) or tantalum (Ta) is used as the X-ray absorber has been described, but in the present invention, for example, tungsten nitride (BN) may be used.

【0042】[0042]

【発明の効果】この発明のX線マスクの製造方法によれ
ば、フォトリソグラフィによって酸化膜に変換できる放
射線感応性の組成物を用いているので、1回のフォトリ
ソグラフィのみでエッチングによるパタ−ンに転写を行
わずに酸化膜のエッチングパタ−ンを形成することがで
きる。その結果、X線吸収体パタ−ンの微細な加工を容
易に行うことができる。
According to the method of manufacturing an X-ray mask of the present invention, since a radiation-sensitive composition that can be converted into an oxide film by photolithography is used, a pattern by etching can be formed by only one photolithography. It is possible to form an etching pattern of the oxide film without performing transfer to the substrate. As a result, fine processing of the X-ray absorber pattern can be easily performed.

【0043】また、この発明の方法は、例えばSORを
用いた等倍露光方式に用いて好適である。
Further, the method of the present invention is suitable for use in, for example, an equal-magnification exposure method using SOR.

【図面の簡単な説明】[Brief description of drawings]

【図1】この発明のX線マスクの製造方法の第1実施例
の説明に供する工程図である。
FIG. 1 is a process drawing for explaining a first embodiment of a method for manufacturing an X-ray mask of the present invention.

【図2】この発明のX線マスクの製造方法の第2実施例
の説明に供する工程図である。
FIG. 2 is a process drawing for explaining a second embodiment of the X-ray mask manufacturing method of the present invention.

【図3】従来のX線マスクの製造方法の説明に供する工
程図である。
FIG. 3 is a process drawing for explaining a conventional method for manufacturing an X-ray mask.

【符号の説明】[Explanation of symbols]

10:Si基板 12:SiN膜 14:タンタル(Ta)膜 16:酸化膜 18:レジスト膜 20:レジストパタ−ン 22:酸化膜パタ−ン 24:X線吸収体パタ−ン 30:ボロンナイトライド(BN)膜 32:Si基板 34:タングステン(W)膜 36,36a:チタン(Ti)膜 38:レジスト膜 40:酸化膜パタ−ン 42:X線吸収体パタ−ン 44:タンタル(Ta)膜 46:X線吸収体パタ−ン 10: Si substrate 12: SiN film 14: Tantalum (Ta) film 16: Oxide film 18: Resist film 20: Resist pattern 22: Oxide film pattern 24: X-ray absorber pattern 30: Boron nitride ( BN) film 32: Si substrate 34: Tungsten (W) film 36, 36a: Titanium (Ti) film 38: Resist film 40: Oxide film pattern 42: X-ray absorber pattern 44: Tantalum (Ta) film 46: X-ray absorber pattern

Claims (3)

【特許請求の範囲】[Claims] 【請求項1】 X線を透過させるメンブレンと、その上
に設けたX線を吸収する金属の吸収体を構造の一部分と
して有する、パタ−ン化されたX線マスクを形成するに
あたり、 前記メンブレン上にX線吸収体用の金属膜を形成する工
程と、 該金属膜上に、単量体単位毎にC−O−Si結合を有す
る、線状またはラダ−状のポリ(シロキサン)からなる
シリコ−ン樹脂と放射線の作用により分解して酸を発生
する酸発生剤とを含む放射線感応性樹脂組成物の薄膜を
形成する工程と、 前記薄膜に対して選択的に電子線を照射する工程と、 電子線を照射した前記薄膜を加熱した後、現像して酸化
膜パタ−ンを形成する工程と、 前記酸化膜パタ−ンをエッチングマスクとし、前記金属
膜に対してエッチングを行う工程とを含むことを特徴と
するX線マスクの製造方法。
1. When forming a patterned X-ray mask, which comprises an X-ray permeable membrane and an X-ray absorbing metal absorber provided thereon as a part of the structure, said membrane A step of forming a metal film for an X-ray absorber thereon, and a linear or ladder-shaped poly (siloxane) having a C—O—Si bond for each monomer unit on the metal film. A step of forming a thin film of a radiation-sensitive resin composition containing a silicone resin and an acid generator that decomposes to generate an acid by the action of radiation, and a step of selectively irradiating the thin film with an electron beam A step of heating the thin film irradiated with an electron beam and then developing it to form an oxide film pattern; and a step of etching the metal film using the oxide film pattern as an etching mask. X-rays characterized by containing Method of manufacturing a disk.
【請求項2】 請求項1に記載のX線マスク形成方法に
おいて、 前記線状のポリ(シロキサン)は、下記の(1)式で表
され、前記ラダ−状のポリ(シロキサン)は、下記の
(2)式で表され、(1)および(2)式中の官能基R
は、水素、第3ブチル、1−フェネチル、1−メチル−
1−フェネチル、シクロヘキセン−2−イルおよびt−
ブトキシカルボニルの官能基群の内から選ばれた少なく
とも1種類以上の官能基であることを特徴とするパタ−
ン形成方法。 【化1】
2. The X-ray mask forming method according to claim 1, wherein the linear poly (siloxane) is represented by the following formula (1), and the ladder-shaped poly (siloxane) is Of the functional group R in the formulas (1) and (2)
Is hydrogen, tert-butyl, 1-phenethyl, 1-methyl-
1-phenethyl, cyclohexen-2-yl and t-
A pattern characterized by being at least one kind of functional group selected from the group of butoxycarbonyl functional groups.
Forming method. [Chemical 1]
【請求項3】 請求項1に記載のX線マスクの製造方法
において、 前記酸発生剤を、トリクロロメチル基を少なくとも1つ
以上有する芳香族化合物、スルホニウム塩、ヨ−ドニウ
ム塩またはp−トルエンスルホン酸エステルの群れから
選ばれた1種類の酸発生剤とすることを特徴とするX線
マスクの製造方法。
3. The method of manufacturing an X-ray mask according to claim 1, wherein the acid generator is an aromatic compound having at least one trichloromethyl group, a sulfonium salt, an iodonium salt, or p-toluene sulfone. A method for producing an X-ray mask, which comprises using one kind of acid generator selected from a group of acid esters.
JP5275293A 1993-03-12 1993-03-12 Manufacture of x-ray mask Withdrawn JPH06267830A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP5275293A JPH06267830A (en) 1993-03-12 1993-03-12 Manufacture of x-ray mask

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP5275293A JPH06267830A (en) 1993-03-12 1993-03-12 Manufacture of x-ray mask

Publications (1)

Publication Number Publication Date
JPH06267830A true JPH06267830A (en) 1994-09-22

Family

ID=12923634

Family Applications (1)

Application Number Title Priority Date Filing Date
JP5275293A Withdrawn JPH06267830A (en) 1993-03-12 1993-03-12 Manufacture of x-ray mask

Country Status (1)

Country Link
JP (1) JPH06267830A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6794329B2 (en) 2001-12-10 2004-09-21 Nova Chemicals (International) S. A. Catalyst activator
JP2016167092A (en) * 2016-05-20 2016-09-15 大日本印刷株式会社 Photomask blank

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6794329B2 (en) 2001-12-10 2004-09-21 Nova Chemicals (International) S. A. Catalyst activator
JP2016167092A (en) * 2016-05-20 2016-09-15 大日本印刷株式会社 Photomask blank

Similar Documents

Publication Publication Date Title
JP3691897B2 (en) Resist material and resist pattern forming method
JPH05205989A (en) Lithography method and manufacture of semiconductor device
US6372406B1 (en) Deactivated aromatic amines as additives in acid-catalyzed resists
JPH06267830A (en) Manufacture of x-ray mask
JPH0689847A (en) X-ray mask structure and its manufacture, x-ray exposure using the structure, and device manufactured by using the structure
JP2901044B2 (en) Pattern formation method by three-layer resist method
JPH02248952A (en) Photosensitive composition
JPH0383063A (en) Pattern forming method
JPS6376438A (en) Pattern formation
JP2954442B2 (en) Wiring pattern forming method
JP2675162B2 (en) Photosensitive resin composition and pattern forming method using the same
JP3293803B2 (en) Method of forming fine pattern and method of manufacturing semiconductor device
JP4017231B2 (en) Method for promoting sensitivity and pattern forming method of chemically amplified resist
JPH08328255A (en) Radiation sensitive resin composition and formation of resist pattern using the same
JPH0147009B2 (en)
Omatsu et al. Application of higher absorption materials to the underlayer of EUV lithography
McCullough et al. A novel bilevel resist system
JPS61260242A (en) Formation of resist pattern
JP3563138B2 (en) Pattern forming method using photosensitive resin composition
JP2506133B2 (en) Pattern formation method
JPS5828739A (en) Radiation sensitive resist
Lavallée et al. Plasma deposited and evaporated thin resists for template fabrication
JPH08272099A (en) Radiation sensitive resin composition and pattern forming method using the composition
JPH08190200A (en) Method of forming si-containing thin film and method of forming pattern of the thin film
JPH0822116A (en) Pattern forming method

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20000530