CN103975418B - 用于平版印刷加工的旋涂碳组合物 - Google Patents

用于平版印刷加工的旋涂碳组合物 Download PDF

Info

Publication number
CN103975418B
CN103975418B CN201280060464.0A CN201280060464A CN103975418B CN 103975418 B CN103975418 B CN 103975418B CN 201280060464 A CN201280060464 A CN 201280060464A CN 103975418 B CN103975418 B CN 103975418B
Authority
CN
China
Prior art keywords
double
layer
weight
enriched carbon
amino
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201280060464.0A
Other languages
English (en)
Other versions
CN103975418A (zh
Inventor
V·克里西那莫西
D·M·苏利文
王玉宝
林沁
S·西蒙斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Brewer Science Inc
Original Assignee
Brewer Science Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brewer Science Inc filed Critical Brewer Science Inc
Publication of CN103975418A publication Critical patent/CN103975418A/zh
Application granted granted Critical
Publication of CN103975418B publication Critical patent/CN103975418B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B33/00Layered products characterised by particular properties or particular surface features, e.g. particular surface coatings; Layered products designed for particular purposes not covered by another single class
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D179/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing nitrogen, with or without oxygen, or carbon only, not provided for in groups C09D161/00 - C09D177/00
    • C09D179/04Polycondensates having nitrogen-containing heterocyclic rings in the main chain; Polyhydrazides; Polyamide acids or similar polyimide precursors
    • C09D179/08Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B9/00Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00
    • B32B9/04Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00 comprising such particular substance as the main or only constituent of a layer, which is next to another layer of the same or of a different material
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08G73/1067Wholly aromatic polyimides, i.e. having both tetracarboxylic and diamino moieties aromatically bound
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08G73/1075Partially aromatic polyimides
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08G73/1075Partially aromatic polyimides
    • C08G73/1078Partially aromatic polyimides wholly aromatic in the diamino moiety
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2255/00Coating on the layer surface
    • B32B2255/26Polymeric coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2379/00Other polymers having nitrogen, with or without oxygen or carbon only, in the main chain
    • B32B2379/08Polyimides
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • Y10T428/24851Intermediate layer is discontinuous or differential
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/30Self-sustaining carbon mass or layer with impregnant or other layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31551Of polyamidoester [polyurethane, polyisocyanate, polycarbamate, etc.]
    • Y10T428/31623Next to polyamide or polyimide
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31667Next to addition polymer from unsaturated monomers, or aldehyde or ketone condensation product
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal
    • Y10T428/31681Next to polyester, polyamide or polyimide [e.g., alkyd, glue, or nylon, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31721Of polyimide

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Ceramic Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Macromolecular Compounds Obtained By Forming Nitrogen-Containing Linkages In General (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本文所述的发明涉及旋涂碳材料,其包括在溶剂系统中的聚酰胺酸组合物和交联剂。所述材料可用于三层照相平版印刷工艺。用发明性组合物制备的膜不溶于常用于平版印刷材料的溶剂,例如但不限于,PGME、PGMEA和环己酮。但是,所述膜可溶于照相平版印刷中常用的显影剂。在一种实施方式中,可在高温下加热膜,以改善用于高温加工的热稳定性。与实施方式无关,所述材料可施涂至平坦的/平面表面或者图案化表面。优选地,在使用氟碳蚀刻把图案转移至硅基片时,所述材料呈现抗扭曲性。

Description

用于平版印刷加工的旋涂碳组合物
发明背景
相关申请
本申请要求2011年10月10日提交的名为“用于平版印刷加工的旋涂碳组合物(SPIN-ON CARBON COMPOSITIONS FOR LITHOGRAPHIC PROCESSING)”的临时申请系列号第61/545,313号的优先权,该文通过引用纳入本文。
发明领域
本发明涉及从聚酰胺酸形成用于多层堆叠件及其微电子结构的富碳(旋涂碳)层的方法。
现有技术说明
随着半导体工业持续地缩小部件的尺寸,单层光刻胶的厚度不足以完全地把图案转移至基片。因此,通常使用三层堆叠件(光刻胶-硬掩模-碳层)来把由光刻胶制备的图案转移到基片。可通过化学气相沉积(CVD)或者旋涂来形成碳层。但是,CVD方法是昂贵的、具有低通量且把片暴露于苛刻的条件。目前,环氧甲酚酚醛是用于制造旋涂碳(“SOC”)层的最常见的材料,但这种材料热稳定性低且碳含量低,导致在把图案转移到硅基片时的高升华和不良的抗扭曲性。此外,这种层在固化后难以除去。已经形成的其它SOC可通过干蚀刻除去。但是,干蚀刻要求苛刻的加工条件和特种设备,使得这种方法更不那么理想。
本领域需要具有高热稳定性和光学常数、同时还可湿法除去的(可溶于显影剂的)的改善的SOC层。此外,这些层应阻止或者最小化许多现有技术方法中存在的线“扭曲(wiggling)”。
发明内容
本发明提供一种形成微电子结构的方法。所述方法包括提供具有表面的基片。任选地,在所述表面上形成一种或更多种中间层,如果存在一种或更多种中间层,那么在所述表面上有最上的中间层。如果存在中间层则把一种组合物施涂到所述最上的中间层,或者,如果不存在中间层则施涂至所述基片表面。所述组合物包含分散或溶解在溶剂体系中的聚酰胺酸。加热所述组合物来形成旋涂碳层或者富碳层,且所述富碳层是可溶于显影剂的,且在约400℃的温度下保持约10分钟时具有小于约10%的重量损失。
本发明还提供了一种新颖的微电子结构。所述结构包括微电子基片,该基片包括表面和在所述表面上的一种或更多种中间层。如果存在一种或更多种中间层,那么在所述表面上有最上的中间层。如果存在中间层则富碳层在所述最上的中间层上,如果不存在中间层则该富碳层在所述基片表面上。所述富碳层:包括交联的聚酰胺酸;是可溶于显影剂的;以及在约400℃的温度下保持约10分钟时,具有小于约10%的重量损失。
附图简述
图1是实施例26所演示的正性显影照相平版印刷(40L/80P,16.8mJ)的扫描电子显微镜(“SEM”)照片;
图2是实施例27中实施的负性显影照相平版印刷(53S/105P,19.6mJ)的SEM照片;
图3是实施例28中形成的蚀刻的旋涂碳层的SEM照片;
图4显示了来自实施例29的SOC制剂E-2的热重分析(“TGA”)曲线;
图5是如实施例30所述的SOC制剂E-2填充隔离的深接触孔的SEM照片;
图6是如实施例30所述的SOC制剂E-2填充致密深接触孔的SEM照片;
图7是显示如实施例32所述的C4F8/Ar蚀刻后的SOC110D图案的SEM照片;以及
图8是显示如实施例33所述的C4F8/Ar蚀刻后的制剂E-2图案的SEM照片。
优选实施方式详述
发明详述
发明方法
更具体地,本发明提供用于形成微电子结构的方法,且特别适于多层工艺。在发明性方法中,把一种或更多种任选的中间层施涂到基片表面。合适的中间层包括选自下组的那些:旋涂硬掩模、CVD硬掩模以及旋涂碳层(不含聚酰胺酸)。可以使用任意常规的微电子基片。优选的基片包括选自下组的那些:硅、SiGe、SiO2、Si3N4、SiON、铝、钨、硅化钨、砷化镓、锗、钽、氮化钽、珊瑚、黑金刚石、掺杂磷或硼的玻璃、Ti3N4、铪、HfO2、钌、磷化铟,以及上述材料的混合物。所述基片的表面可以是平坦的,或者它可以包括形貌特征(通孔、沟槽、接触孔、凸起特征、线条等)。在本文中,"形貌"表示基片表面之内或之上的结构的高度或深度。
如果存在中间层,则把聚酰胺酸组合物施涂到最上的中间层;如果不存在中间层,则把聚酰胺酸组合物施涂到基片表面,以在基片表面上形成层。所述组合物可通过任何已知的施涂方法施涂,优选的施涂方法是在约500转每分钟(rpm)-5000rpm的转速下旋涂所述组合物(优选转速为约1,000rpm-约2000rpm),旋涂持续时间为约5秒-120秒(优选为约30秒-约60秒)。组合物施涂之后,优选地加热到约200℃-约450℃以及更优选地约205℃-约400℃的温度,持续时间为约10秒-约120秒(优选地约30秒-约90秒),以蒸发溶剂。烘烤将引发交联反应来固化该层,由此形成富碳层,它将包括交联的聚酰胺酸。如本文所使用,术语“富碳层”指以所述层的重量作为100重量%为基准计,层包括大于约50重量%碳,优选地大于约60重量%碳,更优选地大于约70重量%碳,以及甚至更优选地约70%-99重量%碳。这些富碳层还优选地包括低氢含量(如以所述层的重量作为100重量%为基准计,小于约10重量%氢,优选地小于约5重量%氢,更优选地小于约3重量%氢,以及甚至更优选地约0.01%-约2重量%氢)。
烘烤之后测定的富碳层的平均厚度优选为约0.05微米-约10微米,更优选为约0.1微米-约5.0微米,以及甚至更优选地约0.1微米-约2.0微米。如果基片表面包括形貌,优选地以足以基本上覆盖基片形貌且取得在形貌之上的上述平均厚度的厚度,来形成富碳层。本发明的方法所用的组合物具有优异的间隙填充性质,且能很好地填充深接触孔。
取决于用于形成富碳层的具体聚酰胺酸组合物(下文将详细讨论),干燥或交联的富碳层在所用波长(如365纳米、248纳米、193纳米、157纳米或13.5纳米)处的折射率(n值)至少为约1.40,优选地为约1.45-约1.70,以及甚至更优选地为约1.50-约1.65。
干燥或交联的富碳保护层将基本上不溶于用来在多层堆叠件中形成后续层的常用有机溶剂,例如丙二醇甲基醚乙酸酯(PGMEA)、丙二醇单甲基醚(PGME)、乳酸乙酯、丙二醇正丙基醚、γ-丁内酯、环戊酮、环己酮、乙酸正丁酯、甲基异丁基甲醇((MIBC)及其混合物。因此,当进行剥落测试的时候,富碳层的剥落百分数小于约5%,优选小于约1%,以及更优选地为约0%。剥落测试包括首先测定厚度(取层的五个不同位置的测量值的平均值)。这是初始平均膜厚度。接下来,溶剂(例如乳酸乙酯)在膜上淋洗约30秒,然后在大约500-3,000rpm的转速下旋转干燥约20-60秒以除去溶剂。使用椭圆光度法再次测量在晶片上那五个不同的点的厚度,得到这些测量值的平均值。这是最终平均膜厚度。
剥落的量是初始平均膜厚度与最终平均膜厚度之间的差值。剥落百分数为:
尽管通常不溶于有机溶剂,但富碳层可溶于或能变得溶于常规水性显影剂(如,光刻胶显影剂)中。即,如本文所使用,术语“可溶于显影剂”指可用常规的水性显影剂(如氢氧化物和/或任意碱/碱性化学溶液)除去富碳层。特别优选地显影剂选自下组:氢氧化四甲铵(TMAH)、氢氧化钾(KOH)、氢氧化钠,及其混合物。因此,优选地可在加工时除去富碳层而无需干蚀刻(如,反应性离子蚀刻),且优选地在本发明的方法中,富碳层不进行任何干蚀刻。
富碳层优选地不是光敏的(即,当暴露于约1J/cm2时无法在层中形成图案),因此光敏组合物如光刻胶或其它成像层不适于用作本发明的富碳层。
优选地,富碳层具有高的热稳定性,使它特别适用于高温加工,例如通过CVD来沉积无机掩模。在本实施方式中,可在更高的温度下(即,从约300℃-约450℃,以及优选地约350℃-约400℃)加热所述交联的聚酰胺酸层来促进酰亚胺化。高的热稳定性可通过TGA来观察。具体来说,在约400℃的温度下保持约10分钟,富碳层将经历小于约10%、优选地小于约5%以及优选地约0%的重量损失。
富碳层将还具有低的升华。根据实施例31中所述的升华测试,在约205℃-约225℃的温度下,根据本发明的富碳层的升华小于约1,500纳克,优选地小于约1,000纳克,以及甚至更优选地小于约500纳克。
最后,富碳层形成SOC图案,该图案最小化或防止线变形或线“扭曲(wiggling)”。线扭曲是不利的,且妨碍图案良好地转移到下面的层和最终转移至基片。因此,用本发明取得了改善的图案化。
在基片表面上形成富碳层以后,任选的可在该富碳层附近(即在其顶部)形成一种或更多种额外的中间层。这种额外的中间层的示例包括选自下组的那些:蚀刻阻挡层、图案转移层和光刻胶。所述额外的中间层可通过任何已知的施涂方法来形成,一种优选的方法是在大约1,000-约5,000rpm的转速下旋涂所述组合物,优选转速为约1,250-约1,750rpm,持续时间为约30-约120秒,优选为约45-约75秒。
如果使用了中间蚀刻阻挡层,它优选地包括碳化合物、金属化合物或者硅化合物(如Si3N4、SiO2、SiC或SiON)。蚀刻阻挡层的厚度可变化,但优选地为约0.1纳米-约100纳米,更优选地为约1纳米-约20纳米,以及甚至更优选地为约5纳米-约10纳米。
最优选地的中间层是邻近富碳层形成的图案转移层(硬掩模)。可通过已知的任意施涂方法来形成图案转移层,一种优选的方法是以下述速度旋涂所述组合物:约1,000-约5,000rpm(优选地约1,000-约2,000rpm),并旋涂约30-约120秒(优选的约45-约60秒)的时段。还可使用化学气相沉积来形成图案转移层。然后,可把图案转移层加热至约100℃-约300℃,以及更优选地约160℃-约205℃的温度,并持续约30秒-约120秒(优选地约45秒-约60秒)的时段,以蒸发溶剂。图案转移层的厚度可变化,但优选地为约0.1纳米-约1,000纳米,更优选地为约20纳米-约100纳米,以及甚至更优选地为约30纳米-约50纳米。适用于形成图案转移层的组合物包括硬掩模材料(如含硅或金属硬掩模和混合硬掩模)或者旋涂玻璃材料(如硅酸盐、磷硅酸盐、硅氧烷)。
然后在堆叠件上形成成像层。可通过任意已知的施涂方法来形成成像层,一种优选地方法是以约500-约5,000rpm(优选地约1,000-约2,000rpm)的速度旋涂约30-约120秒(优选地约45-约60秒),如果存在额外的中间层,则把该成像层施涂到该额外的中间层上;如果不存在额外的中间层,则把该成像层施涂到富碳层上。成像层施涂后在至少约90℃,以及优选地约90℃-约130℃的温度下,烘烤约30秒-约120秒(优选地45-约60秒)的时段。合适的成像组合物包括可在市场上购得的光刻胶(例如购自日本川崎市神奈川县的TOK的TArFPi6-001;购自加利福尼亚州桑尼威尔的捷时雅迈科(JSR Micro)的ARX3001、ARX3340J、AM2073J和KrFM592Y;购自日本东京信越化学(Shin-Etsu)的SAIL-X-181或者任何其它的光敏性组合物。本发明的方法允许使用更薄的成像层。成像层的厚度小于约500纳米,优选地小于约300纳米,更优选地为约50纳米-约200纳米以及甚至更优选地为约100纳米-约180纳米。应理解,在堆叠件中的额外的中间层(或者富碳层,如果不存在额外的中间平面)和成像层之间,还可存在常规的减反射涂层,从而控制成像层暴露时的反射。
然后,可通过暴露于合适波长的光,来图案化成像层。具体来说,使用设置在成像层上方的掩模来曝光成像层。掩模具有开放区域,所述开放区域设计用来允许辐射(hν)穿过该掩模并接触成像层。掩模剩余的实心部分设计用来在某些区域阻止辐射与成像层相接触。本领域技术人员能够很容易地理解,开放区域和实心部分是根据将要在成像层上、最终在基片中形成的所需图案来设计的。曝光后,成像层优选地在约90℃-约150℃,更优选地约110℃-约130℃的温度下进行约30秒-约120秒的时段的曝光后烘烤。
曝光时,成像层中暴露于辐射的部分会变得可溶于水性显影剂。然后,使成像层中通过上述工艺变得可溶的曝光部分,与水性显影剂接触,从而除去该曝光的部分并在成像层中形成所需的图案。所述图案可以是通孔、沟槽、线条、间隔等,最终采用蚀刻法或离子注入法或金属沉积把它们转移到基片上。或者,成像层的曝光的部分可在曝光工艺中变得不可溶的,在这种情况下,去除过程与上述过程相反。即,在显影中除去未曝光的部分来形成图案。无论在哪种实施方式中,优选地通过显影剂除去成像层中至少约95%,更优选的是至少约99%,以及甚至更优选的是约100%的曝光的(或者有时是未曝光的)部分。合适的显影剂是有机或无机碱性溶液,例如KOH或者TMAH,以及优选是浓度为约0.26N的TMAH水性溶液。一些这样的显影剂是市售产品,商品名为PD523AD(购自美国华盛顿州,摩斯湖的摩斯湖工业有限公司(Moses Lake Industries,Inc.)),MF-319(购自美国马萨诸塞州的西普力(Shipley,Massachusetts)),MF-320(购自西普力)以及NMD3(购自日本的TOK)。
还应理解,还可使用其它图案化技术,包括新兴技术例如压印平版印刷(imprintlithography)、纳米压印平版印刷、热压印平版印刷,以及用来在成像层中形成图案的烫印图案转移。这些技术使用图案化的模具来转移图案,而不是如上所述的依赖照相平版印刷图案化。还可使用导向自组装(DSA)来图案化成像层。
不管成像层中的图案是怎样的形成的,随后使用蚀刻工艺把该图案从图案化的成像层转移进入图案转移层和/或一种或更多种其它额外的中间层(如果存在)。优选的是,通过使用CF4、CHF3、O2、HBr、Cl2、SF6、C2F6、C4F8、CO、CO2、N2、H2、C4H8、Ar、N2H2、He、CH2F2的反应性离子等离子体,利用RIE来转移图案。蚀刻突破一种或更多种额外的中间层,并曝光可溶于显影剂的富碳层。然后,把图案转移进入富碳层。
用于本发明的聚酰胺酸组合物
使用的富碳组合物包括分散或溶解于溶剂系统中的聚酰胺酸。以所述组合物中固体的总重量作为100重量%为基准计,聚酰胺酸以下述水平存在于该组合物中:优选地1%-约30重量%、优选地约2%-约20重量%,以及更优选地约5%-约15重量%。聚酰胺酸的重均分子量优选地小于约15,000道尔顿(Daltons)、更优选地约4,000道尔顿-约12,000道尔顿以及甚至更优选地约6,000道尔顿-约11,000道尔顿。
聚酰胺酸应选定成具有高度刚性的结构。理想地,聚酰胺酸具有平坦或平面的结构,其旋转的可能性有限,且具有大量π键。这些聚酰胺酸的合成包括这些聚合物的合适的可溶于显影剂的组合物参见美国专利No.7,261,997和7,364,835,以上各文的全部内容通过引用纳入本文。可通过调节二酸酐-对-二胺的比例、以及二酸酐和二胺的种类来形成聚酰胺酸。二酸酐可以是脂肪族或者芳香族的。典型的脂肪族二酸酐包括选自下组的那些:5-(2,5-二氧四氢呋喃(dioxotetrahydrol))-3-甲基-3-环己烯-1,2-二羧酸酸酐、环丁烷四羧酸二酸酐、1,2,3,4-环戊烷四羧酸二酸酐、四氢呋喃-2,3,4,5-四羧酸二酸酐、4-(2,5-二氧四氢呋喃-3-基)-1,2,3,4-四氢萘-1,2-二羧酸酸酐,以及双环(2,2,2)辛-7-烯-2,3,5,6-四羧酸二酸酐。芳香族二酸酐包括选自下组的那些:3,3',4,4'-二苯甲酮四羧酸二酐(BTDA)、均苯四酸二酐(PMDA)、3,3',4,4'-联苯四羧酸二酐(S-BPDA)、2,2'-双-(3,4-二羧基苯基)六氟丙烷二酐(6FDA)、4,4'-氧双邻苯二甲酸酐(OPDA)、3,3',4,4'-二苯基砜四羧酸二酐(DSDA苯酚)、4,4'-双酚A二酐(BPADA)、氢醌双邻苯二甲酸酸酐(hydroquinonediphtatic anhydride)(HQDA)、3,4,9,10-苝-四羧酸二酐、1,4,5,8-萘四羧酸二酐和乙二醇二(偏苯三酸酐)。
二胺可以是脂肪族或者芳香族的。典型的脂肪族二胺包括选自下组的那些:1,3-二(氨基甲基)环己烷、1,4-二(氨基甲基)-环己烷、4,4'-亚甲基二(环己胺)、4,4'-亚甲基二(2-甲基环己基)胺。芳香族二胺包括选自下组的那些:3-氨基苄胺、1,3-双(3-氨基苯氧基)-苯、1,3-双(4-氨基苯氧基)-苯、1,4-双(4-氨基苯氧基)苯、4,4'-双(4-氨基苯氧基)联苯、2,2-双[4-4-氨基苯氧基)-苯基]六氟丙烷、双[4-(4-氨基苯氧基)-苯基]丙烷、双[4-(4-氨基苯氧基)苯基]砜、双[4-(4-氨基苯氧基)苯基]砜、1,1'-双(4-氨基苯基)-环己烷、9,9'-双(4-氨基苯基)-芴(FDA)、2,2'-双(4-氨基苯基)六氟丙烷、双(2-氨基苯基)硫醚、双(4-氨基苯基)硫醚、双(3-氨基苯基)砜、双(4-氨基苯基)砜、4,4'-二氨基-3,3'-二甲基二苯基甲烷、3,4'-二氨基二苯基醚、4,4'-二氨基二苯基醚、3,3'-二氨基二苯基甲烷、3,4'-二氨基二苯基甲烷、2,7-二氨基芴、1,5-二氨基萘、4,4'-二氨基八氟联苯、2,5-二甲基-1,4-苯二胺、4,4'-乙烯双苯胺(ethylenedianiline)、1,3-苯二胺、1,4-苯二胺、2,3,5,6-四甲基-1,4-苯二胺、间-苯二甲胺和对-苯二甲胺。
优选的聚酰胺酸包括具有下述通式的重复单体
其中各自独立地选自下组:脂肪族基团和芳基。特别优选的X和Y包括选自下组的那些:取代的或未取代的苯基、联苯基、萘基和蒽基,以及取代的或未取代的C1-C12脂肪族基团(优选的烷基)。
用于所述组合物的特别优选的聚酰胺酸包括选自下组的重复单体
其中:
X选自下组:-O-、-S-、-CH2-、-C(CF3)2-和-C(CH3)2-;
n是2-8;以及
R4各自独立地选自下组:-H和-OH。
优选的二酸酐-对-二胺的摩尔比是约1.8:1-约1.05:1,更优选地为约1.6:1-约1.1:1以及甚至更优选地为约1.4:1-约1.2:1。
聚酰胺酸也选定成“富碳的”。如本文所使用,术语“富碳的聚酰胺酸”指以所述聚合物的总重量作为100重量%为基准计,聚酰胺酸包括大于约50重量%碳,优选地大于约60重量%碳,更优选地大于约70重量%碳原子以及甚至更优选地约70%-约99重量%碳。这些优选的富碳聚酰胺酸还优选地包括低氢含量(如以所述聚合物的总重量作为100重量%为基准计,小于约10重量%氢,优选地小于约5重量%氢,更优选地小于约3重量%氢,以及甚至更优选地约0.01%-约2重量%氢)。
用于本发明的富碳组合物优选地还包括交联剂,该交联剂将与羧酸和/或仲胺反应。环氧交联剂、乙烯基醚交联剂和氨基交联剂是特别优选的。环氧交联剂包括具有多个环氧基的小分子,例如选自下组的那些:N,N,N',N'-四缩水甘油基-4,4'-亚甲基二苯胺、4-缩水甘油基氧-N,N'-二缩水甘油基苯胺以及己二酸二(3,4-环氧基环己基甲基)酯,以及具有环氧基的聚合物,例如环氧甲酚酚醛、或者由具有环氧基作为侧链的甲基丙烯酸酯或丙烯酸酯制成的聚合物。乙烯基醚交联剂包括多官能度乙烯基醚,例如选自下组的那些:1,3,5-苯三羧酸三[4-(乙烯氧基)丁基]酯、间苯二甲酸双[4-(乙烯氧基)丁基]酯、1,6-己二基二氨基甲酸双[4-(乙烯氧基)丁基]酯、戊二酸双[4-(乙烯氧基甲基)环己基甲基]酯、琥珀酸双[4-(乙烯氧基)丁基]酯、三(乙二醇)二乙烯基醚、和聚(乙二醇)二乙烯基醚。氨基树脂交联剂包括选自下组的那些:三聚氰胺交联剂、脲交联剂、苯并胍胺交联剂和甘脲交联剂。
以所述组合物中固体的总重量作为100重量%为基准计,交联剂以下述水平存在于该组合物中:优选地0.1%-约30重量%、优选地约0.5%-约25重量%,以及更优选地约1%-约20重量%。
还可把多种任选的成分包括进入组合物(如催化剂、表面活性剂)。任选的催化剂可包括,但不限于:酸,如5-磺基水杨酸、热致生成的酸(TAG)、光致生成的酸(PAG),或者碱。合适的表面活性剂同时包括离子性表面活性剂和非离子性表面活性剂。
与实施方式无关,所述组合物通过简单地将聚酰胺酸分散或溶解在合适的溶剂体系中而形成所述组合物,优选在环境条件下进行足够时间的分散或混合,以形成基本均一的分散体。其它成分(如交联剂、任意催化剂和/或表面活性剂)优选地与化合物一起分散或溶解于溶剂系统中。
优选的溶剂体系包括选自下组的溶剂:PGMEA、PGME、丙二醇正丙基醚(PnP)、乳酸乙酯、环己酮、γ-丁内酯(GBL),及其混合物。以所述组合物的总重量作为100重量%为基准计,应使用约80-99重量%以及优选地约95-99重量%水平的溶剂系统。以所述组合物的总重量作为100重量%为基准计,所述组合物通常具有约1-20重量%以及优选地约1-5重量%的固含量。
所得聚酰胺酸组合物是富碳的。如本文所使用,术语“富碳的组合物”指以所述组合物的总重量作为100重量%为基准计,组合物包括大于约50重量%碳,优选地大于约60重量%碳,更优选地大于约70重量%碳以及甚至更优选地约70%-约99重量%碳。这些聚酰胺酸组合物还优选地包括低氢含量(如以所述组合物中的固体总量作为100重量%为基准计,小于约10重量%氢,优选地小于约5重量%氢,更优选地小于约3重量%氢,以及甚至更优选地约0.01%-约2重量%氢)。
实施例
以下实施例描述了根据本发明的优选方法。然而应理解,这些实施例通过举例的方式提供,其所含的任何内容都不应视作对本发明整体范围的限制。
实施例1
聚合物A的合成
在本实施例中,把16.99克(0.0643摩尔)的5-(2,5'-二氧四氢呋喃)-3-甲基-3-环己烯-1,2-二羧酸酸酐(克里斯科夫公司(CHRISKEV),雷那克萨(Lenexa),堪萨斯州)、14.00克(0.0402摩尔)的4,4'-(9-亚芴基)二苯胺(克里斯科夫公司(CHRISKEV),雷那克萨(Lenexa),堪萨斯州)和123.95克的丙二醇单甲醚("PGME,"超纯解决方案公司(Ultra PureSolutions),卡斯特罗维尔(Castroville),加利福尼亚州)添加到两颈圆底烧瓶。在氮气下搅拌烧瓶中的内容物,保持搅拌并在60℃下加热24小时,以完成反应。把混合物冷却至室温,并密封。固含量是20重量%。本实施例的反应方案如下所示。
实施例2
SOC制剂A-1
通过混合5.01克的来自实施例1的聚合物A与0.114克的50重量%的在PGME中的MY-720溶液(亨斯曼先进材料(Huntsman Advanced Materials),伍德兰兹,德克萨斯)、22.04克的PGME、和2.92克的环己酮制备固含量为3.5重量%的溶液,来制备SOC制剂。把溶液良好混合4小时,并通过孔径为0.1微米的PTFE滤膜过滤。把制剂旋涂到4英寸的硅片上,速度为1,500rpm,时间为60秒,并随后在225℃的热台上烘烤60秒。所得固化膜用椭圆光度法测定的厚度为1317埃,且不能用PGME或丙二醇甲基醚乙酸酯(“PGMEA”)除去。
实施例3
SOC制剂A-2
通过混合5.01克的来自实施例1的聚合物A与0.206克的50重量%的在PGME中的MY-720溶液、23.25克的PGME、和3.07克的环己酮制备固含量为3.5重量%的溶液,来制备SOC制剂。把溶液良好混合4小时,并随后通过孔径为0.1微米的PTFE滤膜过滤。把制剂旋涂到4英寸的硅片上,速度为1,500rpm,时间为60秒,并随后在225℃的热台上烘烤60秒。所得固化膜用椭圆光度法测定的厚度为1,378埃,且不能用PGME或PGMEA除去。
实施例4
聚合物B的合成
在本实施例中,把15.92克(0.0603摩尔)的5-(2,5'-二氧四氢呋喃)-3-甲基-3-环己烯-1,2-二羧酸酸酐、15.00克(0.043摩尔)的4,4'-(9-亚芴基)二苯胺和123.95克的PGME(超纯解决方案公司(Ultra Pure Solutions),卡斯特罗维尔(Castroville),加利福尼亚州)添加到两颈圆底烧瓶。在氮气下搅拌内容物,保持搅拌并在60℃下加热24小时,以完成反应。把混合物冷却至室温,并密封。固含量是20重量%。反应方案与实施例1中所示的相同。
实施例5
SOC制剂B-1
通过混合5.01克的来自实施例4的聚合物B与0.101克的50重量%的在PGME中的MY-720溶液、22.03克的PGME、和2.92克的环己酮制备固含量为3.5重量%的溶液,来制备SOC制剂。把溶液良好混合4小时,并通过孔径为0.1微米的PTFE滤膜过滤。把制剂旋涂到4英寸的硅片上,速度为1,500rpm,时间为60秒,并随后在225℃的热台上烘烤60秒。所得固化膜用椭圆光度法测定的厚度为1423埃,且不能用PGME和PGMEA除去。
实施例6
SOC制剂B-2
通过混合5.02克的来自实施例4的聚合物B与0.202克的50重量%的在PGME中的MY-720溶液、23.22克的PGME、和3.03克的环己酮制备固含量为3.5重量%的溶液,来制备SOC制剂。把溶液良好混合4小时,并通过孔径为0.1微米的PTFE滤膜过滤。把制剂旋涂到4英寸的硅片上,速度为1,500rpm,时间为60秒,并随后在225℃的热台上烘烤60秒。所得固化膜用椭圆光度法测定的厚度为1,422埃,且不能用PGME和PGMEA除去。
实施例7
聚合物C的合成
在本实施例中,把20.40克(0.0459摩尔)的4,4'-(六氟异亚丙基)邻苯二甲酸酸酐(克里斯科夫公司(CHRISKEV),雷那克萨(Lenexa),堪萨斯州)、10.00克(0.0287摩尔)的4,4'-(9-亚芴基)二苯胺和121.70克的PGME添加到两颈圆底烧瓶。在氮气下搅拌烧瓶中的内容物,保持搅拌并在60℃下加热20小时,以完成反应。把混合物冷却至室温,并密封。固含量是20重量%。反应方案与实施例1中所示的相同。
实施例8
SOC制剂C-1
通过混合9.08克的来自实施例7的聚合物B与0.36克的50重量%的在PGME中的MY-720溶液、35.75克的PGME、和7.80克的环己酮制备固含量为3.5重量%的溶液,来制备SOC制剂。把溶液良好混合4小时,并通过孔径为0.1微米的PTFE滤膜过滤。把制剂旋涂到4英寸的硅片上,速度为1,500rpm,时间为60秒,并随后在225℃的热台上烘烤60秒。所得固化膜用椭圆光度法测定的厚度为1,578埃,且不能用PGME和PGMEA除去。
实施例9
聚合物D的合成
在本实施例中,把16.99克(0.0643摩尔)的5-(2,5'-二氧四氢呋喃)-3-甲基-3-环己烯-1,2-二羧酸酸酐、14.0克(0.0402摩尔)的4,4'-(9-亚芴基)二苯胺和99.2克的PGME和24.8克的环己酮(超纯解决方案公司(Ultra Pure Solutions),卡斯特罗维尔(Castroville),加利福尼亚州)添加到两颈圆底烧瓶。在氮气下搅拌烧瓶中的内容物,保持搅拌并在60℃下加热24小时,以完成反应。把混合物冷却至室温,并密封。固含量是20重量%。反应方案与实施例1中所示的相同。
实施例10
SOC制剂D-1
通过混合5.01克来自实施例9的聚合物D与0.15克的50重量%的在PGME中的MY-721溶液(亨斯曼先进材料(Huntsman Advanced Materials),伍德兰兹,德克萨斯),以及15.5克的PGME来制备SOC制剂。把溶液良好混合4小时,并通过孔径为0.1微米的PTFE滤膜过滤。把制剂旋涂到4英寸的硅片上,时间为60秒,并随后在205℃的热台上烘烤60秒。
实施例11
聚合物E的合成
在本实施例中,把15.9克(0.0609摩尔)的5-(2,5'-二氧四氢呋喃)-3-甲基-3-环己烯-1,2-二羧酸酸酐、15.00克(0.0430摩尔)的4,4'-(9-亚芴基)二苯胺和98.8克的PGME、24.8克的环己酮添加到两颈圆底烧瓶。在氮气下搅拌烧瓶中的内容物,保持搅拌并在60℃下加热24小时,以完成反应。把混合物冷却至室温,并密封。固含量是20重量%。反应方案与实施例1中所示的相同。
实施例12
SOC制剂E-1
通过混合5.01克来自实施例11的聚合物E与0.15克的50重量%的在PGME中的MY-721溶液以及15.5克的PGME来制备SOC制剂。把溶液良好混合4小时,并通过孔径为0.1微米的PTFE滤膜过滤。把制剂旋涂到4英寸的硅片上,时间为60秒,并随后在205℃的热台上烘烤60秒。
实施例13
SOC制剂E-2
通过混合5.01克来自实施例11的聚合物E与0.20克的1,3,5-苯三羧酸三[4-(乙烯氧基)丁基]酯交联剂(结构如下所示,布鲁尔科技公司(Brewer Science Inc.),罗拉(Rolla),密苏里州),以及15.5克的PGME来制备SOC制剂。把溶液良好混合4小时,并通过孔径为0.1微米的PTFE滤膜过滤。把制剂旋涂到4英寸的硅片上,时间为60秒,并随后在225℃的热台上烘烤60秒。
实施例14
SOC制剂E-3
通过混合5.01克来自实施例11的聚合物E与0.20克的Cymel1174交联剂(结构如下所示,氰特工业(Cytech Industries),伍德兰德园,新泽西州)、0.01克TAG2689(购自王者工业(King Industries)的热酸产生器,诺瓦克,康涅狄格州)、以及15.5克的PGME来制备SOC制剂。把溶液良好混合4小时,并通过孔径为0.1微米的PTFE滤膜过滤。把制剂旋涂到4英寸的硅片上,时间为60秒,并随后在205℃的热台上烘烤60秒。
实施例15
聚合物F的合成
在本步骤中,把14.57克(0.0551摩尔)的5-(2,5'-二氧四氢呋喃)-3-甲基-3-环己烯-1,2-二羧酸酸酐、15.00克(0.0459摩尔)的4,4'-(9-亚芴基)二苯胺和98.8克的PGME、以及24.8克的环己酮添加到两颈圆底烧瓶。在氮气下搅拌烧瓶中的内容物,保持搅拌并在60℃下加热24小时,以完成反应。把混合物冷却至室温,并密封。固含量是20重量%。反应方案与实施例1中所示的相同。
实施例16
SOC制剂F-1
通过混合5.01克来自实施例15的聚合物F与0.15克的50重量%的在PGME中的MY-721溶液以及15.5克的PGME来制备SOC制剂。把溶液良好混合4小时,并通过孔径为0.1微米的PTFE滤膜过滤。把制剂旋涂到4英寸的硅片上,时间为60秒,并随后在205℃的热台上烘烤60秒。
实施例17
聚合物G的合成
在本步骤中,把15.9克(0.0609摩尔)的5-(2,5'-二氧四氢呋喃)-3-甲基-3-环己烯-1,2-二羧酸酸酐、15.00克(0.0430摩尔)的4,4'-(9-亚芴基)二苯胺、24.8克的PGME、以及98.8克的环己酮添加到两颈圆底烧瓶。在氮气下搅拌烧瓶中的内容物,保持搅拌并在60℃下加热24小时,以完成反应。把混合物冷却至室温,并密封。固含量是20重量%。反应方案与实施例1中所示的相同。
实施例18
聚合物H的合成
在本实施例中,把19.6克(0.0441摩尔)的4,4'-(六氟异亚丙基)邻苯二甲酸酸酐(克里斯科夫公司(CHRISKEV),雷那克萨(Lenexa),堪萨斯州)、11.00克(0.0315摩尔)的4,4'-(9-亚芴基)二苯胺、85.79克的PGME和36.75克的环己酮添加到两颈圆底烧瓶。在氮气下搅拌烧瓶中的内容物,保持搅拌并在80℃下加热20小时,以完成反应。把混合物冷却至室温,并密封。固含量是20重量%。反应方案见下图所示。
实施例19
SOC制剂H-1
通过混合5.01克来自实施例18的聚合物H与0.05克的50重量%的在PGME中的MY-721溶液(亨斯曼先进材料(Huntsman Advanced Materials),伍德兰兹,德克萨斯),以及15.5克的PGME来制备SOC制剂。把溶液良好混合4小时,并通过孔径为0.1微米的PTFE滤膜过滤。把制剂旋涂到4英寸的硅片上,时间为60秒,并随后在350℃的热台上烘烤60秒。根据下文所示的机理形成高度热稳定的碳层。
实施例20
聚合物I的合成
在本实施例中,把19.6克(0.0441摩尔)的4,4'-(六氟异亚丙基)邻苯二甲酸酸酐、11.00克(0.0315摩尔)的4,4'-(9-亚芴基)二苯胺和121.70克的γ-丁内酯(GBL)添加到两颈圆底烧瓶。在氮气下搅拌烧瓶中的内容物,保持搅拌并在80℃下加热20小时,以完成反应。把混合物冷却至室温,并密封。固含量是20重量%。反应方案与实施例18中所示的相同。
实施例21
聚合物J的合成
在本实施例中,把11.3克(0.0351摩尔)的3,3',4,4'-二苯甲酮四羧酸二酐(克里斯科夫公司(CHRISKEV),雷那克萨(Lenexa),堪萨斯州)、8.71克(0.0251摩尔)的4,4'-(9-亚芴基)二苯胺、56.0克的PGME和24.0克的环己酮添加到两颈圆底烧瓶。在氮气下搅拌烧瓶中的内容物,保持搅拌并在80℃下加热20小时,以完成反应。把混合物冷却至室温,并密封。固含量是20重量%。反应方案如下图所示。
实施例22
SOC制剂J-1
通过混合5.01克来自实施例21的聚合物J与0.15克的50重量%的在PGME中的MY-721溶液以及15.5克的PGME来制备SOC制剂。把溶液良好混合4小时,并通过孔径为0.1微米的PTFE滤膜过滤。把制剂旋涂到4英寸的硅片上,速度为1,500rpm,时间为60秒,并随后在205℃的热台上烘烤60秒。
实施例23
聚合物K的合成
在本实施例中,把7.93克(0.0363摩尔)的均苯四酸二酐(克里斯科夫公司(CHRISKEV),雷那克萨(Lenexa),堪萨斯州)、12.07克(0.0260摩尔)的4,4'-(9-亚芴基)二苯胺、56.0克的PGME和24.0克的环己酮添加到两颈圆底烧瓶。在氮气下搅拌烧瓶中的内容物,保持搅拌并在80℃下加热20小时,以完成反应。把混合物冷却至室温,并密封。固含量是20重量%。反应方案如下图所示。
实施例24
SOC制剂K-1
通过混合5.01克来自实施例23的聚合物K与0.15克的50重量%的在PGME中的MY-721溶液以及15.5克的PGME来制备SOC制剂。把溶液良好混合4小时,并通过孔径为0.1微米的PTFE滤膜过滤。把制剂旋涂到4英寸的硅片上,速度为1500rpm,时间为60秒,并随后在205℃的热台上烘烤60秒。
实施例25
SOC制剂的表征
用M2000椭圆光度仪(乌兰姆((J.A.Woollam),林肯市,内布拉斯加州)测量光学常数(n和k)和膜厚。对于溶剂阻抗测试,用溶剂将涂覆的片搅拌20秒,并旋涂干燥。比较溶剂接触前后的厚度,结果见表1。对于重做的测试,将涂覆的片在显影剂PD523AD(莫斯化学公司(Moses Chemicals),摩塞斯莱克,华盛顿州)中于不同温度下浸没5分钟,并随后用去离子水冲洗。
表1
实施例26
正性显影平版印刷
使用下述多层堆叠件(从顶部到底部)来进行平版印刷测试:AIM54B4(捷时雅迈科公司(JSR Micro),桑尼维尔,加利福尼亚州)光刻胶、HM9825(布鲁尔科技公司(Brewer Science Inc.),罗拉(Rolla),密苏里州)硬掩模,以及SOC制剂E-2(实施例13)作为碳层。用1900i分档器(stpper)(ASML,费尔德霍芬(Veldhoven),荷兰)曝光涂覆的片,并用水性碱性溶液OPD5262(富士胶片(FujiFilm),北金斯敦(North Kingstown),北卡罗来纳州)显影,以除去曝光的部分。图1显示了平版印刷结果。
实施例27
负性显影平版印刷
使用下述堆叠件(从顶部到底部)来进行平版印刷测试:FAIRS9521-V10K(富士胶片(FujiFilm),北金斯敦(North Kingstown),北卡罗来纳州)光刻胶;来自布鲁尔科技公司(Brewer Science Inc.),罗拉(Rolla),密苏里州的实验硬掩模;以及SOC制剂E-2(实施例13)作为碳层。使用1900i分档器曝光涂覆的片,并用有机显影剂FN-DP001(富士胶片(FujiFilm),北金斯敦(North Kingstown),北卡罗来纳州)显影,以除去未曝光的部分。图2显示了平版印刷结果。
实施例28
图案转移到SOC层
把来自实施例26的片切成小片,并随后装载在牛津等离子体实验室(OxfordPlasmalab)RIE上,在下述条件下蚀刻:CF4,35sccm(标准气体条件下立方厘米/分钟),55秒,50毫托,100W,然后Ar/CO2,25/25sccm,70秒,20毫托,300W。SEM照片(图3)表明光刻胶的图案成功转移到SOC层。
实施例29
SOC的热稳定性
在片上形成制剂E-2(实施例13)的膜,并随后从该片撕下,装载进入TGA坩锅中。以20℃/分钟的速度把样品加热到400℃,并保持10分钟。图4显示了重量损失随时间变化的曲线,它表明材料在该温度下具有极高的热稳定性,该温度类似于实施CVD过程来在SOC层上沉积硬掩模的温度。
实施例30
间隙填充
把SOC制剂E-2(实施例13)和E-3(实施例14)旋涂到从托普片(Topowafer)(赛马特科(SEMATECH),奥尔巴尼(Albany),纽约)切割的小片上,并在225℃下烘烤。SEM图像(图5和6)表明深接触孔(致密或隔开的)都填充得非常好,且无缺陷。
实施例31
升华测试
使用石英晶体微天平(QCM)来测试样品的升华。在该方法中,把石英晶体悬挂在加热区域正上方,它在那里可收集来自加热的片的除气材料。把排气管道连接到顶部,向上吸收气流并使除气材料在石英晶体表面冷凝。收集晶体上的冷凝物,共振频率的改变对应于冷凝物的质量单位。标准的过程包括用有机旋涂涂层涂覆的4英寸硅片。把该片在QCM之下的热区域放置120秒。收集数据。
SOC110D(布鲁尔科技公司(Brewer Science Inc.),罗拉(Rolla),密苏里州)、制剂E-1(实施例12)和制剂E-2(实施例13)旋涂到4英寸硅片上并烘烤。把升华物收集120秒。数据见表2,它表明甚至当烘烤温度更高时,制剂具有可与现有标准物质相比拟的升华。
表2
实施例32
图案转移至硅基片以及抗扭曲性(测试1)
使用下述多层堆叠件(从顶部到底部)来进行平版印刷测试:AIM54B4光刻胶、HM825硬掩模和SOC110D作为碳层。使用1900i分档器曝光涂覆的片,并通过OPD5262显影以除去曝光的部分。把片切成小片,并随后装载进入牛津等离子体实验室(Oxford Plasmalab)RIE,在下述条件下蚀刻:CF4,35sccm,55秒,50毫托,100W,然后Ar/CO2,25/25sccm,70秒,20毫托,300W,以及随后C4F8/Ar,5/100sccm,70秒,20毫托,300W。图7表明SOC层的图案在C4F8/Ar蚀刻中扭曲,且不能良好的图案转移至硅基片。
实施例33
图案转移至硅基片以及抗扭曲性(测试2)
把来自实施例26的片切成小片,并随后装载进入牛津等离子体实验室(OxfordPlasmalab)RIE,在下述条件下蚀刻:CF4,35sccm,55秒,50毫托,100W,然后Ar/CO2,25/25sccm,70秒,20毫托,300W,以及随后C4F8/Ar,5/100sccm,70秒,20毫托,300W。图8表明SOC图案不扭曲,它比现有的标准材料(实施例32)具有更好的抗扭曲性。图案成功的转移至硅基片。

Claims (32)

1.一种形成微电子结构的方法,所述方法包括:
提供具有表面的基片;
任选的在所述基片表面上形成一种或更多种中间层,如果存在一种或更多种中间层,那么在所述基片表面上有最上的中间层;
如果存在中间层则把一种组合物施涂到所述最上的中间层,或者,如果不存在中间层则施涂至所述基片表面,所述组合物包含溶解或分散在溶剂体系中的聚酰胺酸;
加热所述组合物来形成富碳层,所述富碳层是可溶于显影剂的,且在400℃的温度下保持10分钟时具有小于10%的重量损失。
2.如权利要求1所述的方法,其特征在于,在所述基片表面上有至少一种中间层。
3.如权利要求1所述的方法,其特征在于,所述方法还包括在所述富碳层上形成成像层。
4.如权利要求1所述的方法,其特征在于,所述方法还包括在所述富碳层上形成至少一种额外的中间层。
5.如权利要求4所述的方法,其特征在于,所述方法还包括在所述至少一种额外的中间层上形成成像层。
6.如权利要求1所述的方法,其特征在于,以所述组合物中固体的重量作为100重量%为基准计,所述组合物包括大于50重量%碳。
7.如权利要求1所述的方法,其特征在于,以所述组合物中所有固体的总重量作为100重量%为基准计,所述组合物包括小于10重量%氢。
8.如权利要求1所述的方法,其特征在于,以所述层的重量作为100重量%为基准计,所述富碳层包括大于50重量%碳。
9.如权利要求1所述的方法,其特征在于,以所述层的总重量作为100重量%为基准计,所述富碳层包括小于10重量%氢。
10.如权利要求1所述的方法,其特征在于,所述聚酰胺酸包括具有下述通式的重复单体
其中各自独立地选自下组:脂肪族基团和芳基。
11.如权利要求1所述的方法,其特征在于,所述聚酰胺酸是二酸酐和二胺的共聚物,其中:
所述二酸酐选自下组:5-(2,5-二氧四氢呋喃)-3-甲基-3-环己烯-1,2-二羧酸酸酐、环丁烷四羧酸二酸酐、1,2,3,4-环戊烷四羧酸二酸酐、四氢呋喃-2,3,4,5-四羧酸二酸酐、4-(2,5-二氧四氢呋喃-3-基)-1,2,3,4-四氢萘-1,2-二羧酸酸酐、双环(2,2,2)辛-7-烯-2,3,5,6-四羧酸二酸酐、3,3',4,4'-二苯甲酮四羧酸二酐、均苯四酸二酐、3,3',4,4'-联苯四羧酸二酐、2,2'-双-(3,4-二羧基苯基)六氟丙烷二酐、4,4'-氧双邻苯二甲酸酐、3,3',4,4'-二苯基砜四羧酸二酐、4,4'-双酚A二酐、氢醌双邻苯二甲酸酸酐、3,4,9,10-苝-四羧酸二酐、1,4,5,8-萘四羧酸二酐和乙二醇二(偏苯三酸酐);以及
所述二胺选自下组:1,3-二(氨基甲基)环己烷、1,4-二(氨基甲基)-环己烷、4,4'-亚甲基二(环己胺)、4,4'-亚甲基二(2-甲基环己基)胺、3-氨基苄胺、1,3-双(3-氨基苯氧基)-苯、1,3-双(4-氨基苯氧基)-苯、1,4-双(4-氨基苯氧基)苯、4,4'-双(4-氨基苯氧基)联苯、2,2-双[4-4-氨基苯氧基)-苯基]六氟丙烷、双[4-(4-氨基苯氧基)-苯基]丙烷、双[4-(4-氨基苯氧基)苯基]砜、双[4-(4-氨基苯氧基)苯基]砜、1,1'-双(4-氨基苯基)-环己烷、9,9'-双(4-氨基苯基)-芴、2,2'-双(4-氨基苯基)六氟丙烷、双(2-氨基苯基)硫醚、双(4-氨基苯基)硫醚、双(3-氨基苯基)砜、双(4-氨基苯基)砜、4,4'-二氨基-3,3'-二甲基二苯基甲烷、3,4'-二氨基二苯基醚、4,4'-二氨基二苯基醚、3,3'-二氨基二苯基甲烷、3,4'-二氨基二苯基甲烷、2,7-二氨基芴、1,5-二氨基萘、4,4'-二氨基八氟联苯、2,5-二甲基-1,4-苯二胺、4,4'-乙烯双苯胺、1,3-苯二胺、1,4-苯二胺、2,3,5,6-四甲基-1,4-苯二胺、间-苯二甲胺和对-苯二甲胺。
12.如权利要求1所述的方法,其特征在于,所述聚酰胺酸的重均分子量小于15,000道尔顿。
13.如权利要求1所述的方法,其特征在于,所述组合物还包括交联剂。
14.如权利要求1所述的方法,其特征在于,所述加热导致所述聚酰胺酸交联。
15.如权利要求1所述的方法,其特征在于,所述基片是选自下组的微电子基片:硅、SiGe、SiO2、Si3N4、SiON、铝、钨、硅化钨、砷化镓、锗、钽、氮化钽、珊瑚、黑金刚石、磷或硼掺杂的玻璃、Ti3N4、铪、HfO2、钌、磷化铟,以及上述材料的混合物。
16.如权利要求1所述的方法,其特征在于,所述富碳层的n值至少为1.40。
17.如权利要求1所述的方法,其特征在于,所述方法还包括:
任选的在所述富碳层上形成一种或更多种中间层,如果所述富碳层上存在一种或更多种中间层,那么在所述富碳层上有最上的中间层;以及
如果存在中间层则在所述富碳层的所述最上的中间层上形成成像层,如果所述富碳层上不存在中间层则在所述富碳层上形成成像层。
18.如权利要求17所述的方法,其特征在于,所述方法还包括:
图案化所述成像层从而在它里面形成图案;以及
如果存在中间层则把所述图案转移到在所述富碳层上的所述中间层,并转移到所述富碳层,其中所述图案包括多种线,且所述线没有或只有少量扭曲。
19.如权利要求18所述的方法,其特征在于,所述图案化包括:
使所述成像层曝光,形成所述成像层的曝光部分;以及
曝光后使所述成像层与显影剂接触,从而除去所述曝光的部分。
20.如权利要求19所述的方法,其特征在于,所述接触导致除去部分的所述富碳层,由此形成所述线。
21.一种微电子结构,其包括:
具有表面的微电子基片;
任选的在所述基片表面上形成一种或更多种中间层,如果存在一种或更多种中间层,那么在所述基片表面上有最上的中间层;
一种富碳层,如果存在中间层则该富碳层在所述最上的中间层上,如果不存在中间层则该富碳层在所述基片表面上,所述富碳层:
包括交联的聚酰胺酸;
是可溶于显影剂的;以及
在400℃的温度下保持10分钟时,具有小于10%的重量损失。
22.如权利要求21所述的结构,其特征在于,在所述基片表面上有至少一种中间层。
23.如权利要求21所述的结构,其特征在于,所述结构还包括在所述富碳层上的成像层。
24.如权利要求21所述的结构,其特征在于,所述结构还包括在所述富碳层上的至少一种额外的中间层。
25.如权利要求24所述的结构,其特征在于,所述结构还包括在所述至少一种额外的中间层上的成像层。
26.如权利要求21所述的结构,其特征在于,以所述层的重量作为100重量%为基准计,所述富碳层包括大于50重量%碳。
27.如权利要求21所述的结构,其特征在于,以所述层的重量作为100重量%为基准计,所述富碳层包括小于10重量%氢。
28.如权利要求21所述的结构,其特征在于,所述微电子基片选自下组:硅、SiGe、SiO2、Si3N4、SiON、铝、钨、硅化钨、砷化镓、锗、钽、氮化钽、珊瑚、黑金刚石、磷或硼掺杂的玻璃、Ti3N4、铪、HfO2、钌、磷化铟,以及上述材料的混合物。
29.如权利要求21所述的结构,其特征在于,所述富碳层的n值至少为1.40。
30.如权利要求21所述的结构,其特征在于,所述交联的聚酰胺酸由聚酰胺酸形成,该聚酰胺酸包括具有下述通式的重复单体
其中各自独立地选自下组:脂肪族基团和芳基。
31.如权利要求21所述的结构,其特征在于,所述交联的聚酰胺酸由聚酰胺酸形成,该聚酰胺酸包括二酸酐和二胺的共聚物,其中:
所述二酸酐选自下组:5-(2,5-二氧四氢呋喃)-3-甲基-3-环己烯-1,2-二羧酸酸酐、环丁烷四羧酸二酸酐、1,2,3,4-环戊烷四羧酸二酸酐、四氢呋喃-2,3,4,5-四羧酸二酸酐、4-(2,5-二氧四氢呋喃-3-基)-1,2,3,4-四氢萘-1,2-二羧酸酸酐、双环(2,2,2)辛-7-烯-2,3,5,6-四羧酸二酸酐、3,3',4,4'-二苯甲酮四羧酸二酐、均苯四酸二酐、3,3',4,4'-联苯四羧酸二酐、2,2'-双-(3,4-二羧基苯基)六氟丙烷二酐、4,4'-氧双邻苯二甲酸酐、3,3',4,4'-二苯基砜四羧酸二酐、4,4'-双酚A二酐、氢醌双邻苯二甲酸酸酐、3,4,9,10-苝-四羧酸二酐、1,4,5,8-萘四羧酸二酐和乙二醇二(偏苯三酸酐);以及
所述二胺选自下组:1,3-二(氨基甲基)环己烷、1,4-二(氨基甲基)-环己烷、4,4'-亚甲基二(环己胺)、4,4'-亚甲基二(2-甲基环己基)胺、3-氨基苄胺、1,3-双(3-氨基苯氧基)-苯、1,3-双(4-氨基苯氧基)-苯、1,4-双(4-氨基苯氧基)苯、4,4'-双(4-氨基苯氧基)联苯、2,2-双[4-4-氨基苯氧基)-苯基]六氟丙烷、双[4-(4-氨基苯氧基)-苯基]丙烷、双[4-(4-氨基苯氧基)苯基]砜、双[4-(4-氨基苯氧基)苯基]砜、1,1'-双(4-氨基苯基)-环己烷、9,9'-双(4-氨基苯基)-芴、2,2'-双(4-氨基苯基)六氟丙烷、双(2-氨基苯基)硫醚、双(4-氨基苯基)硫醚、双(3-氨基苯基)砜、双(4-氨基苯基)砜、4,4'-二氨基-3,3'-二甲基二苯基甲烷、3,4'-二氨基二苯基醚、4,4'-二氨基二苯基醚、3,3'-二氨基二苯基甲烷、3,4'-二氨基二苯基甲烷、2,7-二氨基芴、1,5-二氨基萘、4,4'-二氨基八氟联苯、2,5-二甲基-1,4-苯二胺、4,4'-乙烯双苯胺、1,3-苯二胺、1,4-苯二胺、2,3,5,6-四甲基-1,4-苯二胺、间-苯二甲胺和对-苯二甲胺。
32.如权利要求21所述的结构,其特征在于,所述富碳层是图案的形式,所述图案包括线,且所述线没有或只有少量的扭曲。
CN201280060464.0A 2011-10-10 2012-10-10 用于平版印刷加工的旋涂碳组合物 Active CN103975418B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161545313P 2011-10-10 2011-10-10
US61/545,313 2011-10-10
PCT/US2012/059565 WO2013055798A1 (en) 2011-10-10 2012-10-10 Spin-on carbon compositions for lithographic processing

Publications (2)

Publication Number Publication Date
CN103975418A CN103975418A (zh) 2014-08-06
CN103975418B true CN103975418B (zh) 2017-03-08

Family

ID=48042276

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280060464.0A Active CN103975418B (zh) 2011-10-10 2012-10-10 用于平版印刷加工的旋涂碳组合物

Country Status (8)

Country Link
US (3) US8895230B2 (zh)
EP (1) EP2766920B1 (zh)
JP (1) JP6295198B2 (zh)
KR (1) KR102058760B1 (zh)
CN (1) CN103975418B (zh)
SG (2) SG11201401430RA (zh)
TW (1) TWI582134B (zh)
WO (1) WO2013055798A1 (zh)

Families Citing this family (313)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR101156489B1 (ko) * 2008-12-02 2012-06-18 제일모직주식회사 반사방지 하드마스크 조성물
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP2014220322A (ja) * 2013-05-07 2014-11-20 株式会社東芝 半導体装置の製造方法及び製造装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR101667788B1 (ko) * 2013-12-31 2016-10-19 제일모직 주식회사 하드마스크 조성물 및 이를 사용한 패턴 형성 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102271239B1 (ko) 2015-03-23 2021-06-29 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
JP6525376B2 (ja) * 2015-08-31 2019-06-05 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC オーバーコートされたフォトレジストと共に使用するためのコーティング組成物
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102580455B1 (ko) * 2015-12-31 2023-09-20 주식회사 동진쎄미켐 폴리이미드고분자 조성물, 이의 제조 방법 및 이를 이용한 폴리이미드 필름의 제조 방법
KR102531268B1 (ko) * 2015-12-31 2023-05-12 주식회사 동진쎄미켐 폴리이미드 필름 제조용 조성물, 이의 제조 방법 및 이를 이용한 폴리이미드 필름의 제조 방법
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
WO2017156388A1 (en) 2016-03-11 2017-09-14 Inpria Corporation Pre-patterned lithography templates, processes based on radiation patterning using the templates and processes to form the templates
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
JP7076939B2 (ja) 2016-07-19 2022-05-30 株式会社ジャパンディスプレイ 光配向膜用ワニス及び液晶表示装置
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10520821B2 (en) * 2016-11-29 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography process with enhanced etch selectivity
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
CN107068805A (zh) * 2017-04-01 2017-08-18 江苏辉伦太阳能科技有限公司 一种多晶硅表面蜂巢状结构及其制备方法
CN106997915A (zh) * 2017-04-01 2017-08-01 江苏辉伦太阳能科技有限公司 一种单晶硅表面蜂巢状结构及其制备方法
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
JP2019049661A (ja) * 2017-09-11 2019-03-28 シャープ株式会社 配向膜、液晶パネル及び液晶パネルの製造方法
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
WO2019241402A1 (en) 2018-06-13 2019-12-19 Brewer Science, Inc. Adhesion layers for euv lithography
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11084032B2 (en) 2018-08-28 2021-08-10 International Business Machines Corporation Method to create multilayer microfluidic chips using spin-on carbon as gap fill and spin-on glass tone inversion
US11192101B2 (en) 2018-08-28 2021-12-07 International Business Machines Corporation Method to create multilayer microfluidic chips using spin-on carbon as gap filling materials
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
EP4147269A1 (en) 2020-05-06 2023-03-15 Inpria Corporation Multiple patterning with organometallic photopatternable layers with intermediate freeze steps
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US20220041810A1 (en) * 2020-08-10 2022-02-10 Brewer Science, Inc. Soluble polyimides and diimides for spin-on carbon applications
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220260916A1 (en) * 2021-02-18 2022-08-18 Nanya Technology Corporation Dual developing method for defining different resist patterns
TW202302689A (zh) * 2021-03-04 2023-01-16 日商日產化學股份有限公司 形成保護膜之組成物
US20220342312A1 (en) * 2021-04-26 2022-10-27 Nanya Technology Corporation Method for defining multiple resist patterns
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
TW202244128A (zh) * 2021-05-11 2022-11-16 南亞塑膠工業股份有限公司 聚醯亞胺共聚物、聚醯亞胺薄膜及其製造方法
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6927012B2 (en) * 2001-07-26 2005-08-09 Nissan Chemical Industries, Ltd. Polyamic acid resin composition
CN101370849A (zh) * 2006-12-30 2009-02-18 第一毛织株式会社 具有抗反射性和高碳含量的聚合物及含有该聚合物的硬掩模组合物以及形成图案化材料层的方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06275511A (ja) * 1993-03-18 1994-09-30 Toray Ind Inc ポリイミドパターンの形成方法
US6265753B1 (en) * 1999-06-11 2001-07-24 International Business Machines Corporation Interconnect dielectric compositions, preparation thereof, and integrated circuit devices fabricated therewith
US7261997B2 (en) 2002-01-17 2007-08-28 Brewer Science Inc. Spin bowl compatible polyamic acids/imides as wet developable polymer binders for anti-reflective coatings
JP4253459B2 (ja) * 2002-03-27 2009-04-15 日本碍子株式会社 炭素膜構造体及びその製造方法
JP2004274020A (ja) * 2002-09-24 2004-09-30 Rohm & Haas Electronic Materials Llc 電子デバイス製造
US7018776B2 (en) 2002-12-12 2006-03-28 Arch Specialty Chemicals, Inc. Stable non-photosensitive polyimide precursor compositions for use in bilayer imaging systems
TWI358612B (en) * 2003-08-28 2012-02-21 Nissan Chemical Ind Ltd Polyamic acid-containing composition for forming a
KR101189397B1 (ko) 2003-10-15 2012-10-11 브레우어 사이언스 인코포레이션 비아-퍼스트 듀얼 다마신 적용예에서 사용되는 현상제에 용해성인 물질 및 상기 물질 사용 방법
JP2005316096A (ja) * 2004-04-28 2005-11-10 Toray Ind Inc パターン化されたポリイミド前駆体被膜の製造方法
CN103163736A (zh) * 2004-09-03 2013-06-19 日产化学工业株式会社 含聚酰胺酸的形成下层防反射膜的组合物
KR101195468B1 (ko) * 2004-10-14 2012-10-30 닛산 가가쿠 고교 가부시키 가이샤 방향족 술폰산 에스테르 화합물 및 광산발생제를 포함하는 하층반사방지막 형성조성물
CN101065708B (zh) * 2004-12-03 2013-01-02 日产化学工业株式会社 使用了两层型防反射膜的光致抗蚀剂图形的形成方法
US7754818B2 (en) * 2005-01-11 2010-07-13 Brewer Science Inc. Gap fill materials and bottom anti-reflective coatings comprising hyperbranched polymers
JP4770672B2 (ja) * 2006-09-25 2011-09-14 大日本印刷株式会社 ポリイミドパターンの形成方法、物品、及びハードディスク用サスペンション
JP4840045B2 (ja) * 2006-09-26 2011-12-21 大日本印刷株式会社 ポリイミドパターンの形成方法、物品、及びハードディスク用サスペンション
WO2008062888A1 (fr) * 2006-11-20 2008-05-29 Jsr Corporation Composition de formation de film de couche inferieure de reserve et procede de formation de motif
KR101550471B1 (ko) * 2007-07-30 2015-09-04 브레우어 사이언스 인코포레이션 포토리소그래피 공정을 위한 비공유성으로 가교 가능한 물질
KR101156489B1 (ko) 2008-12-02 2012-06-18 제일모직주식회사 반사방지 하드마스크 조성물
US8877430B2 (en) * 2010-08-05 2014-11-04 Brewer Science Inc. Methods of producing structures using a developer-soluble layer with multilayer technology
US8647809B2 (en) * 2011-07-07 2014-02-11 Brewer Science Inc. Metal-oxide films from small molecules for lithographic applications

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6927012B2 (en) * 2001-07-26 2005-08-09 Nissan Chemical Industries, Ltd. Polyamic acid resin composition
CN101370849A (zh) * 2006-12-30 2009-02-18 第一毛织株式会社 具有抗反射性和高碳含量的聚合物及含有该聚合物的硬掩模组合物以及形成图案化材料层的方法

Also Published As

Publication number Publication date
WO2013055798A1 (en) 2013-04-18
JP6295198B2 (ja) 2018-03-14
SG10201607603VA (en) 2016-11-29
SG11201401430RA (en) 2014-09-26
EP2766920A1 (en) 2014-08-20
TWI582134B (zh) 2017-05-11
KR102058760B1 (ko) 2019-12-23
US20130089716A1 (en) 2013-04-11
USRE46841E1 (en) 2018-05-15
CN103975418A (zh) 2014-08-06
TW201331265A (zh) 2013-08-01
EP2766920A4 (en) 2015-05-27
US20140356593A1 (en) 2014-12-04
US8895230B2 (en) 2014-11-25
JP2014530386A (ja) 2014-11-17
KR20140082782A (ko) 2014-07-02
US9102129B2 (en) 2015-08-11
EP2766920B1 (en) 2020-12-02

Similar Documents

Publication Publication Date Title
CN103975418B (zh) 用于平版印刷加工的旋涂碳组合物
TWI459141B (zh) 正型光敏性樹脂組成物
TWI710586B (zh) 含有聚醯胺、聚醯胺醯亞胺、聚醯亞胺結構之聚合物、感光性樹脂組成物、圖案形成方法、感光性乾膜、及電氣電子零件保護用皮膜
JP2014530386A5 (zh)
JP6724363B2 (ja) 樹脂および感光性樹脂組成物
CN103415809B (zh) 形成抗蚀剂下层膜的组合物及使用该组合物的抗蚀剂图案的形成方法
TWI506376B (zh) 光阻下層膜形成組成物及使用其之光阻圖型之形成方法
TW201211109A (en) Resist underlayer film forming composition for lithography containing resin having polyimide structure
JP6645424B2 (ja) 感光性樹脂組成物、硬化膜、保護膜、絶縁膜および電子装置
WO2006040922A1 (ja) 芳香族スルホン酸エステル化合物及び光酸発生剤を含む下層反射防止膜形成組成物
CN101065708B (zh) 使用了两层型防反射膜的光致抗蚀剂图形的形成方法
CN111830785A (zh) 树脂组成物、图案形成方法、硬化被膜形成方法、层间绝缘膜、表面保护膜及电子零件
KR102501979B1 (ko) 이물제거용 코팅막 형성 조성물
CN110297398A (zh) 感光树脂组合物、感光树脂层合体和图案形成方法
TW201704876A (zh) 正型感光性樹脂組成物、乾式薄膜、硬化物及印刷配線板
JP7416062B2 (ja) レジスト下層膜形成組成物
JP5513217B2 (ja) 硬化レリーフパターンの形成方法
TW202104371A (zh) 感光性聚醯亞胺樹脂組成物
US20220041810A1 (en) Soluble polyimides and diimides for spin-on carbon applications
TWI830255B (zh) 感光性聚醯亞胺樹脂組成物
TWI839551B (zh) 阻劑下層膜形成組成物
TWI834604B (zh) 異物除去用塗膜形成組成物
KR20220079828A (ko) 레지스트 하층막 형성 조성물
TW202111436A (zh) 阻劑下層膜形成組成物
TW201638657A (zh) 正型感光性樹脂組成物、圖案硬化膜的製造方法、層間絕緣膜、面塗層、表面保護膜及電子零件

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant