WO2024083559A1 - Appareil et procédés de filtrage de rayonnement de mesure - Google Patents

Appareil et procédés de filtrage de rayonnement de mesure Download PDF

Info

Publication number
WO2024083559A1
WO2024083559A1 PCT/EP2023/077959 EP2023077959W WO2024083559A1 WO 2024083559 A1 WO2024083559 A1 WO 2024083559A1 EP 2023077959 W EP2023077959 W EP 2023077959W WO 2024083559 A1 WO2024083559 A1 WO 2024083559A1
Authority
WO
WIPO (PCT)
Prior art keywords
light
zeroth
continuous variable
variable filter
area
Prior art date
Application number
PCT/EP2023/077959
Other languages
English (en)
Inventor
Zili ZHOU
Mustafa Ümit ARABUL
Dominique Maria Bruls
Peter Danny VAN VOORST
Hendrikus Robertus Marie Van Greevenbroek
Johannes Jacobus Matheus Baselmans
Markus Franciscus Antonius EURLINGS
Original Assignee
Asml Netherlands B.V.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP22201858.2A external-priority patent/EP4357853A1/fr
Application filed by Asml Netherlands B.V. filed Critical Asml Netherlands B.V.
Publication of WO2024083559A1 publication Critical patent/WO2024083559A1/fr

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B26/00Optical devices or arrangements for the control of light using movable or deformable optical elements
    • G02B26/08Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
    • G02B26/0808Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more diffracting elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/10Beam splitting or combining systems
    • G02B27/1006Beam splitting or combining systems for splitting or combining different wavelengths
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/18Diffraction gratings
    • G02B5/1828Diffraction gratings having means for producing variable diffraction
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706843Metrology apparatus
    • G03F7/706847Production of measurement radiation, e.g. synchrotron, free-electron laser, plasma source or higher harmonic generation [HHG]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706843Metrology apparatus
    • G03F7/706849Irradiation branch, e.g. optical system details, illumination mode or polarisation control
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7065Production of alignment light, e.g. light source, control of coherence, polarization, pulse length, wavelength

Definitions

  • the present invention relates to methods and apparatus usable, for example, in the manufacture of devices by lithographic techniques, and to methods of manufacturing devices using lithographic techniques.
  • the invention relates more particularly to metrology sensors and lithography apparatuses having such a metrology sensor, and more particularly still to illumination arrangements for such metrology sensors.
  • a lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate.
  • a lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs).
  • a patterning device which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC.
  • This pattern can be transferred onto a target portion (e.g. including part of a die, one die, or several dies) on a substrate (e.g., a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate.
  • a single substrate will contain a network of adjacent target portions that are successively patterned. These target portions are commonly referred to as “fields”.
  • the substrate is provided with one or more sets of alignment marks.
  • Each mark is a structure whose position can be measured at a later time using a position sensor, typically an optical position sensor.
  • the lithographic apparatus includes one or more alignment sensors by which positions of marks on a substrate can be measured accurately. Different types of marks and different types of alignment sensors are known from different manufacturers and different products of the same manufacturer.
  • metrology sensors are used for measuring exposed structures on a substrate (either in resist and/or after etch).
  • a fast and non-invasive form of specialized inspection tool is a scatterometer in which a beam of radiation is directed onto a target on the surface of the substrate and properties of the scattered or reflected beam are measured.
  • known scatterometers include angle-resolved scatterometers of the type described in US2006033921A1 and US2010201963A1.
  • diffraction based overlay can be measured using such apparatus, as described in published patent application US2006066855A1. Diffraction-based overlay metrology using dark-field imaging of the diffraction orders enables overlay measurements on smaller targets.
  • WO2013178422A1 These targets can be smaller than the illumination spot and may be surrounded by product structures on a wafer. Multiple gratings can be measured in one image, using a composite grating target. The contents of all these applications are also incorporated herein by reference.
  • a source selection module comprising an adjustable diffraction element comprising multiple pixels.
  • the source selection module further comprises a light dispersive element configured for receiving a first light of a first wavelength and a second light of a second wavelength.
  • the light dispersive element spatially distributes the first light over a first pixel of the multiple pixels to generate a zeroth diffraction order of the first light and a non-zeroth diffraction order of the first light.
  • the light dispersive element further spatially distributes the second light over a second pixel of the multiple pixels to generate a zeroth diffraction order of the second light and a non-zeroth diffraction order of the second light.
  • the source selection module comprises a continuous variable filter comprising a first area and a second area.
  • the continuous variable filter is arranged at a position such that the zeroth order of the first light received by the first area and the zeroth order of the second light received by the second area are transmitted or reflected by the continuous variable filter.
  • the non-zeroth order of the first light is blocked by the continuous variable filter outside of the first area, and the non-zeroth order of the second light is blocked by the continuous variable filter outside of the second area.
  • each of the multiple pixels may comprise a controllable grating.
  • the adjustable diffraction element may comprise a grating light valve.
  • the continuous variable filter may be arranged on an optical path between the light dispersive element and the adjustable diffraction element.
  • the continuous variable filter may be arranged at a distance from the adjustable diffraction element or at a distance from a conjugate image plane of the adjustable diffraction element, such that the zeroth diffraction order and non-zeroth diffraction order of the first light and the zeroth diffraction order and non-zeroth diffraction order of the second light are spatially separated.
  • the light dispersive element may comprise a prism.
  • the light dispersive element may comprise a light dispersive grating.
  • the continuous variable filter may comprise a continuously varying bandpass layer.
  • a plane of the continuous variable filter may be tilted relative to a plane of the adjustable diffraction element.
  • the continuous variable filter may be moved parallel with respect to the adjustable diffraction element, and may thereby modify the local transmission/reflection properties at one or more pixel locations of the diffracting element.
  • a filtering characteristic for transmitting/reflection and blocking radiation is linearly distributed over the area of the continuous variable filter.
  • the continuous variable filter may have a first optical filtering characteristic in the first area and a second optical filtering characteristics in the second area.
  • the first wavelength and the second wavelength may both be in a range from 400 nm - 900 nm.
  • the first wavelength and the second wavelength may both be in a range from 400nm to 2000 nm, or in a range from 400 nm to 1600 nm.
  • a method A first light of a first wavelength and a second light of a second wavelength are received.
  • a light dispersive element spatially distributed the first light over a first pixel of an adjustable diffraction element comprising multiple pixels, and spatially distributes the second light over a second pixel of the multiple pixels of the adjustable diffraction element.
  • the first pixel generates a zeroth diffraction order of the first light and a non-zeroth diffraction order of the first light.
  • the second pixel generates a zeroth diffraction order of the second light and a non-zeroth diffraction order of the second light.
  • a continuous variable filter receives the zeroth diffraction order of the first light in a first area of the continuous variable filter, and the zeroth order of the second light in a second area of the continuous variable filter.
  • the continuous variable filter also receives the non-zeroth diffraction order of the first light outside of the first area, and the non-zeroth order of the second light outside of the second area.
  • the continuous variable filter transmits or reflects the zeroth diffraction order of the first light by the first area and the zeroth diffraction order of the second light by the second area.
  • the continuous variable filter blocks the non- zeroth order of the first light outside of the first area, and the non-zeroth order of the second light outside of the second area.
  • a non-transitory computer program product comprising machine -readable instructions therein.
  • the instructions upon execution by a computer system may be configured to cause the computer system to control a source selection module as described herein for executing a method as described above.
  • the storage medium may comprise the non-transitory computer program described above such that said processor is operable to control a source selection module as described herein for executing a method as described above.
  • a metrology device comprising the processor and associated storage medium as described above, so as to be operable to control a source selection module as described herein for executing a method as described above.
  • a lithographic apparatus comprising a source selection module as described herein.
  • a lithographic cell comprising the processor and associated storage medium as described above so as to be operable to control a source selection module according as described herein for executing a method as described above.
  • Figure 1 depicts a lithographic apparatus
  • Figure 2 depicts a schematic overview of a lithographic cell
  • Figure 3 depicts a schematic representation of holistic lithography, representing a cooperation between three key technologies to optimize semiconductor manufacturing
  • Figure 4 depicts a schematic overview of a scatterometry apparatus used as a metrology device, which may comprise a dark field digital holographic microscope according to embodiments of the invention
  • Figure 5(a) shows a schematic diagram of a dark field scatterometer for use in measuring targets using a first pair of illumination apertures
  • Figure 5(b) shows a detail of diffraction spectrum of a target grating for a given direction of illumination
  • Figure 5(c) shows a second pair of apertures for use in the illumination branch
  • Figure 5(d) shows a third pair of apertures for use in the illumination branch
  • Figure 6(a) shows a top view of a grating light valve
  • Figure 6(b) shows an end-on view of the grating light valve (e.g., as shown in Figure 6(a)) in a first configuration
  • Figure 6(c) shows an end-on view of the grating light valve (e.g., as shown in Figure 6(a)) in a second configuration
  • Figure 7(a) is a schematic representation of radiation interacting with a grating light valve wherein pixels of the grating light valve have no bias applied and thus act as a mirror to an input radiation.
  • Figure 7(b) is a schematic representation of radiation interacting with a grating light valve wherein pixels of the grating light valve have bias applied and thus act as a diffraction grating to an input radiation;
  • Figure 8(c) shows a side view of the grating light valve (e.g., as shown in Figure 8(b)) wherein pixels having no bias applied act as mirrors and pixels having bias applied act as diffraction gratings, and an aperture stop arranged to transmit the zeroth order diffracted radiation;
  • Figure 8(d) is a schematic representation of an output radiation having transmitted through the aperture stop (e.g., as shown in Figure 8(c));
  • Figure 9 is a schematic representation of an example setup for a wavelength selection module configured for zeroth order mode operation
  • Figure 10 is a schematic representation of an aperture stop for selecting zeroth order diffracted radiation
  • Figure 11 is a schematic representation of an example source selection module comprising a continuous variable filter
  • Figure 12(a) is a schematic representation of interaction (e.g., diffraction and reflection) between an input radiation of a certain wavelength and an adjustable diffraction element;
  • Figure 12(b) is a schematic representation of single wavelength selection using an adjustable diffraction element and a continuous variable filter
  • Figure 12(c) is a schematic representation of multiple wavelength selection using an adjustable diffraction element and a continuous variable filter
  • Figure 13 is a schematic representation of a continuous variable filter moveable by a first actuator, and/or moveable by second actuators;
  • Figure 14A shows a top view of an example setup for a wavelength selection module configured for first order mode operation
  • Figure 14B shows a side view of an example setup for a wavelength selection module configured for first order mode operation
  • Figure 14C shows an example pupil representation of the example wavelength selection module (e.g., as shown in Figure 14A or 14B);
  • Figure 15 is a schematic representation of a continuous variable filter (also referred to as wedge filter) comprising a substrate with a multi-layer coating in accordance with an embodiment
  • Figure 16 shows an example transmission spectrum of a wedge filter (e.g., as shown in Figure 15), wherein multiple separate transmission bands correspond respectively to multiple areas (each with a center position) of the wedge filter;
  • Figure 17A shows an example transmission profile along the wedge direction of a wedge filter (e.g., as shown in Figure 15) configured for use in a wavelength selection module configured for zeroth order mode operation (e.g., as shown in Figure 9); and
  • Figure 17B shows an example transmission profile along the wedge direction of a wedge filter configured for use in a wavelength selection module configured for first order mode operation (e.g., as shown in Figures 14A-14C).
  • the terms “radiation” and “beam” are used to encompass all types of electromagnetic radiation and particle radiation, including ultraviolet radiation (e.g. with a wavelength of 365, 248, 193, 157 or 126 nm), EUV (extreme ultra-violet radiation, e.g. having a wavelength in the range of about 5-100 nm), X-ray radiation, UV, visible, and IR radiation (e.g. in a range from lOOnm - 2,000 nm), electron beam radiation and other particle radiation.
  • ultraviolet radiation e.g. with a wavelength of 365, 248, 193, 157 or 126 nm
  • EUV extreme ultra-violet radiation, e.g. having a wavelength in the range of about 5-100 nm
  • X-ray radiation e.g. having a wavelength in the range of about 5-100 nm
  • UV, visible, and IR radiation e.g. in a range from lOOnm - 2,000 nm
  • reticle may be broadly interpreted as referring to a generic patterning device that can be used to endow an incoming radiation beam with a patterned cross-section, corresponding to a pattern that is to be created in a target portion of the substrate.
  • the term “light valve” can also be used in this context.
  • examples of other such patterning devices include a programmable mirror array and a programmable LCD array.
  • FIG. 1 schematically depicts a lithographic apparatus LA.
  • the lithographic apparatus LA includes an illumination system (also referred to as illuminator) IL configured to condition a radiation beam B (e.g., UV radiation, DUV radiation, EUV radiation or X-ray radiation), a mask support (e.g., a mask table) T constructed to support a patterning device (e.g., a mask) MA and connected to a first positioner PM configured to accurately position the patterning device MA in accordance with certain parameters, a substrate support (e.g., a wafer table) WT constructed to hold a substrate (e.g., a resist coated wafer) W and connected to a second positioner PW configured to accurately position the substrate support in accordance with certain parameters, and a projection system (e.g., a refractive projection lens system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g., comprising one or more dies)
  • the illumination system IL receives a radiation beam from a radiation source SO, e.g. via a beam delivery system BD.
  • the illumination system IL may include various types of optical components, such as refractive, reflective, diffractive, magnetic, electromagnetic, electrostatic, and/or other types of optical components, or any combination thereof, for directing, shaping, and/or controlling radiation.
  • the illuminator IL may be used to condition the radiation beam B to have a desired spatial and angular intensity distribution in its cross section at a plane of the patterning device MA.
  • projection system PS used herein should be broadly interpreted as encompassing various types of projection system, including refractive, reflective, diffractive, catadioptric, anamorphic, magnetic, electromagnetic and/or electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, and/or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term “projection lens” herein may be considered as synonymous with the more general term “projection system” PS.
  • the lithographic apparatus LA may be of a type wherein at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g., water, so as to fill a space between the projection system PS and the substrate W - which is also referred to as immersion lithography. More information on immersion techniques is given in US6952253, which is incorporated herein by reference in its entirety.
  • the lithographic apparatus LA may also be of a type having two or more substrate supports WT (also named “dual stage”).
  • the substrate supports WT may be used in parallel, and/or steps in preparation of a subsequent exposure of the substrate W may be carried out on the substrate W located on one of the substrate support WT while another substrate W on the other substrate support WT is being used for exposing a pattern on the other substrate W.
  • the lithographic apparatus LA may comprise a measurement stage.
  • the measurement stage is arranged to hold a sensor and/or a cleaning device.
  • the sensor may be arranged to measure a property of the projection system PS or a property of the radiation beam B.
  • the measurement stage may hold multiple sensors.
  • the cleaning device may be arranged to clean part of the lithographic apparatus, for example a part of the projection system PS or a part of a system that provides the immersion liquid.
  • the measurement stage may move beneath the projection system PS when the substrate support WT is away from the projection system PS.
  • the radiation beam B is incident on the patterning device, e.g. mask, MA which is held on the mask support T, and is patterned by the pattern (design layout) present on patterning device MA. Having traversed the mask MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and a position measurement system IF, the substrate support WT may be moved accurately, e.g., so as to position different target portions C in the path of the radiation beam B at a focused and aligned position.
  • the patterning device e.g. mask, MA which is held on the mask support T
  • the pattern design layout
  • first positioner PM and possibly another position sensor may be used to accurately position the patterning device MA with respect to the path of the radiation beam B.
  • Patterning device MA and substrate W may be aligned using mask alignment marks Ml, M2 and substrate alignment marks Pl, P2.
  • substrate alignment marks Pl, P2 as illustrated occupy dedicated target portions, they may be located in spaces between target portions.
  • Substrate alignment marks Pl, P2 are known as scribe-lane alignment marks when these are located between the target portions C.
  • the lithographic apparatus LA may form part of a lithographic cell LC, also sometimes referred to as a lithocell or (litho)cluster, which often also includes apparatus to perform pre- and post-exposure processes on a substrate W.
  • a lithographic cell LC also sometimes referred to as a lithocell or (litho)cluster
  • these include spin coaters SC to deposit resist layers, developers DE to develop exposed resist, chill plates CH and bake plates BK, e.g. for conditioning the temperature of substrates W e.g. for conditioning solvents in the resist layers.
  • a substrate handler, or robot, RO picks up substrates W from input/output ports I/O I , I/O2, moves them between the different process apparatus and delivers the substrates W to the loading bay LB of the lithographic apparatus LA.
  • the devices in the lithocell may be under the control of a track control unit TCU that in itself may be controlled by a supervisory control system SCS, which may also control the lithographic apparatus LA, e.g. via lithography control unit LACU.
  • a supervisory control system SCS which may also control the lithographic apparatus LA, e.g. via lithography control unit LACU.
  • Metrology tools MT In lithographic processes, it is desirable to make frequently measurements of the structures created, e.g., for process control and verification. Tools to make such measurement may be called metrology tools MT.
  • Metrology tools MT Different types of metrology tools MT for making such measurements are known, including scanning electron microscopes or various forms of scatterometer metrology tools MT.
  • Scatterometers are versatile instruments which allow measurements of the parameters of a lithographic process by having a sensor in or close to the pupil or a conjugate plane with the pupil of the objective of the scatterometer, measurements usually referred as pupil based measurements, or by having the sensor in or close to an image plane or a plane conjugate with the image plane, in which case the measurements are usually referred as image or field based measurements.
  • Aforementioned scatterometers may measure gratings using light from hard X-ray (HXR), soft X-ray (SXR), extreme ultraviolet (EUV), visible to nearinfrared (IR) and IR wavelength range.
  • HXR hard X-ray
  • SXR soft X-ray
  • EUV extreme ultraviolet
  • IR visible to nearinfrared
  • the aforementioned scatterometers may optionally be a small-angle X-ray scattering metrology tool.
  • the substrates W exposed by the lithographic apparatus LA In order for the substrates W exposed by the lithographic apparatus LA to be exposed correctly and consistently, it is desirable to inspect substrates to measure properties of patterned structures, such as overlay errors between subsequent layers, line thicknesses, critical dimensions (CD), shape of structures, etc.
  • inspection tools and/or metrology tools may be included in the lithocell LC. If errors are detected, adjustments, for example, may be made to exposures of subsequent substrates or to other processing steps that are to be performed on the substrates W, especially if the inspection is done before other substrates W of the same batch or lot are still to be exposed or processed.
  • An inspection apparatus which may also be referred to as a metrology apparatus, is used to determine properties of the substrates W, and in particular, how properties of different substrates W vary or how properties associated with different layers of the same substrate W vary from layer to layer.
  • the inspection apparatus may alternatively be constructed to identify defects on the substrate W and may, for example, be part of the lithocell LC, or may be integrated into the lithographic apparatus LA, or may even be a stand-alone device.
  • the inspection apparatus may measure the properties on a latent image (image in a resist layer after the exposure), or on a semi-latent image (image in a resist layer after a post-exposure bake step PEB), or on a developed resist image (in which the exposed or unexposed parts of the resist have been removed), or even on an etched image (after a pattern transfer step such as etching).
  • the scatterometer MT is an angular resolved scatterometer.
  • reconstruction methods may be applied to the measured signal to reconstruct or calculate properties of the grating.
  • Such reconstruction may, for example, result from simulating interaction of scattered radiation with a mathematical model of the target structure and comparing the simulation results with those of a measurement. Parameters of the mathematical model are adjusted until the simulated interaction produces a diffraction pattern similar to that observed from the real target.
  • the scatterometer MT is a spectroscopic scatterometer MT.
  • the radiation emitted by a radiation source is directed onto the target and the reflected, transmitted or scattered radiation from the target is directed to a spectrometer detector, which measures a spectrum (i.e. a measurement of intensity as a function of wavelength) of the specular reflected radiation. From this data, the structure or profile of the target giving rise to the detected spectrum may be reconstructed, e.g. by Rigorous Coupled Wave Analysis and non-linear regression or by comparison with a library of simulated spectra. Additionally and/or alternatively, the scatterometer MT may measure intensity of measured radiation.
  • the scatterometer MT is an ellipsometric scatterometer.
  • the ellipsometric scatterometer allows for determining parameters of a lithographic process by measuring scattered or transmitted radiation for each polarization states.
  • Such metrology apparatus emits polarized light (such as linear, circular, or elliptic) by using, for example, appropriate polarization filters in the illumination section of the metrology apparatus.
  • a source suitable for the metrology apparatus may provide polarized radiation as well.
  • the scatterometer MT is adapted to measure the overlay of two misaligned gratings or periodic structures by measuring asymmetry in the reflected spectrum and/or the detection configuration, the asymmetry being related to the extent of the overlay.
  • the two (maybe overlapping) grating structures may be applied in two different layers (not necessarily consecutive layers), and may be formed substantially at the same position on the wafer.
  • the scatterometer may have a symmetrical detection configuration as described e.g. in co-owned patent application EP1,628,164A, such that any asymmetry is clearly distinguishable. This provides a straightforward way to measure misalignment in gratings. Further examples for overlay error between the two layers containing periodic structures as target is measured through asymmetry of the periodic structures may be found in PCT patent application publication no. WO 2011/012624 or US patent application US 20160161863, incorporated herein by reference in its entirety.
  • Focus and dose may be determined simultaneously by scatterometry (or alternatively by scanning electron microscopy) as described in US patent application US2011-0249244, incorporated herein by reference in its entirety.
  • a single structure may be used which has a unique combination of critical dimension and sidewall angle measurements for each point in a focus energy matrix (FEM - also referred to as Focus Exposure Matrix). If these unique combinations of critical dimension and sidewall angle are available, the focus and dose values may be uniquely determined from these measurements.
  • FEM focus energy matrix
  • a metrology target may be an ensemble of composite gratings, formed by a lithographic process, mostly in resist, but also after other manufacturing process, etch process for example.
  • the pitch and line-width of the structures in the gratings may strongly depend on the measurement optics (in particular the NA of the optics) to be able to capture diffraction orders coming from the metrology targets.
  • the diffracted signal may be used to determine shifts between two layers (also referred to ‘overlay’) or may be used to reconstruct at least part of the original grating as produced by the lithographic process. This reconstruction may be used to provide guidance of the quality of the lithographic process and may be used to control at least part of the lithographic process.
  • Targets may have smaller sub-segmentation which are configured to mimic dimensions of the functional part of the design layout in a target. Due to this sub-segmentation, the targets will behave more similar to the functional part of the design layout such that the overall process parameter measurements resemble the functional part of the design layout better.
  • the targets may be measured in an underfilled mode or in an overfilled mode. In the underfilled mode, the measurement beam generates a spot that is smaller than the overall target. In the overfilled mode, the measurement beam generates a spot that is larger than the overall target. In such overfilled mode, it may also be possible to measure different targets simultaneously, thus determining different processing parameters at the same time.
  • substrate measurement recipe may include one or more parameters of the measurement itself, one or more parameters of the one or more patterns measured, or both.
  • the measurement used in a substrate measurement recipe is a diffraction-based optical measurement
  • one or more of the parameters of the measurement may include the wavelength of the radiation, the polarization of the radiation, the incident angle of radiation relative to the substrate, the orientation of radiation relative to a pattern on the substrate, etc.
  • One of the criteria to select a measurement recipe may, for example, be a sensitivity of one of the measurement parameters to processing variations. More examples are described in US patent application US2016-0161863 and published US patent application US 2016/0370717Alincorporated herein by reference in its entirety.
  • the patterning process in a lithographic apparatus LA may be one of the most critical steps in the processing which requires high accuracy of dimensioning and placement of structures on the substrate W.
  • three systems may be combined in a so called “holistic” control environment as schematically depicted in Fig. 3.
  • One of these systems is the lithographic apparatus LA which is (virtually) connected to a metrology tool MT (a second system) and to a computer system CL (a third system).
  • the key of such “holistic” environment is to optimize the cooperation between these three systems to enhance the overall process window and provide tight control loops to ensure that the patterning performed by the lithographic apparatus LA stays within a process window.
  • the process window defines a range of process parameters (e.g. dose, focus, overlay) within which a specific manufacturing process yields a defined result (e.g. a functional semiconductor device) - maybe within which the process parameters in the lithographic process or patterning process are allowed to vary.
  • the computer system CL may use (part of) the design layout to be patterned to predict which resolution enhancement techniques to use and to perform computational lithography simulations and calculations to determine which mask layout and lithographic apparatus settings achieve the largest overall process window of the patterning process (depicted in Fig. 3 by the double arrow in the first scale SCI).
  • the resolution enhancement techniques may be arranged to match the patterning possibilities of the lithographic apparatus LA.
  • the computer system CL may also be used to detect where within the process window the lithographic apparatus LA is currently operating (e.g. using input from the metrology tool MET) to predict whether defects may be present due to e.g. sub-optimal processing (depicted in Fig. 3 by the arrow pointing “0” in the second scale SC2).
  • the metrology tool MT may provide input to the computer system CL to enable accurate simulations and predictions, and may provide feedback to the lithographic apparatus LA to identify possible drifts, e.g. in a calibration status of the lithographic apparatus LA (depicted in Fig. 3 by the multiple arrows in the third scale SC3).
  • Metrology tools MT may use electromagnetic radiation to interrogate a structure. Properties of the radiation (e.g. wavelength, bandwidth, power) can affect different measurement characteristics of the tool, with shorter wavelengths generally allowing for increased resolution. Radiation wavelength has an effect on the resolution the metrology tool can achieve. Therefore, in order to be able to measure structures with features having small dimensions, metrology tools MT with short wavelength radiation sources are preferred.
  • radiation wavelength can affect measurement characteristics
  • penetration depth and the transparency/opacity of materials to be inspected at the radiation wavelength.
  • radiation can be used for measurements in transmission or reflection.
  • the type of measurement can affect whether information is obtained about the surface and/or the bulk interior of a structure/substrate. Therefore, penetration depth and opacity are other elements to be taken into account when selecting radiation wavelength for a metrology tool.
  • metrology tools MT with short wavelengths are preferred. This may include wavelengths shorter than visible wavelengths, for example in the UV, EUV, and X-ray portions of the electromagnetic spectrum.
  • Hard X-ray methods such as Transmitted Small Angle X-ray Scattering (TSAXS) make use of the high resolution and high penetration depth of hard X-rays and may therefore operate in transmission.
  • Soft X-rays and EUV do not penetrate the target as far but may induce a rich optical response in the material to be probed. This may be due the optical properties of many semiconductor materials, and due to the structures being comparable in size to the probing wavelength.
  • EUV and/or soft X-ray metrology tools MT may operate in reflection, for example by imaging, or by analysing diffraction patterns from, a lithographically patterned structure.
  • HVM high volume manufacturing
  • applications in high volume manufacturing (HVM) applications may be limited due to a lack of available high-brilliance radiation sources at the required wavelengths.
  • HVM high volume manufacturing
  • commonly used sources in industrial applications include X-ray tubes.
  • X-ray tubes, including advanced X-ray tubes for example based on liquid metal anodes or rotating anodes, may be relatively affordable and compact, but may lack brilliance required for HVM applications.
  • High brilliance X-ray sources such as Synchrotron Light Sources (SLSs) and X- ray Free Electron Lasers (XFELs) currently exist, but their size (>100m) and high cost (multi- 100- million euro), makes them prohibitively large and expensive for metrology applications. Similarly, there is a lack of availability of sufficiently bright EUV and soft X-ray radiation sources.
  • SLSs Synchrotron Light Sources
  • XFELs X- ray Free Electron Lasers
  • FIG. 4 One example of a metrology apparatus, such as a scatterometer, is depicted in Figure 4. It may comprise a broadband (e.g. white light) radiation projector 2 which projects radiation 5 onto a substrate W. The reflected or scattered radiation 10 is passed to a spectrometer detector 4, which measures a spectrum 6 (i.e. a measurement of intensity I as a function of wavelength X) of the specular reflected radiation. From this data, the structure or profile 8 giving rise to the detected spectrum may be reconstructed by processing unit PU, e.g. by Rigorous Coupled Wave Analysis and non-linear regression or by comparison with a library of simulated spectra as shown at the bottom of Figure 4.
  • a broadband radiation projector 2 which projects radiation 5 onto a substrate W.
  • the reflected or scattered radiation 10 is passed to a spectrometer detector 4, which measures a spectrum 6 (i.e. a measurement of intensity I as a function of wavelength X) of the specular reflected radiation. From this data, the structure or profile 8
  • a scatterometer may be configured as a normal-incidence scatterometer or an oblique-incidence scatterometer.
  • substrate measurement recipe may include one or more parameters of the measurement itself, one or more parameters of the one or more patterns measured, or both.
  • the measurement used in a substrate measurement recipe is a diffraction-based optical measurement
  • one or more of the parameters of the measurement may include the wavelength of the radiation, the polarization of the radiation, the incident angle of radiation relative to the substrate, the orientation of radiation relative to a pattern on the substrate, etc.
  • One of the criteria to select a measurement recipe may, for example, be a sensitivity of one of the measurement parameters to processing variations. More examples are described in US patent application US2016/0161863 and published US patent application US 2016/0370717A1 incorporated herein by reference in its entirety.
  • FIG. 5(a) Another type of metrology apparatus is shown in Figure 5(a).
  • a target T and diffracted rays of measurement radiation used to illuminate the target are illustrated in more detail in Figure 5(b).
  • the metrology apparatus illustrated is of a type known as a dark field metrology apparatus.
  • the metrology apparatus depicted here is purely exemplary, to provide an explanation of dark field metrology.
  • the metrology apparatus may be a stand-alone device or incorporated in either the lithographic apparatus LA, e.g., at the measurement station, or the lithographic cell LC.
  • An optical axis, which has several branches throughout the apparatus, is represented by a dotted line O.
  • light emitted by source 11 is directed onto substrate W via a beam splitter 15 by an optical system comprising lenses 12, 14 and objective lens 16.
  • lenses 12, 14 and objective lens 16 are arranged in a double sequence of a 4F arrangement.
  • a different lens arrangement can be used, provided that it still provides a substrate image onto a detector, and simultaneously allows for access of an intermediate pupil-plane for spatial- frequency filtering. Therefore, the angular range at which the radiation is incident on the substrate can be selected by defining a spatial intensity distribution in a plane that presents the spatial spectrum of the substrate plane, here referred to as a (conjugate) pupil plane.
  • aperture plate 13 of suitable form between lenses 12 and 14, in a plane which is a back- projected image of the objective lens pupil plane.
  • aperture plate 13 has different forms, labeled 13N and 13S, allowing different illumination modes to be selected.
  • the illumination system in the present examples forms an off-axis illumination mode.
  • aperture plate 13N provides off-axis from a direction designated, for the sake of description only, as ‘north’.
  • aperture plate 13S is used to provide similar illumination, but from an opposite direction, labeled ‘south’.
  • Other modes of illumination are possible by using different apertures.
  • the rest of the pupil plane is desirably dark as any unnecessary light outside the desired illumination mode will interfere with the desired measurement signals.
  • target T is placed with substrate W normal to the optical axis O of objective lens 16.
  • the substrate W may be supported by a support (not shown).
  • a ray of measurement radiation I impinging on target T from an angle off the axis O gives rise to a zeroth order ray (solid line 0) and two first order rays (dot-chain line +1 and double dot-chain line -1). It should be remembered that with an overfilled small target, these rays are just one of many parallel rays covering the area of the substrate including metrology target T and other features.
  • the aperture in plate 13 has a finite width (necessary to admit a useful quantity of light, the incident rays I will in fact occupy a range of angles, and the diffracted rays 0 and +1/-1 will be spread out somewhat. According to the point spread function of a small target, each order +1 and -1 will be further spread over a range of angles, not a single ideal ray as shown. Note that the grating pitches of the targets and the illumination angles can be designed or adjusted so that the first order rays entering the objective lens are closely aligned with the central optical axis. The rays illustrated in Figure 5(a) and 5(b) are shown somewhat off axis, purely to enable them to be more easily distinguished in the diagram.
  • both the first and second illumination modes are illustrated, by designating diametrically opposite apertures labeled as north (N) and south (S).
  • N north
  • S south
  • the incident ray I of measurement radiation is from the north side of the optical axis, that is when the first illumination mode is applied using aperture plate 13N
  • the +1 diffracted rays which are labeled +1(N)
  • the second illumination mode is applied using aperture plate 13S
  • the -1 diffracted rays (labeled 1 (S)) are the ones which enter the lens 16.
  • a second beam splitter 17 divides the diffracted beams into two measurement branches.
  • optical system 18 forms a diffraction spectrum (pupil plane image) of the target on first sensor 19 (e.g. a CCD or CMOS sensor) using the zeroth and first order diffractive beams.
  • first sensor 19 e.g. a CCD or CMOS sensor
  • the pupil plane image captured by sensor 19 can be used for focusing the metrology apparatus and/or normalizing intensity measurements of the first order beam.
  • the pupil plane image can also be used for many measurement purposes such as reconstruction.
  • optical system 20, 22 forms an image of the target T on sensor 23 (e.g. a CCD or CMOS sensor).
  • an aperture stop 21 is provided in a plane that is conjugate to the pupil-plane. Aperture stop 21 functions to block the zeroth order diffracted beam so that the image of the target formed on sensor 23 is formed only from the -1 or + 1 first order beam.
  • the images captured by sensors 19 and 23 are output to processor PU which processes the image, the function of which will depend on the particular type of measurements being performed. Note that the term ‘image’ is used here in a broad sense. An image of the grating lines as such will not be formed, if only one of the -1 and +1 orders is present.
  • aperture plate 13 and field stop 21 shown in Figure 5 are purely examples.
  • on-axis illumination of the targets is used and an aperture stop with an off-axis aperture is used to pass substantially only one first order of diffracted light to the sensor.
  • a two quadrant aperture may be used. This may enable simultaneous detection of plus and minus orders, as described in US2010201963A1, mentioned above.
  • Embodiments with optical wedges (segmented prisms or other suitable elements) in the detection branch can be used to separate the orders for imaging spatially in a single image, as described in US2011102753A1, mentioned above.
  • 2nd, 3rd and higher order beams can be used in measurements, instead of or in addition to the first order beams.
  • a segmented prism can be used in place of aperture stop 21, enabling both +1 and -1 orders to be captured simultaneously at spatially separate locations on image sensor 23.
  • the aperture plate 13 may comprise a number of aperture patterns formed around a disc, which rotates to bring a desired pattern into place.
  • aperture plate 13N or 13S can only be used to measure gratings oriented in one direction (X or Y depending on the set-up). For measurement of an orthogonal grating, rotation of the target through 90° and 270° might be implemented.
  • a light source (which may also referred to as radiation source) for metrology applications usable for concepts disclosed herein may comprise a broadband source and a color selection arrangement (which may also be referred to as a wavelength selection arrangement or module) to select one or more colors from the broadband output.
  • the radiation source may be based on a hollow core or solid core fiber such as a hollow core photonic crystal fiber (HC-PCF) or solid core photonic crystal fiber (SC-PCF).
  • HC-PCF hollow core photonic crystal fiber
  • SC-PCF solid core photonic crystal fiber
  • the hollow core of the fiber may be filled with a gas acting as a broadening medium for broadening input radiation.
  • a fiber and gas arrangement may be used to create a supercontinuum radiation source.
  • Radiation input to the fiber may be electromagnetic radiation, for example radiation in one or more of the infrared, visible, UV, and extreme UV spectra.
  • the output radiation may consist of or comprise broadband radiation, which may be referred to herein as white light. This is only one example of a broadband light source technology usable in methods and apparatuses disclosed herein, and other suitable technologies may instead be employed.
  • the output radiation may comprise radiation in a range of 400 nm to 900 nm.
  • the output radiation may comprise radiation in a range from 400 nm to 3000 nm, from 400 nm to 2000 nm, or from 400 nm to 1600 nm.
  • a color selection module (also referred to as a wavelength selection module, or a source selection module) which uses grating light valve (GLV) technology such as marketed by Silicon Light Machines (SLM), e.g., as described in US6947613B, incorporated herein by reference.
  • a GLV is an electrically-programmable diffraction grating based on Micro-Electro-Mechanical Systems (MEMS) technology.
  • Figures 6(a)-6(c) schematically illustrate the working principle.
  • Figures 6(a)-6(c) are schematic illustrations of a GLV pixel also referred to as GLV component 500 from 6(a) above and 6(b), 6(c) end-on.
  • GLV component shown in Figures 6(a)-6(c) is only an example design, other different GLV designs (e.g., the “true-GLV” design used in the G1088 and G8192 modules marketed by SLM) can also be used in the wavelength selection module (e.g., as shown in Figures 9, 14A-14B.
  • Each pixel/component of a GLV may comprise a controllable grating.
  • the controllable grating may comprise alternating GLV reflective ribbons of two types: static or bias ribbons 510 which are typically grounded along with a common electrode and driven or active ribbons 520 which are driven by an electronic driver channel.
  • a GLV module may comprise any number of these GLV components 500 arranged in an array.
  • the active and bias ribbons may be essentially identical other than in how they are driven. When no voltage is applied to the active ribbons 520, they are co-planar with the bias ribbons, a configuration illustrated in Figure 6(b). In this configuration, the GLV acts essentially as a mirror, with incident light being specularly reflected (i.e., forming specularly reflected radiation or zeroth diffraction order radiation).
  • the active ribbons 520 When a voltage is applied to the active ribbons 520, as illustrated in Figure 6(c), they deflect relative to the bias ribbons 510, establishing a square-well diffraction grating. In this state, incident light may be diffracted into fixed diffraction angles (corresponding to diffraction orders). The ratio of light reflected with respect to light diffracted can be continuously varied by controlling the voltage on the active ribbons 520, which controls the magnitude of their deflection. As such, the amount of light diffracted by the GLV can be controlled in an analog fashion from zero (full specular reflection) to all incident light (zero specular reflection). This control of the amount of reflected radiation with respect to the amount of radiation diffracted into nonzero diffraction orders may be referred to as modulating the illumination within the context of this disclosure.
  • FIG 7 depicts a schematic illustration of radiation after it has interacted with GLV pixel 500.
  • GLV pixel 500 is in an unbiased state, acting like a mirror 710, specularly reflecting radiation 712 (also referred to as zeroth diffraction order radiation 712).
  • a bias voltage is applied to create a diffraction grating 720 in GLV pixel 500.
  • the diffraction grating 720 may diffract a portion of the radiation into a zeroth diffraction order 722. Another portion of the radiation may be diffracted into higher (non-zeroth) diffraction orders 724, such as positive first +1 and negative first -1 diffraction orders.
  • a GLV may comprises multiple ribbons, and at least a portion of the ribbons may be configured to be movable.
  • Other light valve technologies such as Digital Light Processing (DLP), Liquid Crystal Display (LCD), and liquid crystal on silicon (LCoS), may be used for implementation in video projector devices such as rear-projection televisions and digital projection.
  • DLP Digital Light Processing
  • LCD Liquid Crystal Display
  • LCD liquid crystal on silicon
  • MEMS microelectromechanical systems
  • MOEMS micro-opto-electro-mechanical structures
  • the GLV module may be used in a zeroth order mode such that the non-zeroth order diffracted radiation is blocked/dumped and the specularly reflected (zeroth diffraction order) radiation is provided to the metrology tool.
  • This may have the advantage of preserving etendue.
  • an aperture stop may be provided in a pupil plane which with the aim of maximizing transmission of the zeroth order, and maximizing blocking (minimizing transmission) of the first diffraction orders (and other non-zero diffraction orders).
  • FIG. 8(a) An example setup using zeroth order mode radiation selection is depicted in Figure 8(a) shows input radiation 810, which may comprise radiation in a range of wavelengths 810(1), 810(2), 810(3), 810(4), 810(5).
  • each radiation wavelength 810(1), 810(2), 810(3), 810(4), 810(5) may be incident on a separate GLV pixel 820(1), 820(2), 820(3), 820(4), 820(5).
  • each element 820(1), 820(2), 820(3), 820(4), 820(5) may represent a plurality of GLV pixels.
  • FIG 8(c) a side-on view of GLV pixels with bias applied 830(1), 830(2), 830(3), 830(4), 830(5) is shown.
  • Pixels 830(1) and 830(5) have no bias applied, and therefore may act as mirrors, specularly reflecting output radiation 850(1) and 850(5), shown in figure 8(d).
  • Pixels 830(2), 830(3) and 830(4) have a bias applied to form diffraction gratings, such that a portion of the radiation is diffracted into non-zeroth orders. The portion of zeroth order radiation may be dependent on the depth of the grating (i.e. dependent on the bias applied).
  • pixel 830(4) has a small grating depth
  • pixel 830(3) has a large grating depth
  • pixel 830(2) has a medium grating depth.
  • the resulting intensity of radiation diffracted into the zeroth order is low in 850(3), medium in 850(2), and higher in 850(4).
  • the remaining proportion or radiation not diffracted into the zeroth order is diffracted into non- zeroth orders.
  • This non-zeroth diffracted radiation may be blocked/stopped by aperture stops 840(1), 840(2), 840(3), 840(4), 840(5).
  • the radiation 810(1), 810(2), 810(3), 810(4), 810(5) may additionally and/or alternatively comprise one or more continuous wavelength ranges of radiation.
  • Challenges may exist with the use of aperture stops for filtering out diffracted radiation.
  • the diffraction angle of radiation is dependent on wavelength.
  • spot size in the pupil plane may also be wavelength dependent, such that each color has a different spot size in the pupil plane.
  • a source presently used in some metrology applications may comprise a different etendue for different colors of light, such that respective beam widths for different colors are different. Because of this, it is difficult to configure the aperture stop to maximize transmission of the zeroth order and blocking of the first orders, for all wavelengths of interest (e.g., the wavelength band covered by a source selection module).
  • Any particular shape or configuration for a hard aperture stop may be sub- optimal for certain wavelength ranges (e.g., may result in too much blockage of the zeroth order and/or too much leakage of first orders in the transmission window). As the used wavelength range increases, the problem becomes larger.
  • a high etendue beam makes it difficult to minimize the spot size (per wavelength) on the GLV, and to have a low numerical aperture (NA) per order.
  • a small spot on the GLV is highly desirable so as to operate on the flat area of the activated ribbons, and therefore not to lose contrast.
  • a low NA of the orders is beneficial for separation of zeroth and first orders over a number of wavelengths.
  • a known color selection module arrangement based on a controllable diffractive element such as a GLV may comprise a beam dispersing element for dispersing the broadband illumination beam; a controllable diffractive element or GLV module for spatially modulating the broadband illumination beam subsequent to being dispersed; an aperture stop in the far field (a pupil plane, or conjugate thereof, of the GLV) to remove all but the wanted order(s) (e.g., to remove all but the zeroth order, see the example arrangement in Figure 9; however this may be reversed such that the zeroth order is blocked and first orders transmitted, see the example arrangement shown in Figure 14A-14C); and a beam combining element to recombine the spatially modulated broadband illumination beam to obtain an output source beam.
  • a beam dispersing element for dispersing the broadband illumination beam
  • a controllable diffractive element or GLV module for spatially modulating the broadband illumination beam subsequent to being dispersed
  • the beam dispersing element may disperse the colors of a white light source over the GLV in a first direction (e.g., where the GLV is comprised in an image plane or field plane of the system).
  • the combining element and dispersive element may be different elements or a single element.
  • Metrology tools MT such as a scatterometer, topography measurement system, or position measurement system mentioned above may use radiation originating from a radiation source to perform a measurement.
  • the properties of the radiation used by a metrology tool may affect the type and quality of measurements that may be performed. For some applications, it may be advantageous to use different and/or multiple radiation frequencies to measure a substrate, for example broadband radiation, or one or more bands within a broadband range may be used.
  • narrowband radiation may be advantageous for measurements. Multiple different frequencies may be able to propagate, irradiate, and scatter off a metrology target with no or minimal interference with other frequencies. Therefore different frequencies may for example be used to obtain more metrology data simultaneously. Different radiation frequencies may also be able to interrogate and discover different properties of a metrology target. Broadband radiation may be useful in metrology systems MT such as for example level sensors, alignment mark measurement systems, scatterometry tools, or inspection tools.
  • a broadband radiation source may be a supercontinuum source. In some instances, some bands within a broadband radiation range may be selected for performing measurements.
  • narrowband radiation around a predefined wavelength may be controllably selected from provided broadband radiation.
  • the radiation may for example comprise broadband light in a range from 400 nm to 900 nm, or in a range from 400 nm to 2000 nm.
  • Selection of narrowband radiation may for example be achieved with a grating light valve, which may be based for example on the disclosure in US6947613B.
  • An example schematic representation of a setup for selecting narrow bands of radiation from received broadband input radiation using a grating light valve is shown in figure 9.
  • Input broadband radiation 902 may be provided by broadband input source.
  • the broadband radiation may be spatially distributed across wavelengths by a prism 904.
  • the spatially divided radiation of different wavelengths may be guided onto different pixels of a GLV 906.
  • the GLV may be driven electronically (e.g. receiving instructions on which biases to apply to the pixels from one or more connected processors) to set how much radiation is diffracted (and/or specularly reflected) into the zeroth order.
  • the zeroth order diffracted radiation may be referred to as the output spectrum.
  • the output spectrum may be guided back through a prism, which may be the same prism 904 used to separate the input radiation or a different prism (not shown).
  • the prism may combine the distributed output spectrum to form output radiation 908 comprising a combination of the different wavelengths of the output spectrum.
  • Optical elements e.g. LI, L2, L3 may be used to guide the radiation through the setup.
  • Non-zeroth order radiation may be blocked, e.g. by radiation stops 910.
  • a mirror 912 which may be either an active/moveable mirror or a static folding mirror, may be used to direct the
  • FIG. 10 depicts a schematic illustration of an aperture stop 1010 for selecting some radiation, and blocking other radiation.
  • the central zeroth order diffracted radiation 1002(3) e.g. longer wavelength red light
  • the adjustable diffraction element (GLV) 1030 may be passed through the aperture opening 1020, and non-zeroth order diffracted radiation 1002(1), 1002(2) (e.g. shorter wavelength green and purple light) may be blocked by the aperture 1020.
  • the aperture opening 1020 may have a size that is small enough to block all non-zeroth order wavelengths. However, making the aperture opening smaller to block more non-zeroth order radiation may lead to some zeroth order radiation to be blocked as well. This may result in reduced transmission and therefore lower power efficiency. On the other hand, making the aperture opening larger to increase zeroth order radiation transmission may lead to more non-zeroth order radiation to be let through, making the aperture 1010 less effective at blocking non-zeroth order diffracted radiation. This may lead to a worse spectral contrast ratio in the output spectrum (i.e. more noise/unwanted radiation). Furthermore, chromatic aberrations may make the positioning of the aperture challenging to be effective for selecting/blocking different wavelengths across the spectrum of received radiation. Due to the challenges presented by aperture stops for blocking and selecting different wavelengths of radiation, alternative solutions may be desirable.
  • the source selection module may also be referred to as a wavelength selection module.
  • the module may comprise a color selection arrangement as described above.
  • input radiation 1102 may be provided.
  • the input radiation may be referred to as light.
  • a light dispersive element 1104 (such as e.g. a prism, a light dispersive grating, a combination of a prism and a light dispersive grating, etc.) may be configured to receive light 1102 comprising first light of a first wavelength and second light of a second wavelength (different from the first light/flrst wavelength).
  • the first light and second light may be spatially distributed by the light dispersive element 1104 such that they hit first and second pixels (wherein the first and second pixels are different pixels) of an adjustable diffraction element 1106 (e.g. a grating light valve).
  • the grating light valve may be configured to be able to generate zeroth order diffracted first light and non-zeroth order diffracted first light.
  • the grating light valve may further be configured to be able to generate zeroth order diffracted second light and non-zeroth order diffracted second light.
  • a continuous variable filter 1110 is further provided as part of the source module, comprising a first area and a second area, wherein the first area is configured to transmit (or reflect) first light, and wherein the second area is configured to transmit (or reflect) second light.
  • the continuous variable filter is arranged at a position in the source selection module 1100 such that the zeroth order of the first light is received by the first area, and the zeroth order of the second light is received by the second area.
  • the continuous variable filter is further configured to block first light outside the first area and to block second light outside the second area.
  • a mirror 1112 which may be either an active/moveable mirror or a static folding mirror, may be used to direct the output radiation 1108.
  • An advantage of using a source selection module as described above may be that an aperture stop used for blocking non-zeroth orders of diffracted radiation is no longer required. Instead, the wavelength-specific transmission properties of the continuous variable filter (CVF) may be used to block the non-zeroth order radiation in the same location as transmitting (or reflecting) zeroth order radiation in its corresponding area.
  • the use of a CVF may improve the performance of the source selection module. Improved performance may for example include higher zeroth order transmission and/or a higher spectral contrast ratio (better blocking of unwanted radiation).
  • the use of a CVF may also reduce risks of chromatic aberration and misalignment of the optical elements in the source selection module.
  • the adjustable diffraction element may comprise a grating light valve.
  • Each of the pixels of the adjustable diffraction element may comprise a controllable diffraction grating.
  • the input radiation may be broadband radiation.
  • Controllable in this context, may refer to tunable, for example as described in relation to figures 5 and 6 above.
  • the continuous variable filter may function for a plurality N of different wavelengths (N 2), wherein each wavelength of n th light is configured to be transmitted by a corresponding n th area on the continuous variable filter CVF, and blocked by areas outside of the n th corresponding area.
  • Continuous variable filters may be commercially available.
  • a CVF may be an edgepass CVF.
  • a CVF may comprise a continuously variable bandpass layer (also referred to as a bandpass CVF). Bandpass CVF with high transmission (for the desired wavelength) and high contrast (i.e. high blocking of other wavelengths) can be achieved.
  • a bandpass coating may be continuously varied along a direction of the CVF.
  • the coating may for example comprise repeated alternating thin layers of two or more different materials (e.g. alternating layers of SiOz and TazOs).
  • Such a layer configuration is provided as an example only, and other layer configurations are known and may be used herein. Varying the thickness of the layers along a dimension of the CVF may result in different locations along this direction providing a narrow transmission band around a particular center wavelength. The center wavelength may continuously vary along the direction along with the varying thicknesses of the bandpass coating. This may lead to narrow transmission bands along the varying direction of the CVF, for varying wavelengths.
  • the filter is a bandpass filter, radiation of wavelengths falling outside the narrow bandpass band may be blocked by the filter.
  • FIGs 12(a)-12(c) depict a schematic representation of the functioning of a continuous variable filter in combination with an adjustable diffraction element in order to achieve wavelength selection.
  • a GLV a type of adjustable diffraction element
  • the input radiation 1302(3) is incident upon the pixel with the applied diffraction grating.
  • the radiation is diffraction, with a portion of the radiation being diffracting into the zeroth order, and other portions being diffracted into higher, non-zeroth order diffraction orders (+1 and -1 orders illustrated in the figure).
  • the zeroth order radiation will take the same optical path as the incoming radiation 1302(3), meaning both the incoming radiation and outgoing zeroth order radiation pass through the same location on the CVF.
  • a continuous variable filter CVF is added to the setup.
  • the GLV still diffracts the received radiation 1302(3) as in figure 12(a).
  • the non-zeroth order diffracted radiation is incident on a location of the CVF that is configured to block radiation of the wavelength 1302(3). Only the zeroth order diffracted radiation of 1302(3) passes through the CVF at a location that transmits radiation of the wavelength of 1302(3). As a result, non-zeroth order diffracted radiation is blocked.
  • radiation of a plurality of different wavelengths 1302(1), 1302(2), 1302(3), 1302(4), 1302(5) may be incident upon a plurality of different pixels of a GLV.
  • Each pixel may have a bias voltage applied to select how much radiation is diffracted/ specularly reflected into the zeroth order.
  • the CVF then blocks non-zeroth order diffracted radiation that is incident on the CVF at a location where its wavelength does not fall within the transmission band of the continuous variable filter.
  • the module may be set up such that the separated wavelengths incident upon the GLV may be aligned with the corresponding bandpass central wavelengths of the CVF.
  • the continuous variable filter may be arranged in an optical path between the light dispersive element and the adjustable diffraction element.
  • the position of the continuous variable filter, specifically its distance from the adjustable diffraction element, may affect its functionality regarding blocking and/or transmitting (or reflecting) wavelengths of radiation.
  • the position of the CVF may determine where the non-zeroth order diffracted radiation hits the CVF.
  • the position of the CVF along a plane perpendicular to the optical path of the zeroth order diffracted radiation may be selected based relative to the position of the GLV (and the light dispersive element). This may for example be chosen so that the paths of the distributed wavelengths align with the transmission bands of the CVF for that wavelength. These may in turn also align with a pixel of the GLV (or other equivalent adjustable diffraction element) configured to diffract radiation of that particular distributed wavelength.
  • the position of the CVF along a direction parallel to the zeroth order diffracted radiation path may be chosen to be in close proximity to the GLV.
  • the long wavelengths hit the CVF with a 0.534 mm lateral shift, corresponding to a ⁇ 16.6nm wavelength shift away from the aligned 900nm wavelength bandpass.
  • These wavelengths shifts may be sufficient to block the first diffraction orders hitting the CVF.
  • wavelengths shifts down to 1 - 2 nm may be acceptable for blocking the desired diffraction orders.
  • a minimum separation distance d min may be determined, when the zeroth and first order diffracted spots are right next to each other.
  • a spot size may be of an order of 50pm - 70 pm.
  • a d min of 70pm may be selected, which for the example setup above may lead to a propagation length L of approximately 1.5 mm.
  • the CVF may be limited in its placement by other elements in the optical system.
  • the CVF may be limited in placement by the last lens in front of the LV, Therefore, in an example implementation, the placement of such a lens may limit the length L.
  • the maximum propagation length L (distance between GLV and CVF) may for example in the in a range from 50 mm to 100 mm (approximately).
  • the CVF may alternatively be placed in an equivalent position (i.e. at a same distance) of a conjugate plane of the adjustable diffraction element in the optical path of the source selection module.
  • the continuously variable filter may have a filtering characteristic (e.g. bandpass filtering characteristic) that is linearly distributed over the area of the continuous variable filter.
  • the CVF may for example be a rectangular strip, wherein the filtering characteristic varies linearly along a first direction. This may be the same direction as the direction along which the wavelengths of incoming radiation are distributed, and/or the direction along which the different pixels of the adjustable diffraction element are positioned.
  • the filtering characteristic may be constant along a second direction of the CVF. The second direction may be perpendicular to the first direction.
  • the filtering characteristic may be non-linearly distributed over the area of the continuous variable filter.
  • the non-linear distribution may for example be designed to match a non-linear distribution of wavelengths of the radiation and/or GLV pixels.
  • the wavelengths of the incident broadband light may be dispersed in a non-linear manner.
  • the distribution of the pixels on the GLV and/or the wavelength-dependent bandpass windows of the CVF may be engineered to match this nonlinear distribution.
  • the CVF may be placed in close proximity L to the GLV, as discussed above.
  • the CVF may be used to replace a protection window of the GLV.
  • the protection window of the GLV may be coated with a CVF coating.
  • the protection window may be provided to protect the MEMS (micro-electro-mechanical systems) components of the adjustable diffraction element.
  • the GLV may require a protection window.
  • the protection window may be tilted in order to reduce possible ghost reflections form the window. The tilted angle of the window may divert ghost reflection beams to a region outside the Oth order beams, thus preventing interference between the two.
  • the CVF layer may be tilted relative to a plane of the adjustable diffraction element.
  • An advantage of the CVF replacing the protection window may be that the number of surfaces the radiation passes through is reduced, which may increase transmission, and/or may reduce aberrations/noise.
  • a source selection module may provide a light dispersive element for spatially distributing a plurality of wavelengths, and an adjustable diffraction module able to turn diffraction of radiation into the zeroth order on/off.
  • the source selection module may therefore be able to individually turn a plurality of wavelengths on or off.
  • the proportion of incident radiation that is diffracted into zeroth and no-zeroth diffraction orders can be controlled. This can be used to turn on/off the wavelength(s) incident on each pixel and spectrally shape the output radiation. This may for example be used for wavelength selection.
  • the radiation input to the source selection module may be broadband radiation such as supercontinuum radiation.
  • the radiation incident on an individual pixel may comprise a range of wavelengths (also referred to as a spectral width of a pixel).
  • a specific range of wavelengths may be incident on a specific pixel.
  • a wavelength incident on a pixel this may refer to a center wavelength of a range of wavelengths incident on a pixel.
  • each pixel may be made up from multiple individual elements (e.g. in the case of a controllable grating each pixel may comprise a plurality (e.g. 3) ribbons). This may result in one or more specific wavelengths being incident between elements of a pixel, or between two pixels.
  • this wavelength may deviate from the center wavelength being modulated by a pixel, or may fall in a space between grating ribbons and/or between pixels. This may affect the quality of the output at the wavelength, resulting in limited performance and/or limited resolution of a source selection module.
  • a moveable continuous variable filter may be provided.
  • the continuous variable filter may be provide with an actuator to move it.
  • the actuator may be a piezoactuator (e.g. a piezo stack, an extended pixel resolution actuator).
  • the actuator may be configured to move the continuous variable filter in a first direction that is parallel to the surface of the continuous variable filter.
  • the first direction may be substantially perpendicular to the direction of the zeroth order diffracted radiation.
  • the actuator may change the position of the continuous variable filter fast, for example with a moving speed from an initial position to a target position in less than 1ms, or significantly less than 1ms.
  • the moving time from an initial position to a target position may be in a range from 0.0225ps to 1ms, for example in a range from 500ps to 1ms, preferably in a range from Ips to 500ps, preferably in a range from 0.0225ps to Ips.
  • the actuation moving time between initial and target position may be faster than the moving (adjusting) time of the adjustable diffraction element.
  • the moving time may be around Ips, although implementations with other moving times are possible.
  • the pixels of the adjustable diffraction element may have a size of the order of 1pm.
  • the ribbons of a grating light valve may have a width in a range of 1 to several pm.
  • the ribbons may have a length that is larger than the width of the ribbon.
  • the precision of the continuous variable filter may be better (i.e. more precise, to a size that is smaller than the width of the ribbon) than the size of the pixel.
  • the precision of the continuous light valve may be at least a factor n better than the size of the pixel, wherein n may be in a range from 2 to n.
  • the precision of a continuous light valve may be in a range from 10pm to 5 pm, or preferably in a range from 5pm to 1pm, or preferably in a range from 1pm to lOOnm.
  • the actuator may move the continuous variable filter with precision (e.g. within 1pm). Moving the continuous variable filter may have an advantage over moving another portion of the source module, such as one or more lenses or the adjustable diffraction element. This may be because moving a lens or the adjustable diffraction elements may be slow and/or have larger inertia relative to the continuous variable filter.
  • the continuous variable filter may perform a function of spatially separating radiation instead of a light dispersive element. That is to say, a source selection module may be provided in which broadband radiation may be directed at the continuous variable filter.
  • the continuously variable filter may filter the incident radiation such that a specific location at the output of the filter has a specific wavelength. This may be such that radiation of a specific wavelength may be projected onto a specific pixel of the adjustable diffractive element. This radiation may then be diffracted as described above.
  • An advantage of such a setup may be that no prism, grating or other dispersive element needs to be provided.
  • a drawback of using a continuous variable filter instead of a light dispersive element may be a loss of an significant portion of radiation that will be filtered out by the continuous variable filter.
  • a further advantage of using a continuous variable filter instead of a prism or grating may be that all the alignment of the radiation onto the adjustable diffraction element is performed by the continuous variable filter. Therefore, if the continuous variable filter is made moveable, such as by an actuator as describe above, the location of the continuous variable filter may be altered, resulting in the spectrum arriving on each pixel of the adjustable diffraction element being altered. By providing precise control of the position of the continuous variable filter, the center wavelength projected onto each pixel of the adjustable diffraction element may be precisely controlled. This may provide improved spectral control of the radiation output by the source selection module. [0099] Using a continuous variable filter for spatially distribution radiation for different wavelengths may have also have an advantage of performing a double filtering action.
  • Removing the light dispersive element by performing spatial separation of different wavelengths using the continuous variable filter may simplify the setup of the source selection module. Removing the light dispersive element may make it easier to use full surface/length of the adjustable diffraction element.
  • a dispersive element such as a prism, may result in radiation from different wavelengths not propagating along parallel paths (e.g. forming a slightly arched spectral spread). Such arched radiation paths may place limitations on the use of the surface of the adjustable diffraction element and the control of radiation projected onto it.
  • the issues relating to arched paths may be absent. This may reduce aberrations at the surface of the adjustable diffraction element.
  • a continuous variable filter may be provided in combination with a micro-lens array.
  • the micro-lens array may receive the filtered radiation from the CVF and form radiation spots on the surface of the adjustable diffraction element. This may result in the reflected radiation from the adjustable diffraction element propagating parallel to each other.
  • This setup may use, for example, a collimated radiation beam received from a supercontinuum radiation source, or other broadband radiation source.
  • spectral precision may refer to the precision by which the center wavelength of a wavelength band incident onto a pixel may be selected.
  • the wavelength range incident onto a pixel may depend on the width of the pixel, among other parameters.
  • a variable ratio of the continuous variable filter of approximately 31nm/mm may be used.
  • a grating light valve may be provided as an adjustable diffraction element, where a single ribbon has a width of around 8.5pm. This results in a pixel with a width of 25.5pm.
  • the minimum spectral width of a pixel may be around 1.6nm. Spot size may vary as a function of wavelength, meaning the minimum spectral width of a wavelength band may be in a range from approximately 1.5 nm to 2.5 nm.
  • This spectral width value may represent the precision with which a center wavelength of a wavelength band may be selected, in a setup without a CVF actuator.
  • an actuator to move the continuous variable filter for example a piezo actuator driving movement of the continuous variable filter, this precision may be improved. The amount of improvement may depend on the precision and/or speed of movement and positioning of the piezo actuator. Piezo actuators may have a positioning precision well below 1pm (e.g.
  • one or more second actuators may be provided, configured to move a continuous variable filter in a second direction.
  • the second actuators may be piezo actuators.
  • the second actuators may have the same characteristics as the first actuator.
  • the second direction may be substantially perpendicular to the first direction, of the first actuator mentioned above.
  • the second direction may be perpendicular to the surface of the adjustable diffraction element.
  • the actuators may be configured such that a tilt angle may be changeably introduced between the continuous variable filter and the adjustable diffraction element.
  • the surface of the continuous variable filter and the surface of the adjustable diffraction element may be substantially parallel.
  • Introducing a tilt angle may enable a fine-tuning of the projection of the radiation passing through the continuous variable filter onto the adjustable diffraction element, as the range could be compressed or expanded by adding tilt to the system.
  • the local band edge of a continuous variable filter may be dependent on the angle of incidence of the incoming radiation.
  • tuning the angle of incidence for example by changing/ tuning atilt angle of the continuous variable filter, e.g. using an actuator
  • the local band-edge position may be fine-tuned.
  • Tuning the tilt angle/ angle of incidence of the incident radiation may also fine-tune the steepness of the band-edge.
  • Figure 13 depicts a schematic representation of continuous variable filter 1404 moveable by a first actuator 1406 in a first direction 1412.
  • the continuous variable filter is also shown to be moveable by two second actuators 1408 and 1410 in a second direction 1414. It is possible to provide both a first actuator 1406 and second actuators 1408, 1410, or a first actuator 1406 without second actuators. It is also possible to provide one or two second actuators 1408, 1410 without a first actuator 1406.
  • the second actuators 1408, 1410 may enable changing a distance d between the continuous variable filter and the adjustable diffraction element (grating light valve 1402). Distance d may be measured along second direction 1414, perpendicular to the surface of the grating light valve 1402.
  • the second actuators 1408 and 1410 may also enable changing a tilt angle between the continuous variable filter and the adjustable diffraction element.
  • the tilt angle may be measured with respect to the entrance plane of the adjustable diffraction element.
  • the entrance plane may be a plane of the adjustable diffraction element into which the radiation is incident.
  • the entrance plane may be perpendicular to the propagation direction of the radiation.
  • the entrance plane is non-parallel to the propagation direction of the radiation.
  • the GLV module may be used in a first order mode such that the specularly reflected (zeroth diffraction order) radiation is blocked/dumped and the first order diffracted radiation (e.g., +l st and -1 st orders) is used.
  • an aperture stop or beam block may be provided in a pupil plane, or conjugate thereof, of the GLV with the aim of maximizing transmission of one or both of the first orders, and maximizing blocking (minimizing transmission) of the zeroth order.
  • a GLV based wavelength selection module configured for zeroth order mode operation (e.g., as shown in Figure 9) is capable of delivering a good wavelength selection performance, it may be difficult for the zeroth order configuration to achieve a high out-of-band spectrum suppression (or blocking ratio) required by many applications, e.g., including being used as a radiation source in metrology tools such as those illustrated in Figures 4 and 5.
  • the out-of-band spectrum suppression ratio is defined as the ratio of the intensity of the out-of-band leakage light over the intensity of the in- band light.
  • the terms “out-of-band” and “in band” correspond to unwanted (or to be blocked) colors and wanted (to be selected) colors, respectively.
  • the diffraction efficiency becomes less critical; while some diffraction inefficiency may mean some (wanted) radiation loss, it does not affect out-of-bound suppression. Very little or no radiation incident on a GLV region configured to reflect away radiation will be diffracted in the same direction(s) as the wanted first order(s), so out-of-bound suppression will be very good.
  • Figures 14A and 14B illustrate an example GLV based wavelength selection module configured for first order mode operation.
  • Figure 14A is a top view and Figure 14B is a side view of the arrangement.
  • the wavelength selection module is configured for first order mode operation; i.e., to transmit a source beam 1502 (e.g., a broadband optical beam) and the first diffraction orders selectively diffracted by the GLV module 1506 upon interacting with the broadband optical beam 1502 (only two colors +hi, +hz, -hi, -hz are shown for clarity both of which are selected by the GLV; of course there may be many more and/or a continuous spectrum), and block the zeroth diffraction order Oxi, 0x2.
  • a source beam 1502 e.g., a broadband optical beam
  • two wavelengths are shown being transmitted through the arrangement i, Z2 (i.e., both are selected by the GLV module 1506), with the resultant diffraction orders 4-lxi, -In, +1x2, -1x2 captured by lens L3.
  • a beam block 1510 is provided in a pupil plane, or conjugate thereof, of the GLV module 1506 and arranged to maximally block the zeroth diffraction order Oxi, 0x2 and maximally transmit one or both of the first diffraction orders.
  • Figure 14C is a pupil plane representation Pi comprising a zeroth order stop 1510 positioned to block the zeroth order (specular radiation) only, thereby defining an aperture APi which transmits the first orders (and/or other higher orders).
  • the beam block 1510 should maximize transmission of the zeroth order beams (for all selected wavelengths), and minimize transmission of the first order beams for all wavelengths or vice versa. Maximizing transmission (e.g., of the zeroth order beams or diffracted, e.g., first order beams for all wavelengths) should be understood to mean increasing transmission as much as is possible, given the limitation of the arrangement and trade-off required re minimizing transmission of the blocked radiation. Similarly, minimizing transmission (e.g., of the 1st orders or zeroth order for all wavelengths) should be understood to mean to block these orders as much as possible given these same limitations and trade-off.
  • the fact that the spots have spatially overlapping tails makes it necessary to either pass some unwanted light (leading to poor out-of-band contrast) or block the tails of the wanted zero order resulting in less signal and therefore less throughput.
  • This issue increases the larger these spots are (i.e., the greater the NA of the beam) compared to the separation of the orders.
  • maximizing transmission may comprise transmitting 90% or more, transmitting 95% or more, transmitting 98% or more, transmitting 99% or more transmitting 99.9% or more or transmitting 99.99% or more of the transmitted radiation.
  • minimizing transmission may comprise blocking 90% or more, blocking 95% or more, blocking 98% or more, blocking 99% or more, blocking 99.9% or more or blocking 99.99% or more of the blocked radiation.
  • the concept of using a CVF to improve the performance of a wavelength selection module configured for zeroth order mode operation is applicable to a wavelength selection module configured for first order mode operation (e.g., as shown in Figures 14A-14C). Improved performance may for example include higher first order transmission and/or a higher spectral contrast ratio (better blocking of unwanted zeroth order radiation).
  • FIG 15 schematically depicts a bandpass CVF or wedge filter comprising a substrate SUB coated with a multi-layer coating CT in accordance with an embodiment.
  • This type of CVF is suitable for use in a wavelength selection module (or source selection module) configured for either zeroth or first order mode operation when operating with an adjustable diffraction element (e.g., a GLV module), and provides the same wavelength selection function as described above in relation to Figure 12.
  • a wavelength selection module or source selection module
  • an adjustable diffraction element e.g., a GLV module
  • the substrate SUB of the wedge filter is transparent to an input radiation (e.g., a broadband radiation) which may be incident either from the coating side or the substrate side.
  • the substrate SUB may be made of a type of glass such as for example fused silica.
  • the multi-layer coating CT is deposited on one side of the substrate SUB and may comprise alternating thin layers of two different coating materials MAT1, MAT2 (i.e., multiple repetitions of thin layer pairs).
  • the two alternating materials MAT1, MAT2 are SiOz and TajOs, respectively although other materials may be used.
  • the thickness of each coating layer increases continuously along the wedge direction, e.g., the Z direction according to the coordinate reference system shown in Figure 15.
  • the total number, the materials and the thicknesses of the thin layers of the multi-layer coating CT may be carefully selected to obtain certain transmission characteristics. It will be appreciated that in other configurations, the multi-layer coating CT may comprise multiple repetitions of groups of thin layers, each group comprise three or more thin layers of different coating materials.
  • Figure 16 shows an example transmission spectrum of a wedge filter (e.g., as shown in Figure 15).
  • the transmission spectrum of the wedge filter may comprise multiple (e.g., 7 in this case) separate narrow transmission bands B1-B7, corresponding respectively to multiple (e.g., 7 in this case) different areas (each having a center position P1-P7) across the filter.
  • the characteristics of the transmission spectrum such as the location, the full width at half maximum (FWHM) and the maximum transmittance TM (between 0 and 100%) of each transmission band, are dependent on the coating configuration adopted.
  • different coating configurations may be used to achieve different transmission characteristics, e.g., to push the rising or falling edge of a transmission band out of a given spectral range, effectively making a low- or high- pass filter in that spectral range.
  • Figure 17A shows an example transmission profile along the wedge direction of a wedge filter (e.g., as shown in Figure 15) configured for use in a wavelength selection module configured for zeroth order mode operation (e.g., as shown in Figure 9).
  • the wedge filter is configured such that the transmission profile of the wedge filter comprises three constituent transmission profiles each having a single transmission band TD1-TD3 with a predefined transmittance TM.
  • the three constituent transmission profiles correspond respectively to three different (center) wavelengths i, 2, Z3 and the three transmission bands TD1-TD3 each have a predefined width in both the spectral and spatial domain.
  • the three transmission bands TD1-TD3 may have a same or different spectral width(s) (e.g., in terms of FWHM).
  • the three transmission bands TD1-TD3 overlap one another at a certain position POS on the wedge filter, and have different spatial widths each being suitably sized to maximize transmission (e.g., TM close to 100%) of the zeroth order diffracted radiation beam at a corresponding wavelength On, 0, , 0,j and maximize blocking (e.g., TM close to 0) of all the first order diffracted radiation beams -hi, -1x2, -1x3, + lxi, +1X2, +1X3-
  • Figure 17B shows an example transmission profile along the wedge direction of a wedge filter (e.g., as shown in Figure 15) configured for use in a wavelength selection module configured for first order mode operation (e.g., as shown in Figures 14A-14C).
  • the transmission profile shown in Figure 17B comprises three constituent transmission profiles each having two or a complementary pair of transmission bands; respectively: TD1_+1 and TD1_-1, TD2_+1 and TD2_-1, TD3_+1 and TD3_-1 with a predefined transmittance TM.
  • Each complementary pair of transmission bands may relate to a complementary pair (e.g., +1 and -1 although higher order pairs are possible) of diffraction orders from the GLV.
  • the three constituent transmission profiles correspond respectively to three different (center) wavelengths Zi, Zz, Z3 and the transmission bands TD1_+1, TD1_-1, TD2_+1 TD2_-1, TD3_+1, TD3_-1 each have a predefined width in both the spectral and spatial domain.
  • the transmission bands TD1_+1, TD1_-1, TD2_+1 TD2_-1, TD3_+1, TD3_-1 may have a same or different spectral width(s) (e.g., in terms of FWHM).
  • the complementary pair of transmission bands TD1_+1 and TD1_-1, TD2_+1 and TD2_-1, or TD3_+1 and TD3_-1 of each constituent transmission profile are substantially similar (e.g., in terms of the transmittance and spatial width) to each other and may be symmetrical about a blocking band BD located at a certain position POS on the wedge filter.
  • the respective complementary pair of transmission bands TD1_+1 and TD1_-1, TD2_+1 and TD2_-1, or TD3_+1 and TD3_-1 are configured to maximize transmission (e.g., TM close to 100%) of the two respective first order diffracted radiation beams at a corresponding wavelength - Ixi and +lxi, or -Ixz and +lxz, or -1x3 and +1x3 and maximize blocking (e.g., TM close to 0) of all the zeroth order diffracted radiation beams Oxi, Oxz, 0x3-
  • the transmission bands in one of the transmission profiles are spatially separated from the transmission bands in any of other transmission profiles.
  • the wedge filter functions as a position-dependent spectral bandpass filter.
  • the three constituent transmission profiles each comprise only a single respective transmission band.
  • the diffraction of the gap-order of the first wavelength Zi may also overlap with the first orders (-1x3, +lxs) of the third wavelength Z3.
  • aperture stops are no longer effective in blocking and selecting different wavelengths of radiation (e.g., those overlapping diffraction orders) whereas the wedge filter can still enable a good wavelength selection performance.
  • a source selection module comprising an adjustable diffraction element comprising multiple pixels; a light dispersive element configured for receiving a first light of a first wavelength and a second light of a second wavelength, spatially distributing the first light over a first pixel of the multiple pixels to generate a zeroth diffraction order of the first light and a non-zeroth diffraction order of the first light, and spatially distributing the second light over a second pixel of the multiple pixels to generate a zeroth diffraction order of the second light and a non-zeroth diffraction order of the second light; and a continuous variable filter comprising at least one first area and at least one second area; wherein the continuous variable filter is arranged at a position such that the zeroth order of the first light received by the at least one first area and the zeroth order of the second light received by the at least one second area are transmitted or reflected by the continuous variable filter, the non-zeroth order of the first light is blocked by the continuous variable filter outside of the at least one first area
  • each of the multiple pixels comprises a controllable grating.
  • a source selection module according to clause 2, wherein the adjustable diffraction element comprises a grating light valve.
  • a source selection module according to any of the preceding clauses, wherein the continuous variable filter is arranged on an optical path between the light dispersive element and the adjustable diffraction element.
  • a source selection module according to any of the preceding clauses, wherein the continuous variable filter is arranged at a distance from the adjustable diffraction element or at a distance from a conjugate image plane of the adjustable diffraction element, such that the zeroth diffraction order and non-zeroth diffraction order of the first light and the zeroth diffraction order and non-zeroth diffraction order of the second light are spatially separated.
  • the light dispersive element comprises a prism.
  • a source selection module according to any of clauses 1 to 5, wherein the light dispersive element comprises a light dispersive grating.
  • a source selection module according to any of the preceding clauses, wherein the continuous variable filter comprises a substrate coated with a continuously varying bandpass layer.
  • a source selection module wherein the continuously varying bandpass layer comprises repeating groups of sublayers, each group comprising at least two different coating materials.
  • a source selection module according to clause 9, wherein the at least two different coating materials comprise SiOz and TajOs-
  • a source selection module according to clause 9 or 10, wherein the thickness of each of the sublayers increases continuously along a direction in the plane of an interfacing surface between the substrate and the continuously varying bandpass layer.
  • a source selection module according to any of the preceding clauses, wherein a plane of the continuous variable filter is tilted relative to a plane of the adjustable diffraction element.
  • a source selection module according to any of the preceding clauses, wherein a filtering characteristic is linearly distributed over the area of the continuous variable filter.
  • a source selection module according to any of clauses 1 to 12, wherein a filtering characteristic is non-linearly distributed over the area of the continuous variable filter.
  • a source selection module according to any of preceding clauses, wherein the continuous variable filter has a first optical filtering characteristic in the first at least one area and a second optical filtering characteristics in the at least one second area.
  • a source selection module according to any of the preceding clauses, wherein the first wavelength and the second wavelength are both in a range from 400 nm - 900 nm, and optionally wherein the first wavelength and second wavelength are both in a range of 400 nm to 1600 nm.
  • a source selection module further comprising a first actuator configured to move a position of the continuous variable filter along a first direction, and wherein the position of the continuous variable filter along the first direction is configured to be adjusted to tune the first wavelength and the second wavelength.
  • a source selection module further comprising one or more second actuators configured to move the continuous variable filter along a second direction, and wherein a position of the continuous variable filter along the second direction is configured to tune a distance between the continuous variable filter and the adjustable diffraction element, and/or wherein the second actuators are configured to tune a tilt angle between the continuous variable filter with respect to an entrance plane the adjustable diffraction element.
  • a source selection module comprising an adjustable diffraction element comprising multiple pixels; a continuous variable filter comprising at least one first area configured to transmit light of a first wavelength, and at least one second area configured to transmit light of a second wavelength; wherein the continuous variable filter is configured for: receiving radiation comprising light of the first wavelength and light of the second wavelength; and filtering the incident radiation such that light of the first wavelength is incident on a first pixel of the multiple pixels to generate a zeroth diffraction order of the first light and a non-zeroth order of the first light, and such that light of the second wavelength is incident on a second pixel of the multiple pixels to generate a zeroth diffraction order of the second light and a non-zeroth diffraction order of the second light; and wherein the continuous variable filter is arranged at a position such that the zeroth order of the first light received by the at least one first area and the zeroth order of the second light received by the at least one second area are transmitted by the continuous variable filter, the non-zeroth order of
  • a source selection module according to clause 19, wherein light of the first wavelength and light of the second wavelength are incident on the at least one first area of the continuous variable filter, and light of the first wavelength and light of the second wavelength are incident on the at least one second area of the continuous variable filter.
  • a source selection module according to any of the preceding clauses, wherein no light of the second wavelength is incident on the first pixel and no light of the first wavelength is incident on the second pixel.
  • a source selection module according to any of clauses 19 to 21, further comprising a first actuator configured to move a position of the continuous variable filter along a first direction, and wherein the position of the continuous variable filter along the first direction is configured to be adjusted to tune the first wavelength and the second wavelength.
  • a source selection module according to any of clauses 19 to 22, further comprising one or more second actuators configured to move the continuous variable filter along a second direction, and wherein a position of the continuous variable filter along the second direction is configured to tune a distance between the continuous variable filter and the adjustable diffraction element, and/or wherein the second actuators are configured to tune a tilt angle between the continuous variable filter with respect to an entrance plane the adjustable diffraction element.
  • each of the multiple pixels comprises a controllable grating.
  • a source selection module according to clause 24, wherein the adjustable diffraction element comprises a grating light valve.
  • a source selection module according to any of clauses 19 to 25, wherein the continuous variable filter is arranged at a distance from the adjustable diffraction element or at a distance from a conjugate image plane of the adjustable diffraction element, such that the zeroth diffraction order and non-zeroth diffraction order of the first light and the zeroth diffraction order and non-zeroth diffraction order of the second light are spatially separated.
  • a source selection module according to any of clauses 19 to 26, wherein the continuous variable filter comprises a substrate coated with a continuously variable bandpass layer.
  • a source selection module according to clause 27, wherein the continuously varying bandpass layer comprises repeating groups of sublayers, each group comprising at least two different coating materials.
  • a source selection module according to clause 28, wherein the at least two different coating materials comprise SiOz and TajOs-
  • a source selection module according to clause 27 or 28, wherein the thickness of each of the sublayers increases continuously along a direction in the plane of an interfacing surface between the substrate and the continuously varying bandpass layer.
  • a source selection module according to any of clauses 19 to 30, wherein a plane of the continuous variable filter is tilted relative to a plane of the adjustable diffraction element.
  • a source selection module according to any of clauses 19 to 31, wherein a filtering characteristic is linearly distributed over the area of the continuous variable filter.
  • a source selection module according to any of clauses 19 to 32, wherein a filtering characteristic is non-linearly distributed over the area of the continuous variable filter.
  • a source selection module according to any of clauses 19 to 33, wherein the continuous variable filter has a first optical filtering characteristic in the at least one first area and a second optical filtering characteristics in the at least one second area.
  • a source selection module according to any of clauses 19 to 34, wherein the first wavelength and the second wavelength are both in a range from 400 nm - 1600 nm, and optionally wherein the first wavelength and second wavelength are both in a range of 400 nm to 1600 nm. 36.
  • a method comprising: receiving a first light of a first wavelength and a second light of a second wavelength spatially distributing, by a light dispersive element, the first light over a first pixel of an adjustable diffraction element comprising multiple pixels, and spatially distributing the second light over a second pixel of the multiple pixels of the adjustable diffraction element; generating, by the first pixel, a zeroth diffraction order of the first light and a non-zeroth diffraction order of the first light, and generating, by the second pixel, a zeroth diffraction order of the second light and a non-zeroth diffraction order of the second light; and receiving, by a continuous variable filter, the zeroth diffraction order of the first light in at least one first area of the continuous variable filter, the zeroth order of the second light in at least one second area of the continuous variable filter, the non-zeroth diffraction order of the first light outside of the at least one first area, and the non-zeroth
  • a non-transitory computer program product comprising machine -readable instructions therein, the instructions, upon execution by a computer system, configured to cause the computer system to control a source selection module according to any of clauses 1 - 35 for executing a method according to clause 30.
  • a processor and associated storage medium comprising the non-transitory computer program of clause 15 such that said processor is operable to control a source selection module according to any of clauses 1 - 35 for executing a method according to clause 36.
  • a metrology device comprising the processor and associated storage medium of clause 16 so as to be operable to control a source selection module according to any of clauses 1 - 35 for executing a method according to clause 36.
  • a lithographic apparatus comprising a source selection module according to any of clauses 1 - 35.
  • a lithographic cell comprising the processor and associated storage medium of clause 37 so as to be operable to control a source selection module according to any of clauses 1 - 35 for executing a method according to clause 36.
  • Embodiments may form part of a mask inspection apparatus, a metrology apparatus, or any apparatus that measures or processes an object such as a wafer (or other substrate) or mask (or other patterning device). These apparatuses may be generally referred to as lithographic tools. Such a lithographic tool may use vacuum conditions or ambient (non-vacuum) conditions.
  • Embodiments may form part of a mask inspection apparatus, a lithographic apparatus, or any apparatus that measures or processes an object such as a wafer (or other substrate) or mask (or other patterning device).
  • the term “metrology apparatus” (or “inspection apparatus”) may also refer to an inspection apparatus or an inspection system (or a metrology apparatus or a metrology system).
  • the inspection apparatus that comprises an embodiment may be used to detect defects of a substrate or defects of structures on a substrate.
  • a characteristic of interest of the structure on the substrate may relate to defects in the structure, the absence of a specific part of the structure, or the presence of an unwanted structure on the substrate.
  • targets or target structures are metrology target structures specifically designed and formed for the purposes of measurement
  • properties of interest may be measured on one or more structures which are functional parts of devices formed on the substrate.
  • Many devices have regular, grating-like structures.
  • structure, target grating and target structure as used herein do not require that the structure has been provided specifically for the measurement being performed.
  • pitch of the metrology targets may be close to the resolution limit of the optical system of the scatterometer or may be smaller, but may be much larger than the dimension of typical non-target structures optionally product structures made by lithographic process in the target portions C.
  • the lines and/or spaces of the overlay gratings within the target structures may be made to include smaller structures similar in dimension to the non-target structures.
  • the inspection or metrology apparatus that comprises an embodiment of the invention may be used to determine characteristics of structures on a substrate or on a wafer.
  • the inspection apparatus or metrology apparatus that comprises an embodiment of the invention may be used to detect defects of a substrate or defects of structures on a substrate or on a wafer.
  • a characteristic of interest of the structure on the substrate may relate to defects in the structure, the absence of a specific part of the structure, or the presence of an unwanted structure on the substrate or on the wafer.
  • electromagnetic radiations includes radio waves, microwaves, infrared, (visible) light, ultraviolet, EUV, SXR, HXR and gamma rays.

Abstract

Un module de sélection de source, celui-ci comprenant un élément de diffraction réglable comprenant de multiples pixels. Le module de sélection de source comprend en outre un élément de dispersion de lumière conçu pour recevoir une première et une seconde lumière. L'élément de dispersion de lumière distribue la première lumière sur un premier pixel pour générer un ordre de diffraction zéro et un ordre de diffraction non nul. L'élément de dispersion de lumière distribue en outre la seconde lumière dans l'espace sur un second pixel pour générer un ordre de diffraction zéro et un ordre de diffraction non nul. Le module de sélection de source comprend un filtre variable continu comprenant une première et une seconde zone. L'ordre zéro de la première lumière reçue par la première zone et l'ordre zéro de la seconde lumière reçue par la seconde zone sont transmis ou réfléchis. L'ordre non nul de la première lumière est bloqué à l'extérieur de la première zone, et l'ordre non nul de la seconde lumière est bloqué à l'extérieur de la seconde zone.
PCT/EP2023/077959 2022-10-17 2023-10-10 Appareil et procédés de filtrage de rayonnement de mesure WO2024083559A1 (fr)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
EP22201858.2A EP4357853A1 (fr) 2022-10-17 2022-10-17 Appareil et procédés de filtrage de rayonnement de mesure
EP22201858.2 2022-10-17
EP23160954 2023-03-09
EP23160954.6 2023-03-09
EP23170044.4 2023-04-26
EP23170044 2023-04-26

Publications (1)

Publication Number Publication Date
WO2024083559A1 true WO2024083559A1 (fr) 2024-04-25

Family

ID=88295915

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2023/077959 WO2024083559A1 (fr) 2022-10-17 2023-10-10 Appareil et procédés de filtrage de rayonnement de mesure

Country Status (1)

Country Link
WO (1) WO2024083559A1 (fr)

Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6947613B1 (en) 2003-02-11 2005-09-20 Silicon Light Machines Corporation Wavelength selective switch and equalizer
US6952253B2 (en) 2002-11-12 2005-10-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060033921A1 (en) 2004-08-16 2006-02-16 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
WO2009078708A1 (fr) 2007-12-17 2009-06-25 Asml Netherlands B.V. Outil et procédé de métrologie de superposition à base de diffraction
WO2009106279A1 (fr) 2008-02-29 2009-09-03 Asml Netherlands B.V. Procédé et appareil de métrologie, appareil lithographique et procédé de fabrication de dispositif
US20100201963A1 (en) 2009-02-11 2010-08-12 Asml Netherlands B.V. Inspection Apparatus, Lithographic Apparatus, Lithographic Processing Cell and Inspection Method
US20110026032A1 (en) 2008-04-09 2011-02-03 Asml Netherland B.V. Method of Assessing a Model of a Substrate, an Inspection Apparatus and a Lithographic Apparatus
US20110027704A1 (en) 2009-07-31 2011-02-03 Asml Netherlands B.V. Methods and Scatterometers, Lithographic Systems, and Lithographic Processing Cells
US20110043791A1 (en) 2009-08-24 2011-02-24 Asml Netherlands B.V. Metrology Method and Apparatus, Lithographic Apparatus, Device Manufacturing Method and Substrate
US20110102753A1 (en) 2008-04-21 2011-05-05 Asml Netherlands B.V. Apparatus and Method of Measuring a Property of a Substrate
US20110249244A1 (en) 2008-10-06 2011-10-13 Asml Netherlands B.V. Lithographic Focus and Dose Measurement Using A 2-D Target
US20120044470A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for Use in Metrology, Metrology Method and Device Manufacturing Method
US20120123581A1 (en) 2010-11-12 2012-05-17 Asml Netherlands B.V. Metrology Method and Inspection Apparatus, Lithographic System and Device Manufacturing Method
US20130258310A1 (en) 2012-03-27 2013-10-03 Asml Netherlands B.V. Metrology Method and Apparatus, Lithographic System and Device Manufacturing Method
US20130271740A1 (en) 2012-04-16 2013-10-17 Asml Netherlands B.V. Lithographic Apparatus, Substrate and Device Manufacturing Method
WO2013178422A1 (fr) 2012-05-29 2013-12-05 Asml Netherlands B.V. Procédé et appareil de métrologie, substrat, système lithographique et procédé de fabrication de dispositif
US20160161863A1 (en) 2014-11-26 2016-06-09 Asml Netherlands B.V. Metrology method, computer product and system
US20160370717A1 (en) 2015-06-17 2016-12-22 Asml Netherlands B.V. Recipe selection based on inter-recipe consistency
US20180059552A1 (en) * 2016-08-23 2018-03-01 Asml Netherlands B.V. Metrology Apparatus for Measuring a Structure Formed on a Substrate by a Lithographic Process, Lithographic System, and Method of Measuring a Structure Formed on a Substrate by a Lithographic Process
WO2022008160A1 (fr) * 2020-07-06 2022-01-13 Asml Netherlands B.V. Appareil d'éclairage et métrologie associée et appareils lithographiques

Patent Citations (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6952253B2 (en) 2002-11-12 2005-10-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US6947613B1 (en) 2003-02-11 2005-09-20 Silicon Light Machines Corporation Wavelength selective switch and equalizer
US20060033921A1 (en) 2004-08-16 2006-02-16 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
EP1628164A2 (fr) 2004-08-16 2006-02-22 ASML Netherlands B.V. Procédé et dispositif pour caractérisation de la lithographie par spectrométrie à résolution angulaire
US20060066855A1 (en) 2004-08-16 2006-03-30 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20100328655A1 (en) 2007-12-17 2010-12-30 Asml, Netherlands B.V. Diffraction Based Overlay Metrology Tool and Method
WO2009078708A1 (fr) 2007-12-17 2009-06-25 Asml Netherlands B.V. Outil et procédé de métrologie de superposition à base de diffraction
WO2009106279A1 (fr) 2008-02-29 2009-09-03 Asml Netherlands B.V. Procédé et appareil de métrologie, appareil lithographique et procédé de fabrication de dispositif
US20110026032A1 (en) 2008-04-09 2011-02-03 Asml Netherland B.V. Method of Assessing a Model of a Substrate, an Inspection Apparatus and a Lithographic Apparatus
US20110102753A1 (en) 2008-04-21 2011-05-05 Asml Netherlands B.V. Apparatus and Method of Measuring a Property of a Substrate
US20110249244A1 (en) 2008-10-06 2011-10-13 Asml Netherlands B.V. Lithographic Focus and Dose Measurement Using A 2-D Target
US20100201963A1 (en) 2009-02-11 2010-08-12 Asml Netherlands B.V. Inspection Apparatus, Lithographic Apparatus, Lithographic Processing Cell and Inspection Method
US20110027704A1 (en) 2009-07-31 2011-02-03 Asml Netherlands B.V. Methods and Scatterometers, Lithographic Systems, and Lithographic Processing Cells
WO2011012624A1 (fr) 2009-07-31 2011-02-03 Asml Netherlands B.V. Procédé et appareil de métrologie, système lithographique et cellule de traitement lithographique
US20110043791A1 (en) 2009-08-24 2011-02-24 Asml Netherlands B.V. Metrology Method and Apparatus, Lithographic Apparatus, Device Manufacturing Method and Substrate
US20120044470A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for Use in Metrology, Metrology Method and Device Manufacturing Method
US20120123581A1 (en) 2010-11-12 2012-05-17 Asml Netherlands B.V. Metrology Method and Inspection Apparatus, Lithographic System and Device Manufacturing Method
US20130258310A1 (en) 2012-03-27 2013-10-03 Asml Netherlands B.V. Metrology Method and Apparatus, Lithographic System and Device Manufacturing Method
US20130271740A1 (en) 2012-04-16 2013-10-17 Asml Netherlands B.V. Lithographic Apparatus, Substrate and Device Manufacturing Method
WO2013178422A1 (fr) 2012-05-29 2013-12-05 Asml Netherlands B.V. Procédé et appareil de métrologie, substrat, système lithographique et procédé de fabrication de dispositif
US20160161863A1 (en) 2014-11-26 2016-06-09 Asml Netherlands B.V. Metrology method, computer product and system
US20160370717A1 (en) 2015-06-17 2016-12-22 Asml Netherlands B.V. Recipe selection based on inter-recipe consistency
US20180059552A1 (en) * 2016-08-23 2018-03-01 Asml Netherlands B.V. Metrology Apparatus for Measuring a Structure Formed on a Substrate by a Lithographic Process, Lithographic System, and Method of Measuring a Structure Formed on a Substrate by a Lithographic Process
WO2022008160A1 (fr) * 2020-07-06 2022-01-13 Asml Netherlands B.V. Appareil d'éclairage et métrologie associée et appareils lithographiques

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
"AN ILLUMINATION MODULE FOR A METROLOGY DEVICE", vol. 704, no. 21, 31 October 2022 (2022-10-31), XP007150751, ISSN: 0374-4353, Retrieved from the Internet <URL:ftp://ftppddoc/RDData704_EPO.zip Pdf/704021.pdf> [retrieved on 20221031] *
"SOURCE SELECTION MODULE AND ASSOCIATED METROLOGY AND LITHOGRAPHIC APPARATUSES", vol. 690, no. 62, 23 September 2021 (2021-09-23), XP007149711, ISSN: 0374-4353, Retrieved from the Internet <URL:ftp://ftppddoc/RDData690_EPO.zip Pdf/690062.pdf> [retrieved on 20210923] *
"SOURCE SELECTION MODULE AND ASSOCIATED METROLOGY AND LITHOGRAPHIC APPARATUSES", vol. 698, no. 74, 20 May 2022 (2022-05-20), XP007150339, ISSN: 0374-4353, Retrieved from the Internet <URL:ftp://ftppddoc/RDData698_EPO.zip Pdf/698074.pdf> [retrieved on 20220520] *

Similar Documents

Publication Publication Date Title
US10338401B2 (en) Illumination system, inspection apparatus including such an illumination system, inspection method and manufacturing method
US10670974B2 (en) Metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
US10126237B2 (en) Inspection apparatus and device manufacturing method
JP6500107B2 (ja) 光学瞳対称化のための方法および装置
TWI544287B (zh) 檢測裝置及方法、微影裝置、微影處理製造單元及元件製造方法
US11940739B2 (en) Metrology apparatus
TW201443580A (zh) 檢查方法和裝置,微影裝置,微影製程單元及器件製造方法
TW201317717A (zh) 檢驗裝置,微影裝置及元件製造方法
TWI722540B (zh) 度量衡裝置
TWI691800B (zh) 量測系統、微影系統及量測目標的方法
US20200278295A1 (en) Beam Pointing Monitor and Compensation Systems
US9081304B2 (en) Substrate, an inspection apparatus, and a lithographic apparatus
TWI662375B (zh) 可撓式照明器
EP3528048A1 (fr) Appareil de métrologie et procédé de détermination d&#39;une caractéristique d&#39;intérêt d&#39;une structure sur un substrat
EP4357853A1 (fr) Appareil et procédés de filtrage de rayonnement de mesure
US20220276180A1 (en) Illumination and detection apparatus for a metrology apparatus
WO2024083559A1 (fr) Appareil et procédés de filtrage de rayonnement de mesure
EP4279993A1 (fr) Module de sélection de source et appareil de métrologie associé
WO2023208487A1 (fr) Module de sélection de source et appareil de métrologie associé
EP4279994A1 (fr) Module d&#39;éclairage et procédés associés et appareil de métrologie
EP4354200A1 (fr) Système optique de correction d&#39;aberration
EP4187321A1 (fr) Procédé de métrologie et outil de métrologie associé
EP4361703A1 (fr) Module d&#39;éclairage pour dispositif de métrologie
TW202409553A (zh) 源選擇模組及其相關度量衡及微影設備
WO2023222328A1 (fr) Module d&#39;éclairage et procédés et appareil de métrologie associés