WO2024081584A1 - Composition and method for nanotwinned copper formation - Google Patents

Composition and method for nanotwinned copper formation Download PDF

Info

Publication number
WO2024081584A1
WO2024081584A1 PCT/US2023/076363 US2023076363W WO2024081584A1 WO 2024081584 A1 WO2024081584 A1 WO 2024081584A1 US 2023076363 W US2023076363 W US 2023076363W WO 2024081584 A1 WO2024081584 A1 WO 2024081584A1
Authority
WO
WIPO (PCT)
Prior art keywords
copper
electroplating solution
nanotwinned
compound
leveler
Prior art date
Application number
PCT/US2023/076363
Other languages
French (fr)
Inventor
Stephan I. Braye
Pingping Ye
Jianwen Han
Kyle M. WHITTEN
Thomas B. Richardson
Original Assignee
Macdermid Enthone Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Macdermid Enthone Inc. filed Critical Macdermid Enthone Inc.
Publication of WO2024081584A1 publication Critical patent/WO2024081584A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated

Definitions

  • the present invention generally relates to the electrodeposition of nanotwinned copper on various substrates and copper electroplating plating baths for producing high density nanotwinned copper deposits.
  • Electrochemical deposition processes are well-established in integrated circuit fabrication processes. Copper lines can be formed by electroplating the metal into very thin, high-aspect-ratio trenches and vias in a methodology commonly referred to as “damascene” processing (pre-passivation metallization).
  • Copper is one of the most essential conductors in microelectronic devices due to high ductility and conductivity.
  • One method towards this goal is the removal of solder between two separate substrates that connect copper vias, pads, bumps, or pillars, which can be replaced by, for example, Cu-Cu hybrid bonding.
  • Nanotwinned copper Due to the combination of excellent mechanical properties, good conductivity, and unique structure, nanotwinned copper has drawn attention for use in microelectronics.
  • the mechanical strength of metals such as copper generally increases when the size of the crystal grain is reduced to a nanoscale level.
  • Nanotwinned copper represents ultrafine-grain copper whose grains contain a high density of layered nanoscopic twins divided by coherent twin boundaries. By introducing nanoscale twins into the micro structure of copper, properties including mechanical strength, ductility, electromigration resistivity, and hardness can be improved.
  • Nanoscale levels of thin metal films can have exemplary mechanical properties.
  • Nanotwinned copper can be achieved in several ways, including, for example, by sputtering and by electrolytic deposition using a copper electroplating composition that has been optimized to produce nanotwinned copper.
  • One of the advantages of sputtering is the high purity in the copper film, with the ability to contour the preferred orientation of grains.
  • Sputtered (11 l)-oriented nanotwinned copper has been shown to have high thermal stability and strength.
  • Electroplated nanotwinned copper can be classified into two groups - equiaxial grain nanotwinned copper and (l ll)-oriented nanotwinned copper.
  • Crystal defects can influence mechanical, electrical, and optical properties of a material. Twinning occurs in a material where two parts of a crystal structure are symmetrically related to one another.
  • coherent twin boundaries can be formed as (111) mirror planes from which the typical stacking sequence of (111) planes is reversed. In other words, adjacent grains are mirrored across coherent twin boundaries in a layered (11 l)-structure.
  • Twins grow in a layer-by-layer manner extending along a lateral (111) crystal plane where a twin thickness is on the order of nanometers.
  • Nanotwinned copper exhibits excellent mechanical and electrical properties and can be used in a wide variety of applications in wafer-level packaging and advanced packaging designs.
  • nanotwinned copper Compared to copper exhibiting conventional grain boundaries, nanotwinned copper possesses strong mechanical properties, including high strength and high tensile ductility. For example, nanotwinned copper demonstrates high electrical conductivity, which may be attributable to the twin boundary, causing electron scattering that is less significant compared to a grain boundary. Nanotwinned copper also exhibits high thermal stability, which may be attributable to the twin boundary having excess energy on the order of magnitude lower than that of a grain boundary and enables high copper atom diffusivity, which is useful for copper-to- copper direct bonding. In addition, nanotwinned copper shows high resistance to electromigration, which may be a result of twin boundaries slowing down electromigration- induccd atomic diffusion.
  • Nanotwinned copper demonstrates a strong resistance to seed etch that may be important in fine-line redistribution layer applications and also shows low impurity incorporation, which results in fewer Kirkendall voids as a result of soldered reactions with the nanotwinned copper.
  • nanotwinned copper enables direct copper-copper bonding, which may occur at low temperatures, moderate pressures, and lower bonding forces/times.
  • the deposition of copper structures results in rough surfaces, and in some instances, prior to coppercopper bonding, electrodeposition of nanotwinned copper may be followed by electropolishing to achieve smooth surfaces. With the smooth surfaces, the nanotwinned copper structure may be used in copper-copper bonding with shorter bonding times, lower temperatures, and fewer voids.
  • U.S. Pat. No. 7,074,315 to Desmaison et al. describes a copper electrolyte for depositing a matte layer of copper. However, there is no suggestion regarding the use of Desmaison’ s copper electrolyte for depositing nanotwinned copper.
  • W02020/092244 to Banik et al. describes a copper structure having a high density of nanotwinned copper deposited on a substrate.
  • B nik does not describe any particular electrolytic copper plating bath but instead focuses on electroplating conditions, including applying a pulsed current waveform that alternates between constant current and no current, where the duration of no current being applied is substantially greater than a duration of a constant current being applied.
  • U.S. Pat. No. 10,566,314 to Yang describes how the optimal copper grain structure for Cu-Cu metal to metal bonding is columnar grain microstructure.
  • the copper grain microstructure plated by the disclosed suppressor-only system produces a columnar grain structure as a result of plating nanotwinned copper.
  • the present invention generally relates to a copper electroplating solution, wherein the copper electroplating solution comprises: a) a copper salt; b) a source of halide ions; and c) a suppressor, wherein the suppressor comprises a reaction product of a reactant with 2,3-cpoxy-l-propanol, wherein the reactant comprises at least one of an amine and a sulfur-containing compound; wherein the copper electroplating solution is configured to deposit a high density of nanotwinned copper on a substrate.
  • the copper electroplating solution comprises: a) a copper salt; b) a source of halide ions; and c) a suppressor, wherein the suppressor comprises a reaction product of a reactant with 2,3-cpoxy-l-propanol, wherein the reactant comprises at least one of an amine and a sulfur-containing compound; wherein the copper electroplating solution is configured to deposit a high density of nanotwinned copper on a substrate.
  • the copper electroplating solution may also optionally comprise one or more of: a) an accelerator, wherein the accelerator comprises an organic sulfur compound; and b) a leveler, wherein the leveler comprises a polymeric quaternary nitrogen species.
  • the present invention also relates generally to a method of using the copper electroplating solution described herein to deposit a high density of nanotwinned copper on a substrate, including surfaces that are not dominated by (11 l)-copper.
  • Figure 1 depicts an SEM of copper deposited on (111) dominated PVD copper and copper deposited on poly crystalline copper for the Baseline Composition and Compound 1 Composition.
  • Figure 2 depicts a 50K zoom of the transition layer of the nanotwinned copper deposited from the Compound 1 Composition on the polycrystalline copper.
  • Figure 3 depicts an SEM of copper deposited on (111) dominated PVD copper and copper deposited on polycrystalline copper for the Compound 2A Composition and the Compound 2B Composition.
  • Figure 4 depicts an SEM of copper deposited on (111) dominated PVD copper, stainless steel, and PVD ruthenium surfaces for the Baseline Composition and Compound 1 Composition.
  • Figure 5 depicts a 20K zoom of the transition layer of the nanotwinned copper deposited from the Compound 2A Composition in accordance with Example 3.
  • Figure 6 depicts a 20K zoom of the transition layer deposited from the Compound 2A Composition in accordance with Comparative Example 4.
  • Figure 7 depicts a 20K zoom of the transition layer deposited from the Compound 2A Composition in accordance with Comparative Example 5.
  • Figure 8 depicts a 20K zoom of the transition layer of the nanotwinned copper deposited from the Compound 2A Composition in accordance with Example 6.
  • Figure 9 depicts a 20K zoom of the transition layer of the nanotwinned copper deposited from the Compound 2A Composition in accordance with Example 7.
  • the term “about” refers to a measurable value such as a parameter, an amount, a temporal duration, and the like and is meant to include variations of +/- 15% or less, preferably variations of +/-10% or less, more preferably variations of +/-5% or less, even more preferably variations of +/-1% or less, and still more preferably variations of +/-0.1 % or less of and from the particularly recited value, in so far as such variations are appropriate to perform in the invention described herein. Furthermore, it is also to be understood that the value to which the modifier “about” refers is itself specifically disclosed herein.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, are used for ease of description to describe one element or feature’s relationship to another element(s) or feature(s) as illustrated in the figures. It is further understood that the terms “front” and “back” are not intended to be limiting and are intended to be interchangeable where appropriate.
  • the term “substantially free” or “essentially free” if not otherwise defined herein for a particular element or compound means that a given element or compound is not detectable by ordinary analytical means that are well known to those skilled in the art of metal plating for bath analysis. Such methods typically include atomic absorption spectrometry, titration, UV-Vis analysis, secondary ion mass spectrometry, and other commonly available analytically techniques.
  • features refers to vias, through-silicon vias (TSV), trenches, pillars, pad, bumps, etc. that may be present on a microelectronic substrate.
  • TSV through-silicon vias
  • the term “high density” of nanotwinned copper refers to a copper deposit that contains at least 75% or at least 80% or at least 85% or at least 90% or at least 95% of nanotwinned columnar copper grains in the deposit.
  • composition and “bath” and “electrolyte” and “solution” are used interchangeably throughout this specification.
  • alkyl unless otherwise described in the specification as having substituent groups, means an organic chemical group composed of only carbon and hydrogen and having a general formula: CnHin+i.
  • ntCu nanotwinned copper
  • a copper deposit that exhibits a high degree of nanotwinning.
  • One such material is poly(2,3-epoxy-l-propanol), which is a linear or branched polyhydroxyl compound with a molecular weight of about 200 to about 20,000, more preferably about 500 to about 5.000, even more preferably about 1,000 to about 3,000.
  • the present invention generally relates to the electrodeposition of nanotwinned copper, and a copper electroplating solution that is configured to produce a copper deposit that exhibits a high density of nanotwinned copper on various surfaces.
  • the copper electroplating solution comprises: a) a copper salt; b) a source of halide ions; and c) a suppressor, wherein the suppressor comprises a reaction product of a reactant with 2,3-epoxy-l-propanol, wherein the reactant comprises at least one of an amine and a sulfur-containing compound.
  • the copper electrolyte may also optionally comprise one or more of: a) an accelerator, wherein the accelerator comprises an organic sulfur compound; and b) a leveler, wherein the leveler comprises a polymeric quaternary nitrogen species;
  • the copper salt comprises copper sulfate.
  • Other copper salts usable in the composition include copper methane sulfonate, copper pyrophosphate, copper propanesulfonate, and other similar compounds.
  • the concentration of copper sulfate in the electroplating solution is generally in the range of about 1-100 g/L, more preferably in the range of about 20 to about 80 g/L, more preferably within the range of about 40 to about 60 g/L.
  • halide ions act as a bridge to assist adsorption of certain organic additives onto a substrate surface.
  • Halide ions include, but are not limited to, chloride ions, bromide ions, iodide ions, and combinations thereof.
  • the halide ions comprise chloride ions.
  • the concentration of chloride ions in the electroplating solution is generally within the range of about 1-150 mg/L, more preferably about 30-120 mg/L, most preferably about 45-75 mg/L.
  • the electroplating composition contains an acid to control the conductivity of the plating bath, and suitable acids include sulfuric acid and methane sulfonic acid.
  • the acid is sulfuric acid.
  • the concentration of acid in the electroplating solution is generally within the range of about 0 to 240 g/L, more preferably within the range of about 10 to about 180 g/L, more preferably within the range of about 80 to about 140 g/L. in one embodiment, the concentration of the acid is in the range of about 8 to about 15 g/L, more preferably about 10 g/L.
  • the inventors of the present invention have surprisingly discovered that the concentration of the acid can have a profound effect on the ability to develop nanotwinning and the compositions containing a lower acid concentration tend to be much more forgiving to ntCu formation than similar compositions containing a higher concentration of acid.
  • the suppressor comprises a reaction product of an amine or sulfur- containing compound with 2,3-epoxy-l-propanol.
  • the resulting linear or branched polyhydroxyl generally has a molecular weight of about 200 to about 20,000 g/mol, more preferably about 500 to about 5,000 g/mol, most preferably about 1,000 to about 3,000 g/mol.
  • Suitable amines include ethanolamine, diethanolamine, triethanolamine, propanolamine, isopropanolamine, diisopropanolamine, triisopropanolamine, N-methyl diethanolamine, N-ethyl diethanolamine, N-propyl diethanolamine, methyl monoethanolamine, N.N-dimethyl ethanolamine, N,N-diethyl ethanolamine, N-propyl monoethanolamine, N-propyl diethanolamine, N-butyl ethanolamine, N-butyl diethanolamine, N,N-dibutyl ethanolamine, hydroxy ethyl morpholine, 2-piperidino ethanol, diethanol isopropanolamine, N-(2- hydroxyethyl) pyrrolidine, 4-pyridinemethanol, 4-pyridineethanol, 4-pyridinepropanol, 2- hydroxy-4-methylpyridine, 2-hydroxymethyl- 1 -methylimidazole, 4-hydroxymethyl-5- methylimidazole, 4-
  • amines include tertiary amines, such as, 3 -hydroxypropyldimethylamine, n- butyldimethylamine, di(3-hydroxypropyl)methylamine, 2,3-dihydroxypropyldimethylamine, 3- hydroxypropyldiethylamine, 2-hydroxypropyldimethylamine, 4-hydroxybutyldimethylamine, 2- hydroxyethyldimethylamine, n-propyldimethylamine, 2-hydroxyethoxyethyldimethylamine, di(2-hydroxyethyl)methylamine, benzyldimethylamine, and 4-hydroxybenzyldimethyleamine, 4- methylpyridine, 3 -ethylpyridine, 4-propylpyridine, 4-tertbutylpyridine, 4-cy anopyridine, 4- isopropylpyridine, 4-methoxypyridine, 3,4-lutidine, 3-methoxypyridine, and 4-pyridinemethanol, 2-dimethylamin
  • Another suitable amine compound is bis(2-hydroxyethyl)dimethyl ammonium chloride.
  • Other similar amine compounds that are capable of reacting with the 2,3-epoxy-l- propanol to produce a reaction compound are also usable as the suppressor of the instant invention. What is important is that the reaction compound is one that, when used in the copper electroplating solution at a suitable composition, is capable of and/or configured to initiate a copper deposit having a high density of nanotwinned copper on various substrates, which substrates include surfaces that are not dominated by (11 l)-copper.
  • sulfur compounds include, but are not limited to, thioglycolic acid, thiomalic acid, sodium hydrogen sulfide, thiodiglycolic acid, thiodicthylcnc glycol, thiourea, N,N,N’N’-tetramethylthiourea, 2-mercaptoethanol, 3 -mercaptopropanol, 2-mercaptoimidazole, 2-mercaptopyridine, 4-mercaptopyridine, 4-mercaptophenol, 3-mercapto-l -propanesulfonic acid, 3,6-dithia- 1 ,8-octanediol, 2,2’ -thiodiethanethiol, 2-hydroxyethyldisulfide, 3 ,3 ’ -thiodipropanol, and 2,2'-(ethylenedioxy)diethanethiol.
  • Other reactants that are usable in the copper electrolyte to initiate a copper deposit having a high density of nanotwinned copper on substrates that are not dominated by (11 l)-copper include various pyridines and imidazoles. Again, such pyridines and/or imidazoles must be such that when used in the copper electroplating solution at a suitable composition, are capable of and/or configured to initiate a copper deposit having a high density of nanotwinned copper on various substrates, including substrates that are not dominated by (11 l)-copper.
  • a combination of reactants is used, such as an amine and a sulfur- containing compound and the combination of reactants is reacted with 2,3-epoxy-l-propanol.
  • the suppressor may comprise a reaction product of bis(2-hydroxyethyl)dimethyl ammonium chloride and 2,2’-thiodiethanol with 2,3-epoxy-l-propanol.
  • the suppressor compound comprises 90.0-99.9 wt.% of the 2,3- epoxy-l-propanol and 0.1 to 10.0 wt.% of the one or more reactants, more preferably 95.0-99.5 wt.% of 2,3-epoxy-l-propanol and 0.5 to 5.0 wt.% of the one or more reactants, more preferably 97.0 to 99.0 wt.% of the 2,3-epoxy-l-propanol and 2.0 to 3.0 wt.% of the one or more reactants.
  • the concentration of the linear or branched polyhydroxyl suppressor compound in the copper electroplating solution is within the range of about 1 to about 10,000 mg/L, more preferably about 10 to about 1,000 mg/L, more preferably about 50 to about 600 mg/L, more preferably about 300 to about 500 mg/L.
  • the copper electroplating solution may optionally include an accelerator and/or a leveler.
  • the accelerator may comprise, for example, an organic sulfur compound, including an organic sulfur salt.
  • organic sulfur compounds include, but arc not limited to bis-(3-sulfopropyl)-disulfide (SPS), 3-mercapto-l-propanesulfonic acid (MPS), 3- (benzothizolyl-2-mercapto)-propylsulfonic acid (ZPS), N,N-dimethyldithiocarbamylpropyl sulfonic acid (DPS), 3-S-isothiuronium propyl sulfonate (UPS), and (O-ethyldithiocarbonato)-S- (3-sulfopropyl)cstcr (OPX).
  • SPS bis-(3-sulfopropyl)-disulfide
  • MPS 3-mercapto-l-propanesulfonic acid
  • ZPS 3- (benzothizolyl-2-mercapto)-propylsulf
  • the accelerator comprises ZPS or UPS. In another embodiment, the accelerator consists of only ZPS and/or UPS and the copper electrolyte is at least substantially free of any higher strength accelerators such as MPS or SPS.
  • the concentration of the accelerator depends in part on the particular accelerator that is used in the copper electroplating solution and the weaker accelerators may be used at a higher concentration than the stronger accelerators.
  • ZPS and UPS may be used in the copper electroplating solution at a higher concentration than SPS.
  • the use of a stronger accelerator such as SPS as the accelerator may also require that a high density nanotwinned copper deposit be applied as a base layer prior to a subsequent layer being deposited using a copper electroplating solution that contains SPS.
  • the concentration of the accelerator is preferably less than about 10 mg/L, more preferably within the range of about 1 to about 8 mg/L or within the range of about 1 to about 3 mg/L, depending in part on the particular suppressor and accelerator combination.
  • the leveler compound may include a polymeric quaternary nitrogen species such as described in WO2018/057590, U.S. Pat. No. 10,519,557, and U.S. Pat. No. 10,294,574, the subject matter of each of which is herein incorporated by reference in its entirety.
  • Other leveler compounds include dipyridyl levelers such as those described in U.S. Pat. No. 7,303,992 and U.S. Pat. Pub. No. 2005/0045488, the subject matter of each of which is herein incorporated by reference in its entirety.
  • the leveler may comprise the reaction product of an aliphatic di(t-amine) with a bifunctional alkylating agent corresponding to the formula: wherein: G is selected from the group consisting of a single covalent bond, -O-, O-((A) r - O) s - and -((A)r-O)s-; A has the structure -CR 3 R 4 - or -C(R 3 )(R 4 )C(R 33 )(R 34 )- ; each of p and r is independently an integer between 1 and 6 inclusive, s is an integer between 1 and 10 inclusive, q is an integer between 0 and 6 inclusive; each of R 1 , R 2 , R 3 , R 4 , R 5 , R 6 and R 34 is independently selected from the group consisting of hydrogen and substituted or unsubstituted aliphatic hydrocarbyl comprising 1 to 4 carbon atoms; R 33 is substituted or unsubstituted
  • the leveler may also comprise an oligomer and/or polymer compound selected from the group consisting of salts comprising a cation having the structure: wherein: G and A are as defined above; B has the structure;
  • D has the structure; is the residue of an N,N'-dialkyl heterocyclic diamine bonded to -(CR 1 R 2 ) P -G-(CR 5 R 6 ) q ]- at the respective t-aminc sites to form a di(quatcrnary ammonium) cationic structure; each of p, r, t, u, w and y is an integer between 1 and 6 inclusive, each of q, v, x, k, and z is independently an integer between 0 and 6 inclusive, s is an integer between 1 and 10 inclusive, k is at least one when v or x is other than 0, q is at least one when G is other than a single covalent bond; each of R 1 to R 6 , R 9 to R 19 , R 23 , R 25 and R 34 is independently selected from the group consisting of hydrogen or lower alkyl comprising 1 to 4 carbon atoms, each of R 7 , R 8 , R 20 , R , R ,
  • the leveler may also comprise compounds corresponding to the formula: or wherein: G, A, B and D are as defined above; is the residue of an N.N'-dialkyl heterocyclic diamine bonded to -(CR 1 R 2 ) P -G-(CR 5 R 6 ) q ]- at the respective t-amine sites to form a di(quaternary ammonium) cationic structure; each of p, r, t, u, w and y is an integer between 1 and 6 inclusive, each of q, v, x, k, and z is independently an integer between 0 and 6 inclusive, s is an integer between 1 and 10 inclusive, k is at least one when v or x is other than 0, q is at least one when G is other than a single covalent bond; each of R 1 to R 6 , R 9 to R 19 , R 23 , R 25 and R 34 is independently selected from the group consisting of hydrogen or lower alkyl comprising 1 to 4 carbon atom
  • R 30 is selected from the group consisting of aliphatic hydrocarbyl, hydroxyl, alkoxy, cyano, carboxyl, alkoxycarbonyl, and amido.
  • the leveler may also comprise a quaternized poly(epihalohydrin) comprising n repeating units corresponding to structure IN and p repeating units corresponding to structure IP: wherein Q has a structure corresponding to that which may be obtained by reacting a pendent methylene halide group of poly(cpihalohydrin) with a tertiary amine selected from the group consisting of: (i) NR 1 R 2 R 3 wherein each of R 1 , R 2 and R 3 is independently selected from the group consisting of substituted or unsubstituted alkyl, substituted or unsubstituted alkenyl, substituted or unsubstituted alkynyl, substituted or unsubstituted alicyclic, substituted or unsubstituted aralkyl, substituted or unsubstituted aryl and substituted or unsubstituted heterocyclic; (ii) an N-substituted and optionally further substitute
  • X is a halo substituent
  • X’ is a monovalent anion.
  • Q corresponds to structure IIA, IIB or IIC: or
  • structure IIB is an N-substituted heterocyclic moiety
  • structure IIC is a heterocyclic moiety
  • each of R 1 , R 2 , R 3 and R 4 is independently selected from the group consisting of substituted or unsubstituted alkyl, substituted or unsubstituted alkenyl, substituted or unsubstituted alkynyl, substituted or unsubstituted aralkyl, substituted or unsubstituted alicyclic, substituted or unsubstituted aryl, and substituted or unsubstituted heterocyclic; and (iv) each of R 5 , R 6 , R 7 , R 8 and R 9 is independently selected from the group consisting of hydrogen, substituted or unsubstituted alkyl, substituted or unsubstituted alkenyl, substituted or unsubstituted alkynyl, substituted or unsubstituted a
  • the leveler may also comprise a substituted pyridyl compound, which may be, for example, a pyridinium compound and, in particular, a quaternized pyridinium salt.
  • substituted pyridyl compounds include, but are not limited to, derivatives of a vinyl pyridine, such as derivatives of 2-vinyl pyridine and derivatives of 4-vinyl pyridine, homopolymers of vinyl pyridine, co-polymers of vinyl pyridine, quaternized salts of vinyl pyridine, and quaternized salts of these homo-polymers and co-polymers.
  • Such compounds include, for example, poly(4- vinyl pyridine), the reaction product of poly(4-vinyl pyridine) with dimethyl sulfate, the reaction product of 4-vinyl pyridine with 2-chloroethanol, the reaction product of 4-vinyl pyridine with benzylchloride, the reaction product of 4-vinyl pyridine with allyl chloride, the reaction product of 4-vinyl pyridine with 4- chloromethylpyridine, the reaction product of 4-vinyl pyridine with 1,3-propane sultone, the reaction product of 4-vinyl pyridine with methyl tosylate, the reaction product of 4-vinyl pyridine with chloroacetone, the reaction product of 4-vinyl pyridine with 2- methoxyethoxymethylchloride, the reaction product of 4-vinyl pyridine with 2-chloroethylether, the reaction product of 2-vinyl pyridine with methyl tosylatc, the reaction product of 2-vinyl pyridine
  • polymeric quaternary nitrogen species can also be used as levelers in the copper electroplating composition described herein so long as they are compatible with the suppressor (and accelerator) and do not detract from the capability of initiating a nanotwinned copper deposit on various substrates.
  • suitable leveler species include, but are not limited to a reaction product of 4,4-dipyridyl and 2-chloroethylether.
  • concentration of the leveler depends in part on the particular leveler being used as well as the particular suppressor and accelerator and the process conditions.
  • the leveler is present in the copper electrolyte at a concentration within a range of less than about 10 mg/L or within a range of about 0.5 to about 10 mg/L, more preferably about 2 to about 5 mg/L.
  • the copper electroplating composition comprises a two or three component copper electroplating bath that is used in a step-current plating method to enable nanotwinned copper microstructure via filling.
  • a two-component copper electroplating bath can be used comprising the suppressor compound described herein and leveler comprising a polymeric quaternary nitrogen species as described above.
  • a three-component copper electroplating bath can be used comprises the suppressor compound described herein, an accelerator comprising an organic sulfur compound, preferably UPS, and a leveler comprising a polymeric quaternary nitrogen species.
  • a ramping current where the current ramps from high to low, as opposed to step current changes, can also be applied to the 2- and 3-component copper plating bath to accomplish via filling with nanotwinned copper microstructure.
  • the copper electroplating solution comprises: A) about 40 to about 60 g/L copper ions;
  • F) optionally, about 1 to about 50 mg/L of an accelerator, the accelerator comprising an organic sulfur compound.
  • the copper electroplating solution consists essentially of:
  • leveler comprising a polymer quaternary nitrogen species.
  • the copper electroplating solution consists essentially of:
  • the copper electrolyte of the present invention comprises a lower amount of sulfuric acid.
  • the copper electrolyte may comprise: A) about 5 to about 50 g/L copper ions;
  • F) optionally, about 1 to about 50 mg/L of an accelerator, the accelerator comprising an organic sulfur compound.
  • the copper electroplating solution consists essentially of:
  • leveler comprising a polymer quaternary nitrogen species.
  • the copper electroplating solution consists essentially of:
  • composition is free of any additive that would have a detrimental effect on the ability of the composition to initiate a copper deposit having a high density of nanotwinned copper on a substrate, including a substrate that is a non-(l 11) copper substrate.
  • the present invention also relates generally to a method of electroplating nanotwinned copper on a substrate, the method comprising the steps of:
  • the current density is generally in the range of about 0.01 to about 50 ASD, more preferably about 0.5 to about 20 ASD, most preferably about 1 to about 10 ASD.
  • the electroplating solution is preferably agitated, and the electroplating solution is generally mixed at about 1 to about 2,500 rpm, more preferably about 10 to about 1,200 rpm, most preferably about 50 to about 400 rpm.
  • the anode can be an insoluble or soluble anode.
  • An insoluble anode is preferred.
  • the copper is electrodeposited for some time to initiate a nanotwinned copper deposit to a thickness of about 0.1 to about 1,000 pm, more preferably about 0.3 to about 200 pm, most preferably about 1 to about 100 pm.
  • Substrates that can be plated with the copper electroplating solution described herein include printed wiring boards (PWB), printed circuit boards (PCB), and other electronic substrates that may include one or more pillars, pads, lines, and vias, including surfaces that are non-( 111) copper, such as polycrystalline copper seed layers, stainless steel, and PVD ruthenium.
  • PWB printed wiring boards
  • PCB printed circuit boards
  • other electronic substrates may include one or more pillars, pads, lines, and vias, including surfaces that are non-( 111) copper, such as polycrystalline copper seed layers, stainless steel, and PVD ruthenium.
  • the presence of nanotwinned grain structures can be observed using any suitable microscopy technique, such as an electron microscopy technique.
  • the amount of nanotwinned grain structure in the copper deposit is preferably greater than about 80%, more preferably greater than about 90% nanotwinned columnar copper grains, which is estimated based on SEM cross-sections.
  • nanotwinned copper structures may be characterized by a plurality of (11 l)-oricntcd crystal copper grains containing a majority of nanotwins.
  • the plurality of (11 l)-oriented crystal copper grains contain a high density of nanotwins.
  • a “high density of nanotwins” may refer to copper structures having greater than about 80% nanotwinning, and even greater than about 90% nanotwinning as observed using suitable microscopy techniques.
  • the crystal orientation of the crystal copper grains may be characterized using a suitable technique such as electron backscatter diffraction (EBSD) analysis.
  • EBSD electron backscatter diffraction
  • crystal orientation maps may be displayed in inverse pole figure (IPF) maps.
  • IPF inverse pole figure
  • Compound 1 reaction product of 4-pyridinemethanol (1% by wt.) with 2,3-epoxy-l- propanol (99% by wt.) to generate a polymer.
  • Compound 2 A 1 wt .% bis(2-hydroxyethyl)dimethylammonium chloride was reacted with 99 wt.% 2,3-epoxy-l-propanaol to generate a polymer.
  • Compound 2B 1 wt .% bis(2-hydroxyethyl)dimethylammonium chloride and 1 wt.% 2,2 ’-thiodiethanol were reacted with 98 wt.% 2,3-epoxy-l-propanol to generate a polymer.
  • the suppressor compounds were prepared by reacting the amine or sulfur-containing compound with 2,3-epoxy-l-propanol.
  • Example 1 [0104] A copper electrolyte was prepared containing a solution of 40 g/L copper(II) ions, 10 g/L sulfuric acid, 50 mg/L chloride ion, and 400 mg/L of an aminic polyhydroxyl suppressor (Baseline Composition) and a second copper electrolyte was prepared in a similar manner as the Baseline Composition but substituting 400 mg/L of Compound 1 (Composition with Compound 1).
  • Baseline Composition an aminic polyhydroxyl suppressor
  • the two electrolytes were used to initiate an intermediate nanotwinned copper deposit from (111) dominated PVD copper seed and polycrystal copper seed at a constant current of 1 ASD.
  • Figure 2 depicts a 50K zoom of the transition layer of the Compound 1 Composition plated on a polycrystal copper seed. As seen in Figure 2, the Compound 1 Composition was able to generate ntCu from poly crystalline copper seed after 111 nm of deposition to a total thickness of about 2.8 pm.
  • the Compound 1 Composition was able to generate a preponderance of nanotwinned copper from stainless steel and ruthenium substrates, while the Baseline Composition was not able to do so.
  • a copper electrolyte was prepared containing a solution of 40 g/L copper(II) ions, 10 g/L sulfuric acid, 50 mg/L chloride ion, and 400 mg/L of Compound 2A and a second copper electrolyte was prepared in a similar manner but substituting 400 mg/L of Compound 2B.
  • the two electrolytes were used to initiate an intermediate nanotwinned copper deposit from (111) dominated PVD copper seed and polycrystal copper seed at a constant current of 1 ASD.
  • a suppressor described above which comprises a reaction product of an amine or sulfur-containing compound with 2,3-epoxy- 1 -propanol, in the copper electroplating solution enables the copper electroplating solution to initiate a high density nanotwinned copper deposition on various substrates, including non-(l 11) copper substrates, and to produce nanotwinned copper in features of the substrates.
  • a copper electrolyte was prepared containing a solution of 40 g/L copper(II) ions, 10 g/L sulfuric acid, 50 mg/L chloride ion, 1 mg/L SPS, and 400 mg/L of Compound 2A.
  • a blanket coupon with (111) dominated PVD copper seed layer was plated at 3 ASD and produced >90% nanotwinned copper.
  • Figure 5 depicts a 20K zoom of the transition layer of the Compound 2A Composition plated on a (111) dominated PVD copper seed layer. As seen in Figure 5, the Compound 2A Composition was able to generate ntCu from (111) dominated PVD copper seed.
  • a copper electrolyte was prepared in the same manner as Example 3 except that 3 mg/L of SPS was added to the solution.
  • a blanket coupon with (111) dominated PVD copper seed layer was plated at 3 ASD and produced >90% nanotwinned copper.
  • a copper electrolyte was prepared in the same manner as Example 3 except that 8 mg/L of SPS was added to the solution and Compound 1 was used instead of Compound 2A.
  • a blanket coupon with (111) dominated PVD copper seed layer was plated at 3 ASD and produced >90% nanotwinned copper.
  • An additional copper electrolyte was prepared in the same manner as Example 3 except that 8 mg/L of SPS was added to the solution and Compound 2B was used instead of Compound 2A.
  • a blanket coupon with (11 1) dominated PVD copper seed layer was plated at 3 ASD and produced >90% nanotwinned copper.
  • a copper electrolyte was prepared in the same manner as Example 3 except that 28 mg/L of SPS was added to the solution.
  • a blanket coupon with (111) dominated PVD copper seed layer was plated at 3 ASD and did not produce nanotwinned copper.
  • Figure 6 depicts a 20K zoom of the transition layer of the Compound 2A Composition plated on a (111) dominated PVD copper seed layer. As seen in Figure 6, the Compound 2 A Composition was not able to generate ntCu from (111) dominated PVD copper seed.
  • a copper electrolyte was prepared in the same manner as Example 3 except that 50 g/L copper (II) ions and 100 g/L sulfuric acid was added to the solution.
  • a blanket coupon with (111) dominated PVD copper seed layer was plated at 3 ASD and did not produce nanotwinned copper.
  • Figure 7 depicts a 20K zoom of the transition layer of the Compound 2A Composition plated on a (111) dominated PVD copper seed layer. As seen in Figure 7, the Compound 2A Composition was not able to generate ntCu from (111) dominated PVD copper seed.
  • a copper electrolyte was prepared containing a solution of 40 g/L copper(II) ions, 10 g/L sulfuric acid, 50 mg/L chloride ion, 1 mg/L SPS, 400 mg/L of Compound 2A, and 3 mg/L leveler.
  • a blanket coupon with (111) dominated PVD copper seed layer was plated at 3 ASD and produced >90% nanotwinned copper.
  • Figure 8 depicts a 20K zoom of the transition layer of the Compound 2A Composition plated on a (111) dominated PVD copper seed layer. As seen in Figure 8, the Compound 2 A Composition was able to generate ntCu from (111) dominated PVD copper seed.
  • a copper electrolyte was prepared in the same manner as Comparative Example 4 except that 3 mg/L of a leveler was added to the solution.
  • a blanket coupon with (111) dominated PVD copper seed layer was plated at 3 ASD and produced >90% nanotwinned copper.
  • Figure 9 depicts a 20K zoom of the transition layer of the Compound 2A Composition plated on a (111) dominated PVD copper seed layer. As seen in Figure 9, the composition was able to generate ntCu from (111) dominated PVD copper seed.
  • nanotwinned copper can be produced even with a high concentration of accelerator when used in combination with a low concentration of sulfuric acid and the addition of a leveler.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electroplating And Plating Baths Therefor (AREA)

Abstract

A copper electrolyte comprising a copper salt, a source of halide ions, and a reaction product of an amine or sulfur-containing compound with 2,3-epoxy-1-propanol for producing a nanotwinned copper deposit, optionally in combination with one or more of a leveler or an accelerator. The copper electrolyte is used to initiate a high density nanotwinned copper deposit on various surfaces.

Description

COMPOSITION AND METHOD FOR NANOTWINNED COPPER FORMATION
CROSS-REFERENCE TO RELATED APPLICATIONS
[0001] This application claims the benefit of U.S. Provisional Application No. 63/414,725, filed October 10, 2022, the subject matter of which is herein incorporated by references in its entirety.
FIELD OF THE INVENTION
[0002] The present invention generally relates to the electrodeposition of nanotwinned copper on various substrates and copper electroplating plating baths for producing high density nanotwinned copper deposits.
BACKGROUND OF THE INVENTION
[0003] Electrochemical deposition processes are well-established in integrated circuit fabrication processes. Copper lines can be formed by electroplating the metal into very thin, high-aspect-ratio trenches and vias in a methodology commonly referred to as “damascene” processing (pre-passivation metallization).
[0004] With the advancement of microelectronics, there is a continual need to create smaller and denser interconnect features. Copper is one of the most essential conductors in microelectronic devices due to high ductility and conductivity. One method towards this goal is the removal of solder between two separate substrates that connect copper vias, pads, bumps, or pillars, which can be replaced by, for example, Cu-Cu hybrid bonding.
[0005] To ensure the success of this method, which requires both high temperature and high pressure, it is greatly preferred to generate electroplated copper in (111) orientation with >90% nanotwinned columnar- copper (ntCu) grains.
[0006] Due to the combination of excellent mechanical properties, good conductivity, and unique structure, nanotwinned copper has drawn attention for use in microelectronics. The mechanical strength of metals such as copper generally increases when the size of the crystal grain is reduced to a nanoscale level. Nanotwinned copper represents ultrafine-grain copper whose grains contain a high density of layered nanoscopic twins divided by coherent twin boundaries. By introducing nanoscale twins into the micro structure of copper, properties including mechanical strength, ductility, electromigration resistivity, and hardness can be improved. [0007] Nanoscale levels of thin metal films can have exemplary mechanical properties. As a result, metals that have nanotwin crystalline properties may be suitable for applications such as through silicon vias (TSV), semiconductor chip interconnects, packaging substrate pin through holes, metal interconnects (for example, copper interconnects), and metal materials on substrates. [0008] Nanotwinned copper can be achieved in several ways, including, for example, by sputtering and by electrolytic deposition using a copper electroplating composition that has been optimized to produce nanotwinned copper.
[0009] One of the advantages of sputtering is the high purity in the copper film, with the ability to contour the preferred orientation of grains. Sputtered (11 l)-oriented nanotwinned copper has been shown to have high thermal stability and strength.
[0010] On the other hand, direct current electrolytic plating has the advantage of being extremely compatible with industrial mass production. Electroplated nanotwinned copper can be classified into two groups - equiaxial grain nanotwinned copper and (l ll)-oriented nanotwinned copper. [0011] Crystal defects can influence mechanical, electrical, and optical properties of a material. Twinning occurs in a material where two parts of a crystal structure are symmetrically related to one another. In a face-centered cubic (FCC) crystal structure, of which copper is included, coherent twin boundaries can be formed as (111) mirror planes from which the typical stacking sequence of (111) planes is reversed. In other words, adjacent grains are mirrored across coherent twin boundaries in a layered (11 l)-structure. Twins grow in a layer-by-layer manner extending along a lateral (111) crystal plane where a twin thickness is on the order of nanometers. Nanotwinned copper exhibits excellent mechanical and electrical properties and can be used in a wide variety of applications in wafer-level packaging and advanced packaging designs.
[0012] Compared to copper exhibiting conventional grain boundaries, nanotwinned copper possesses strong mechanical properties, including high strength and high tensile ductility. For example, nanotwinned copper demonstrates high electrical conductivity, which may be attributable to the twin boundary, causing electron scattering that is less significant compared to a grain boundary. Nanotwinned copper also exhibits high thermal stability, which may be attributable to the twin boundary having excess energy on the order of magnitude lower than that of a grain boundary and enables high copper atom diffusivity, which is useful for copper-to- copper direct bonding. In addition, nanotwinned copper shows high resistance to electromigration, which may be a result of twin boundaries slowing down electromigration- induccd atomic diffusion. Nanotwinned copper demonstrates a strong resistance to seed etch that may be important in fine-line redistribution layer applications and also shows low impurity incorporation, which results in fewer Kirkendall voids as a result of soldered reactions with the nanotwinned copper.
[0013] In some aspects, nanotwinned copper enables direct copper-copper bonding, which may occur at low temperatures, moderate pressures, and lower bonding forces/times. Typically, the deposition of copper structures results in rough surfaces, and in some instances, prior to coppercopper bonding, electrodeposition of nanotwinned copper may be followed by electropolishing to achieve smooth surfaces. With the smooth surfaces, the nanotwinned copper structure may be used in copper-copper bonding with shorter bonding times, lower temperatures, and fewer voids. [0014] U.S. Pat. No. 7,074,315 to Desmaison et al., the subject matter of which is herein incorporated by reference in its entirety, describes a copper electrolyte for depositing a matte layer of copper. However, there is no suggestion regarding the use of Desmaison’ s copper electrolyte for depositing nanotwinned copper.
[0015] W02020/092244 to Banik et al., the subject matter of which is herein incorporated by reference in its entirety, describes a copper structure having a high density of nanotwinned copper deposited on a substrate. B nik does not describe any particular electrolytic copper plating bath but instead focuses on electroplating conditions, including applying a pulsed current waveform that alternates between constant current and no current, where the duration of no current being applied is substantially greater than a duration of a constant current being applied. [0016] U.S. Pat. No. 10,566,314 to Yang, the subject matter of which is herein incorporated by reference in its entirety, describes how the optimal copper grain structure for Cu-Cu metal to metal bonding is columnar grain microstructure. The copper grain microstructure plated by the disclosed suppressor-only system produces a columnar grain structure as a result of plating nanotwinned copper. In addition, while columnar grains are mentioned, there is no mention of (111) copper grain structure of nanotwinning copper.
[0017] Research has shown that very few materials are able to produce nanotwinned copper by electroplating in which the copper deposit exhibits a high degree of nanotwinning, regardless of the underlying substrate. [0018] There remains a need in the art for a copper electroplating solution for producing nanotwinned copper deposits, especially for a copper electroplating solution that is capable of producing nanotwinned copper in features of a microelectronic substrate and/or on substrates that are not dominated by (111) -copper.
SUMMARY OF THE INVENTION
[0019] It is an object of the present invention to provide an improved copper electroplating solution.
[0020] It is another object of the present invention to provide a copper electroplating solution that is capable of producing a high density of nanotwinned copper in the deposit.
[0021] It is another object of the present invention to provide a copper electroplating solution that is optimized to deposit nanotwinned copper in features of a microelectronic substrate.
[0022] It is still another object of the present invention to provide a copper electroplating solution that can initiate or produce a copper deposit exhibiting a high density of nanotwinned copper on any surface.
[0023] It is still another object of the present invention to provide a copper electroplating solution that is capable of producing a deposit exhibiting a high density of nanotwinned copper on a surface that is not dominated by (11 l)-copper.
[0024] To that end, in one embodiment, the present invention generally relates to a copper electroplating solution, wherein the copper electroplating solution comprises: a) a copper salt; b) a source of halide ions; and c) a suppressor, wherein the suppressor comprises a reaction product of a reactant with 2,3-cpoxy-l-propanol, wherein the reactant comprises at least one of an amine and a sulfur-containing compound; wherein the copper electroplating solution is configured to deposit a high density of nanotwinned copper on a substrate.
[0025] In one embodiment, the copper electroplating solution may also optionally comprise one or more of: a) an accelerator, wherein the accelerator comprises an organic sulfur compound; and b) a leveler, wherein the leveler comprises a polymeric quaternary nitrogen species. [0026] In another embodiment, the present invention also relates generally to a method of using the copper electroplating solution described herein to deposit a high density of nanotwinned copper on a substrate, including surfaces that are not dominated by (11 l)-copper.
BRIEF DESCRIPTION OF THE FIGURES
[0027] Figure 1 depicts an SEM of copper deposited on (111) dominated PVD copper and copper deposited on poly crystalline copper for the Baseline Composition and Compound 1 Composition.
[0028] Figure 2 depicts a 50K zoom of the transition layer of the nanotwinned copper deposited from the Compound 1 Composition on the polycrystalline copper.
[0029] Figure 3 depicts an SEM of copper deposited on (111) dominated PVD copper and copper deposited on polycrystalline copper for the Compound 2A Composition and the Compound 2B Composition.
[0030] Figure 4 depicts an SEM of copper deposited on (111) dominated PVD copper, stainless steel, and PVD ruthenium surfaces for the Baseline Composition and Compound 1 Composition. [0031] Figure 5 depicts a 20K zoom of the transition layer of the nanotwinned copper deposited from the Compound 2A Composition in accordance with Example 3.
[0032] Figure 6 depicts a 20K zoom of the transition layer deposited from the Compound 2A Composition in accordance with Comparative Example 4.
[0033] Figure 7 depicts a 20K zoom of the transition layer deposited from the Compound 2A Composition in accordance with Comparative Example 5.
[0034] Figure 8 depicts a 20K zoom of the transition layer of the nanotwinned copper deposited from the Compound 2A Composition in accordance with Example 6.
[0035] Figure 9 depicts a 20K zoom of the transition layer of the nanotwinned copper deposited from the Compound 2A Composition in accordance with Example 7.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
[0036] As used herein, “a,” “an,” and “the” refer to both singular and plural referents unless the context clearly dictates otherwise. [0037] As used herein, the term “about” refers to a measurable value such as a parameter, an amount, a temporal duration, and the like and is meant to include variations of +/- 15% or less, preferably variations of +/-10% or less, more preferably variations of +/-5% or less, even more preferably variations of +/-1% or less, and still more preferably variations of +/-0.1 % or less of and from the particularly recited value, in so far as such variations are appropriate to perform in the invention described herein. Furthermore, it is also to be understood that the value to which the modifier “about” refers is itself specifically disclosed herein.
[0038] As used herein, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, are used for ease of description to describe one element or feature’s relationship to another element(s) or feature(s) as illustrated in the figures. It is further understood that the terms “front” and “back” are not intended to be limiting and are intended to be interchangeable where appropriate.
[0039] As used herein, the terms “comprises” and/or “comprising,” specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof.
[0040] As used herein, the term “substantially free” or “essentially free” if not otherwise defined herein for a particular element or compound means that a given element or compound is not detectable by ordinary analytical means that are well known to those skilled in the art of metal plating for bath analysis. Such methods typically include atomic absorption spectrometry, titration, UV-Vis analysis, secondary ion mass spectrometry, and other commonly available analytically techniques.
[0041] As used herein, the term “features” refers to vias, through-silicon vias (TSV), trenches, pillars, pad, bumps, etc. that may be present on a microelectronic substrate.
[0042] As used herein, the term “high density” of nanotwinned copper refers to a copper deposit that contains at least 75% or at least 80% or at least 85% or at least 90% or at least 95% of nanotwinned columnar copper grains in the deposit.
[0043] All amounts are percent by weight unless otherwise noted. All numerical ranges are inclusive and combinable in any order except where it is logical that such numerical ranges are constrained to add up to 100%. The term “average” is equivalent to the mean value of a sample. [0044] The terms “plating” and “deposit” or “deposition” are used interchangeably throughout this specification.
[0045] The terms “composition” and “bath” and “electrolyte” and “solution” are used interchangeably throughout this specification.
[0046] The term “alkyl,” unless otherwise described in the specification as having substituent groups, means an organic chemical group composed of only carbon and hydrogen and having a general formula: CnHin+i.
[0047] Research has shown that very few materials are able to produce nanotwinned copper (ntCu) or a copper deposit that exhibits a high degree of nanotwinning. One such material is poly(2,3-epoxy-l-propanol), which is a linear or branched polyhydroxyl compound with a molecular weight of about 200 to about 20,000, more preferably about 500 to about 5.000, even more preferably about 1,000 to about 3,000.
[0048] It has also been believed that the introduction of other organic electroplating compounds, such accelerators, brighteners, earners, welters, and/or levelers, will disrupt the ability of the polyhydroxyl compound to produce nanotwinned copper.
[0049] Currently with polyhydroxyl compounds such as those described in U.S. Pat. No.
11,384,446 and WO2023/014524 to Richardson et al., the subject matter of each of which is herein incorporated by reference in its entirety, achieving a high density of nanotwinned copper is extremely difficult unless the deposit is performed on a PVD copper seed layer that exhibits a high amount of copper in (111) orientation grain structure.
[0050] It would be desirable to initiate a high density nanotwin copper deposit on other surfaces, including, for example, polycrystalline copper seed layers, stainless steel, and PVD ruthenium surfaces. To that end, the inventors of the present invention have investigated means for optimizing copper electroplating solutions to deposit nanotwinned copper on various surfaces, including poly crystalline copper seed layers, stainless steel, and PVD ruthenium by way of example and not limitation.
[0051] In one embodiment, the present invention generally relates to the electrodeposition of nanotwinned copper, and a copper electroplating solution that is configured to produce a copper deposit that exhibits a high density of nanotwinned copper on various surfaces.
[0052] In one embodiment, the copper electroplating solution comprises: a) a copper salt; b) a source of halide ions; and c) a suppressor, wherein the suppressor comprises a reaction product of a reactant with 2,3-epoxy-l-propanol, wherein the reactant comprises at least one of an amine and a sulfur-containing compound.
[0053] In one embodiment, the copper electrolyte may also optionally comprise one or more of: a) an accelerator, wherein the accelerator comprises an organic sulfur compound; and b) a leveler, wherein the leveler comprises a polymeric quaternary nitrogen species;
[0054] In a preferred embodiment, the copper salt comprises copper sulfate. Other copper salts usable in the composition include copper methane sulfonate, copper pyrophosphate, copper propanesulfonate, and other similar compounds. The concentration of copper sulfate in the electroplating solution is generally in the range of about 1-100 g/L, more preferably in the range of about 20 to about 80 g/L, more preferably within the range of about 40 to about 60 g/L.
[0055] The halide ions act as a bridge to assist adsorption of certain organic additives onto a substrate surface. Halide ions include, but are not limited to, chloride ions, bromide ions, iodide ions, and combinations thereof. In one embodiment, the halide ions comprise chloride ions. The concentration of chloride ions in the electroplating solution is generally within the range of about 1-150 mg/L, more preferably about 30-120 mg/L, most preferably about 45-75 mg/L.
[0056] In one embodiment, the electroplating composition contains an acid to control the conductivity of the plating bath, and suitable acids include sulfuric acid and methane sulfonic acid. In one embodiment, the acid is sulfuric acid. The concentration of acid in the electroplating solution is generally within the range of about 0 to 240 g/L, more preferably within the range of about 10 to about 180 g/L, more preferably within the range of about 80 to about 140 g/L. in one embodiment, the concentration of the acid is in the range of about 8 to about 15 g/L, more preferably about 10 g/L. The inventors of the present invention have surprisingly discovered that the concentration of the acid can have a profound effect on the ability to develop nanotwinning and the compositions containing a lower acid concentration tend to be much more forgiving to ntCu formation than similar compositions containing a higher concentration of acid.
[0057] In one embodiment, the suppressor comprises a reaction product of an amine or sulfur- containing compound with 2,3-epoxy-l-propanol. The resulting linear or branched polyhydroxyl generally has a molecular weight of about 200 to about 20,000 g/mol, more preferably about 500 to about 5,000 g/mol, most preferably about 1,000 to about 3,000 g/mol.
[0058] Examples of suitable amines, include ethanolamine, diethanolamine, triethanolamine, propanolamine, isopropanolamine, diisopropanolamine, triisopropanolamine, N-methyl diethanolamine, N-ethyl diethanolamine, N-propyl diethanolamine, methyl monoethanolamine, N.N-dimethyl ethanolamine, N,N-diethyl ethanolamine, N-propyl monoethanolamine, N-propyl diethanolamine, N-butyl ethanolamine, N-butyl diethanolamine, N,N-dibutyl ethanolamine, hydroxy ethyl morpholine, 2-piperidino ethanol, diethanol isopropanolamine, N-(2- hydroxyethyl) pyrrolidine, 4-pyridinemethanol, 4-pyridineethanol, 4-pyridinepropanol, 2- hydroxy-4-methylpyridine, 2-hydroxymethyl- 1 -methylimidazole, 4-hydroxymethyl-5- methylimidazole, choline chloride, b-methylcholine chloride, bis(2- hydroxyethyl)dimethylammonium chloride, tris(2-hydroxyethyl)methylammonium chloride, carnitine chloride, (2-hydroxyethyl)dimethyl(3-sulfopropyl)ammonium chloride, l-(2- hydroxyethyl)-3-methylimidazolium chloride, and combinations of the foregoing.
[0059] Other amines include tertiary amines, such as, 3 -hydroxypropyldimethylamine, n- butyldimethylamine, di(3-hydroxypropyl)methylamine, 2,3-dihydroxypropyldimethylamine, 3- hydroxypropyldiethylamine, 2-hydroxypropyldimethylamine, 4-hydroxybutyldimethylamine, 2- hydroxyethyldimethylamine, n-propyldimethylamine, 2-hydroxyethoxyethyldimethylamine, di(2-hydroxyethyl)methylamine, benzyldimethylamine, and 4-hydroxybenzyldimethyleamine, 4- methylpyridine, 3 -ethylpyridine, 4-propylpyridine, 4-tertbutylpyridine, 4-cy anopyridine, 4- isopropylpyridine, 4-methoxypyridine, 3,4-lutidine, 3-methoxypyridine, and 4-pyridinemethanol, 2-dimethylamino- 1 -ethanol, n-butyl dimethylamine, and N,N-dimethylbenzylamine, 4- ethylpyridine, and 1 -methylimidazole, 1 -benzylimidazole, N-methylmorpholine, 2- [2- (dimethylamino)ethoxy]ethanol.
[0060] Another suitable amine compound is bis(2-hydroxyethyl)dimethyl ammonium chloride. [0061] Other similar amine compounds that are capable of reacting with the 2,3-epoxy-l- propanol to produce a reaction compound are also usable as the suppressor of the instant invention. What is important is that the reaction compound is one that, when used in the copper electroplating solution at a suitable composition, is capable of and/or configured to initiate a copper deposit having a high density of nanotwinned copper on various substrates, which substrates include surfaces that are not dominated by (11 l)-copper. [0062] Examples of suitable sulfur compounds include, but are not limited to, thioglycolic acid, thiomalic acid, sodium hydrogen sulfide, thiodiglycolic acid, thiodicthylcnc glycol, thiourea, N,N,N’N’-tetramethylthiourea, 2-mercaptoethanol, 3 -mercaptopropanol, 2-mercaptoimidazole, 2-mercaptopyridine, 4-mercaptopyridine, 4-mercaptophenol, 3-mercapto-l -propanesulfonic acid, 3,6-dithia- 1 ,8-octanediol, 2,2’ -thiodiethanethiol, 2-hydroxyethyldisulfide, 3 ,3 ’ -thiodipropanol, and 2,2'-(ethylenedioxy)diethanethiol.
[0063] Other reactants that are usable in the copper electrolyte to initiate a copper deposit having a high density of nanotwinned copper on substrates that are not dominated by (11 l)-copper include various pyridines and imidazoles. Again, such pyridines and/or imidazoles must be such that when used in the copper electroplating solution at a suitable composition, are capable of and/or configured to initiate a copper deposit having a high density of nanotwinned copper on various substrates, including substrates that are not dominated by (11 l)-copper.
[0064] In one embodiment, a combination of reactants is used, such as an amine and a sulfur- containing compound and the combination of reactants is reacted with 2,3-epoxy-l-propanol. For example, the suppressor may comprise a reaction product of bis(2-hydroxyethyl)dimethyl ammonium chloride and 2,2’-thiodiethanol with 2,3-epoxy-l-propanol.
[0065] In one embodiment, the suppressor compound comprises 90.0-99.9 wt.% of the 2,3- epoxy-l-propanol and 0.1 to 10.0 wt.% of the one or more reactants, more preferably 95.0-99.5 wt.% of 2,3-epoxy-l-propanol and 0.5 to 5.0 wt.% of the one or more reactants, more preferably 97.0 to 99.0 wt.% of the 2,3-epoxy-l-propanol and 2.0 to 3.0 wt.% of the one or more reactants. [0066] In one embodiment, the concentration of the linear or branched polyhydroxyl suppressor compound in the copper electroplating solution is within the range of about 1 to about 10,000 mg/L, more preferably about 10 to about 1,000 mg/L, more preferably about 50 to about 600 mg/L, more preferably about 300 to about 500 mg/L.
[0067] In some embodiments, the copper electroplating solution may optionally include an accelerator and/or a leveler.
[0068] If used, the accelerator may comprise, for example, an organic sulfur compound, including an organic sulfur salt. Suitable organic sulfur compounds include, but arc not limited to bis-(3-sulfopropyl)-disulfide (SPS), 3-mercapto-l-propanesulfonic acid (MPS), 3- (benzothizolyl-2-mercapto)-propylsulfonic acid (ZPS), N,N-dimethyldithiocarbamylpropyl sulfonic acid (DPS), 3-S-isothiuronium propyl sulfonate (UPS), and (O-ethyldithiocarbonato)-S- (3-sulfopropyl)cstcr (OPX).
[0069] In one embodiment, the accelerator comprises ZPS or UPS. In another embodiment, the accelerator consists of only ZPS and/or UPS and the copper electrolyte is at least substantially free of any higher strength accelerators such as MPS or SPS.
[0070] The concentration of the accelerator depends in part on the particular accelerator that is used in the copper electroplating solution and the weaker accelerators may be used at a higher concentration than the stronger accelerators. For example, ZPS and UPS may be used in the copper electroplating solution at a higher concentration than SPS. In addition, the use of a stronger accelerator such as SPS as the accelerator may also require that a high density nanotwinned copper deposit be applied as a base layer prior to a subsequent layer being deposited using a copper electroplating solution that contains SPS. The concentration of the accelerator is preferably less than about 10 mg/L, more preferably within the range of about 1 to about 8 mg/L or within the range of about 1 to about 3 mg/L, depending in part on the particular suppressor and accelerator combination.
[0071] If used, the leveler compound may include a polymeric quaternary nitrogen species such as described in WO2018/057590, U.S. Pat. No. 10,519,557, and U.S. Pat. No. 10,294,574, the subject matter of each of which is herein incorporated by reference in its entirety. Other leveler compounds include dipyridyl levelers such as those described in U.S. Pat. No. 7,303,992 and U.S. Pat. Pub. No. 2005/0045488, the subject matter of each of which is herein incorporated by reference in its entirety.
[0072] For example, the leveler may comprise the reaction product of an aliphatic di(t-amine) with a bifunctional alkylating agent corresponding to the formula:
Figure imgf000013_0001
wherein: G is selected from the group consisting of a single covalent bond, -O-, O-((A)r- O)s- and -((A)r-O)s-; A has the structure -CR3R4- or -C(R3)(R4)C(R33)(R34)- ; each of p and r is independently an integer between 1 and 6 inclusive, s is an integer between 1 and 10 inclusive, q is an integer between 0 and 6 inclusive; each of R1, R2, R3, R4, R5, R6 and R34 is independently selected from the group consisting of hydrogen and substituted or unsubstituted aliphatic hydrocarbyl comprising 1 to 4 carbon atoms; R33 is substituted or unsubstituted aliphatic hydrocarbyl having 1 to 4 carbon atoms, Y is a leaving group selected from the group consisting of chloride, bromide, iodide, tosyl, triflate, sulfonate, mesylate, methosulfate, fluorosulfonate, methyl tosylate, and brosylate, Z is selected from the group consisting of R30 and a leaving group independently selected from the same group as Y, and R30 is selected from the group consisting of aliphatic hydrocarbyl, hydroxyl, alkoxy, cyano, carboxyl, alkoxycarbonyl, and amido, and when -G- is other than a single covalent bond, q is at least one.
[0073] The leveler may also comprise an oligomer and/or polymer compound selected from the group consisting of salts comprising a cation having the structure:
Figure imgf000014_0001
wherein: G and A are as defined above; B has the structure;
Figure imgf000014_0002
D has the structure;
Figure imgf000015_0001
is the residue of an N,N'-dialkyl heterocyclic diamine bonded to -(CR1R2)P -G-(CR5R6)q]- at the respective t-aminc sites to form a di(quatcrnary ammonium) cationic structure; each of p, r, t, u, w and y is an integer between 1 and 6 inclusive, each of q, v, x, k, and z is independently an integer between 0 and 6 inclusive, s is an integer between 1 and 10 inclusive, k is at least one when v or x is other than 0, q is at least one when G is other than a single covalent bond; each of R1 to R6, R9 to R19, R23, R25 and R34 is independently selected from the group consisting of hydrogen or lower alkyl comprising 1 to 4 carbon atoms, each of R7, R8, R20, R , R , R and R is independently selected from the group consisting of substituted or unsubstituted aliphatic hydrocarbyl having 1 to 4 carbon atoms; and n is between about 1 to about 30.
[0074] The leveler may also comprise compounds corresponding to the formula:
Figure imgf000015_0002
or
Figure imgf000016_0001
wherein: G, A, B and D are as defined above;
Figure imgf000016_0002
is the residue of an N.N'-dialkyl heterocyclic diamine bonded to -(CR1R2)P -G-(CR5R6)q]- at the respective t-amine sites to form a di(quaternary ammonium) cationic structure; each of p, r, t, u, w and y is an integer between 1 and 6 inclusive, each of q, v, x, k, and z is independently an integer between 0 and 6 inclusive, s is an integer between 1 and 10 inclusive, k is at least one when v or x is other than 0, q is at least one when G is other than a single covalent bond; each of R1 to R6, R9 to R19, R23, R25 and R34 is independently selected from the group consisting of hydrogen or lower alkyl comprising 1 to 4 carbon atoms, each of R7, R8, R20, R21, R22, R24 and R33 is independently selected from the group consisting of substituted or unsubstituted aliphatic hydrocarbyl having 1 to 4 carbon atoms; and
R30 is selected from the group consisting of aliphatic hydrocarbyl, hydroxyl, alkoxy, cyano, carboxyl, alkoxycarbonyl, and amido.
[0075] The leveler may also comprise a quaternized poly(epihalohydrin) comprising n repeating units corresponding to structure IN and p repeating units corresponding to structure IP:
Figure imgf000016_0003
wherein Q has a structure corresponding to that which may be obtained by reacting a pendent methylene halide group of poly(cpihalohydrin) with a tertiary amine selected from the group consisting of: (i) NR 1 R2R3 wherein each of R1, R2 and R3 is independently selected from the group consisting of substituted or unsubstituted alkyl, substituted or unsubstituted alkenyl, substituted or unsubstituted alkynyl, substituted or unsubstituted alicyclic, substituted or unsubstituted aralkyl, substituted or unsubstituted aryl and substituted or unsubstituted heterocyclic; (ii) an N-substituted and optionally further substituted heteroalicyclic amine wherein the N-substituent is selected from the group consisting of substituted or unsubstituted alkyl, substituted or unsubstituted alicyclic, substituted or unsubstituted aralkyl, substituted or unsubstituted aryl, and substituted or unsubstituted heterocyclic; and (iii) a substituted or unsubstituted nitrogen-containing heteroaryl compound; n is an integer between 3 and 35, p is an integer between 0 and 25;
X is a halo substituent; and
X’ is a monovalent anion.
[0076] Preferably Q corresponds to structure IIA, IIB or IIC: or
Figure imgf000017_0001
Figure imgf000018_0001
wherein: (i) structure IIB is an N-substituted heterocyclic moiety; (ii) structure IIC is a heterocyclic moiety; (iii) each of R1, R2, R3 and R4 is independently selected from the group consisting of substituted or unsubstituted alkyl, substituted or unsubstituted alkenyl, substituted or unsubstituted alkynyl, substituted or unsubstituted aralkyl, substituted or unsubstituted alicyclic, substituted or unsubstituted aryl, and substituted or unsubstituted heterocyclic; and (iv) each of R5, R6, R7, R8 and R9 is independently selected from the group consisting of hydrogen, substituted or unsubstituted alkyl, substituted or unsubstituted alkenyl, substituted or unsubstituted alkynyl, substituted or unsubstituted aralkyl, substituted or unsubstituted alicyclic, substituted or unsubstituted aryl, and substituted or unsubstituted heterocyclic. Where any of R1 to R8 is substituted, the substituent preferably docs not comprise an amino group.
[0077] The leveler may also comprise a substituted pyridyl compound, which may be, for example, a pyridinium compound and, in particular, a quaternized pyridinium salt. Examples of these substituted pyridyl compounds include, but are not limited to, derivatives of a vinyl pyridine, such as derivatives of 2-vinyl pyridine and derivatives of 4-vinyl pyridine, homopolymers of vinyl pyridine, co-polymers of vinyl pyridine, quaternized salts of vinyl pyridine, and quaternized salts of these homo-polymers and co-polymers. Specific examples of such compounds include, for example, poly(4- vinyl pyridine), the reaction product of poly(4-vinyl pyridine) with dimethyl sulfate, the reaction product of 4-vinyl pyridine with 2-chloroethanol, the reaction product of 4-vinyl pyridine with benzylchloride, the reaction product of 4-vinyl pyridine with allyl chloride, the reaction product of 4-vinyl pyridine with 4- chloromethylpyridine, the reaction product of 4-vinyl pyridine with 1,3-propane sultone, the reaction product of 4-vinyl pyridine with methyl tosylate, the reaction product of 4-vinyl pyridine with chloroacetone, the reaction product of 4-vinyl pyridine with 2- methoxyethoxymethylchloride, the reaction product of 4-vinyl pyridine with 2-chloroethylether, the reaction product of 2-vinyl pyridine with methyl tosylatc, the reaction product of 2-vinyl pyridine with dimethyl sulfate, the reaction product of vinyl pyridine and a water soluble initiator, poly(2-methyl-5-vinyl pyridine), and l-methyl-4-vinylpyridinium trifluoromethyl sulfonate, among others.
[0078] Other polymeric quaternary nitrogen species can also be used as levelers in the copper electroplating composition described herein so long as they are compatible with the suppressor (and accelerator) and do not detract from the capability of initiating a nanotwinned copper deposit on various substrates.
[0079] In one embodiment, suitable leveler species include, but are not limited to a reaction product of 4,4-dipyridyl and 2-chloroethylether. The concentration of the leveler depends in part on the particular leveler being used as well as the particular suppressor and accelerator and the process conditions. In one embodiment, the leveler is present in the copper electrolyte at a concentration within a range of less than about 10 mg/L or within a range of about 0.5 to about 10 mg/L, more preferably about 2 to about 5 mg/L.
[0080] A higher current density (i.e., about 3 to about 6 ASD, more preferably in the range of about 3 to about 5 ASD) has been found to be beneficial for the purposes of producing nanotwinned copper. However, when superfilling copper in features of a microelectronic substrate, lower current density such as in the range of about 0.5 to about 2 ASD is preferred. [0081] Therefore, in one embodiment, the copper electroplating composition comprises a two or three component copper electroplating bath that is used in a step-current plating method to enable nanotwinned copper microstructure via filling. In one embodiment, a two-component copper electroplating bath can be used comprising the suppressor compound described herein and leveler comprising a polymeric quaternary nitrogen species as described above. In another embodiment, a three-component copper electroplating bath can be used comprises the suppressor compound described herein, an accelerator comprising an organic sulfur compound, preferably UPS, and a leveler comprising a polymeric quaternary nitrogen species.
[0082] A ramping current, where the current ramps from high to low, as opposed to step current changes, can also be applied to the 2- and 3-component copper plating bath to accomplish via filling with nanotwinned copper microstructure.
[0083] As described herein, in one embodiment, the copper electroplating solution comprises: A) about 40 to about 60 g/L copper ions;
B) about 80 to about 140 g/L sulfuric acid;
C) about 30 to about 120 mg/L chloride ions;
D) about 300 to about 500 mg/L of a reaction product of an amine or sulfur- containing compound with 2,3-epoxy-l-propanol;
E) optionally, about 0.5 to about 10 mg/L of a leveler, the leveler comprising a polymer quaternary nitrogen species; and
F) optionally, about 1 to about 50 mg/L of an accelerator, the accelerator comprising an organic sulfur compound.
[0084] In another preferred embodiment, the copper electroplating solution consists essentially of:
A) about 40 to about 60 g/L copper ions;
B) about 80 to about 140 g/L sulfuric acid;
C) about 30 to about 120 mg/L chloride ions;
D) about 300 to about 500 mg/L of a reaction product of an amine or sulfur- containing compound with 2,3-epoxy-l-propanol; and
E) about 0.0 to about 10 mg/L of a leveler, the leveler comprising a polymer quaternary nitrogen species.
[0085] In another preferred embodiment, the copper electroplating solution consists essentially of:
A) about 40 to about 60 g/L copper ions;
B) about 80 to about 140 g/L sulfuric acid;
C) about 30 to about 120 mg/L chloride ions;
D) about 300 to about 500 mg/L of a reaction product of an amine or sulfur- containing compound with 2,3-epoxy-l-propanol;
E) about 0.5 to about 10 mg/L of a leveler, the leveler comprising a polymer quaternary nitrogen species; and
F) about 0.0 to about 50 mg/L of an accelerator, the accelerator comprising an organic sulfur compound.
[0086] In another embodiment, the copper electrolyte of the present invention comprises a lower amount of sulfuric acid. For example, the copper electrolyte may comprise: A) about 5 to about 50 g/L copper ions;
B) about 8 to about 15 g/L sulfuric acid;
C) about 30 to about 120 mg/L chloride ions;
D) about 300 to about 500 mg/L of a reaction product of an amine or sulfur- containing compound with 2,3-epoxy-l-propanol;
E) optionally, about 0.5 to about 10 mg/L of a leveler, the leveler comprising a polymer quaternary nitrogen species; and
F) optionally, about 1 to about 50 mg/L of an accelerator, the accelerator comprising an organic sulfur compound.
[0087] In another preferred embodiment, the copper electroplating solution consists essentially of:
A) about 5 to about 50 g/L copper ions;
B) about 8 to about 15 g/L sulfuric acid;
C) about 30 to about 120 mg/L chloride ions;
D) about 300 to about 500 mg/L of a reaction product of an amine or sulfur- containing compound with 2,3-epoxy-l-propanol; and
E) about 0.0 to about 5 mg/L of a leveler, the leveler comprising a polymer quaternary nitrogen species.
[0088] In another preferred embodiment, the copper electroplating solution consists essentially of:
A) about 5 to about 50 g/L copper ions;
B) about 8 to about 15 g/L sulfuric acid;
C) about 30 to about 120 mg/L chloride ions;
D) about 300 to about 500 mg/L of a reaction product of an amine or sulfur- containing compound with 2,3-epoxy-l-propanol;
E) about 0.0 to about 5 mg/L of a leveler, the leveler comprising a polymer quaternary nitrogen species; and
F) about 0.0 to about 50 mg/L of an accelerator, the accelerator comprising an organic sulfur compound.
[0089] By “consisting essentially of,” what is meant is that the composition is free of any additive that would have a detrimental effect on the ability of the composition to initiate a copper deposit having a high density of nanotwinned copper on a substrate, including a substrate that is a non-(l 11) copper substrate.
[0090] The present invention also relates generally to a method of electroplating nanotwinned copper on a substrate, the method comprising the steps of:
A) providing the substrate, at least one anode, and the copper plating bath described herein;
B) contacting the substrate and the at least one anode, respectively, with the copper bath; and
C) applying an electric voltage between the surface of the workpiece and at least one anode such that cathodic polarity is imposed upon the substrate relative to the at least one anode; wherein a copper structure having a high density of nanotwinning is deposited on the substrate.
[0091] The current density is generally in the range of about 0.01 to about 50 ASD, more preferably about 0.5 to about 20 ASD, most preferably about 1 to about 10 ASD. In addition, the electroplating solution is preferably agitated, and the electroplating solution is generally mixed at about 1 to about 2,500 rpm, more preferably about 10 to about 1,200 rpm, most preferably about 50 to about 400 rpm.
[0092] The anode can be an insoluble or soluble anode. An insoluble anode is preferred.
[0093] The copper is electrodeposited for some time to initiate a nanotwinned copper deposit to a thickness of about 0.1 to about 1,000 pm, more preferably about 0.3 to about 200 pm, most preferably about 1 to about 100 pm.
[0094] Substrates that can be plated with the copper electroplating solution described herein include printed wiring boards (PWB), printed circuit boards (PCB), and other electronic substrates that may include one or more pillars, pads, lines, and vias, including surfaces that are non-( 111) copper, such as polycrystalline copper seed layers, stainless steel, and PVD ruthenium. [0095] The presence of nanotwinned grain structures can be observed using any suitable microscopy technique, such as an electron microscopy technique. The amount of nanotwinned grain structure in the copper deposit is preferably greater than about 80%, more preferably greater than about 90% nanotwinned columnar copper grains, which is estimated based on SEM cross-sections. [0096] As set forth in the examples below, nanotwinned copper structures may be characterized by a plurality of (11 l)-oricntcd crystal copper grains containing a majority of nanotwins. In some implementations, the plurality of (11 l)-oriented crystal copper grains contain a high density of nanotwins. As used herein, a “high density of nanotwins” may refer to copper structures having greater than about 80% nanotwinning, and even greater than about 90% nanotwinning as observed using suitable microscopy techniques.
[0097] The crystal orientation of the crystal copper grains may be characterized using a suitable technique such as electron backscatter diffraction (EBSD) analysis. In some implementations, crystal orientation maps may be displayed in inverse pole figure (IPF) maps. In accordance with the present invention, it is preferably that the nanotwinned copper structures contain primarily (11 l)-oriented grains.
[0098] The following suppressor compounds were used in the Examples:
[0099] Compound 1: reaction product of 4-pyridinemethanol (1% by wt.) with 2,3-epoxy-l- propanol (99% by wt.) to generate a polymer.
[0100] Compound 2 A: 1 wt .% bis(2-hydroxyethyl)dimethylammonium chloride was reacted with 99 wt.% 2,3-epoxy-l-propanaol to generate a polymer.
[0101] Compound 2B: 1 wt .% bis(2-hydroxyethyl)dimethylammonium chloride and 1 wt.% 2,2 ’-thiodiethanol were reacted with 98 wt.% 2,3-epoxy-l-propanol to generate a polymer. [0102] The suppressor compounds were prepared by reacting the amine or sulfur-containing compound with 2,3-epoxy-l-propanol. The general reaction procedure is as follows: [0103] A boron trifluoride etherate (5 mmol) solution in methanol was added drop wise to a solution of 2,3-epoxy-l-propanol and amine or sulfur-containing compound at the weight percentages listed in a IL round bottom flask equipped with a thermometer, reflux condenser, and magnetic stirrer to produce each of Compound 1, Compound 2 A, and Compound 2B. The temperature was allowed to increase freely during exotherm and heated at its maximum temperature for 30 minutes. The reaction was then allowed to cool to less than 100 °C, where water was added to make a 20% w/w solution that continued to stir for 4 hours. The solutions were then filtered and used as-is.
Example 1: [0104] A copper electrolyte was prepared containing a solution of 40 g/L copper(II) ions, 10 g/L sulfuric acid, 50 mg/L chloride ion, and 400 mg/L of an aminic polyhydroxyl suppressor (Baseline Composition) and a second copper electrolyte was prepared in a similar manner as the Baseline Composition but substituting 400 mg/L of Compound 1 (Composition with Compound 1).
[0105] The two electrolytes were used to initiate an intermediate nanotwinned copper deposit from (111) dominated PVD copper seed and polycrystal copper seed at a constant current of 1 ASD.
[0106] As seen from Figure 1, while both solutions were able to generate intermediate nanotwinned copper from (111) dominated copper seed, the Baseline Composition was unable to generate any nanotwinned copper at all on the polycrystalline copper seed layer while the Compound 1 Composition was able to generate intermediate nanotwinned copper from poly crystalline copper seed.
[0107] Figure 2 depicts a 50K zoom of the transition layer of the Compound 1 Composition plated on a polycrystal copper seed. As seen in Figure 2, the Compound 1 Composition was able to generate ntCu from poly crystalline copper seed after 111 nm of deposition to a total thickness of about 2.8 pm.
[0108] As seen in Figure 4, the Compound 1 Composition was able to generate a preponderance of nanotwinned copper from stainless steel and ruthenium substrates, while the Baseline Composition was not able to do so.
Example 2:
[0109] A copper electrolyte was prepared containing a solution of 40 g/L copper(II) ions, 10 g/L sulfuric acid, 50 mg/L chloride ion, and 400 mg/L of Compound 2A and a second copper electrolyte was prepared in a similar manner but substituting 400 mg/L of Compound 2B.
[0110] The two electrolytes were used to initiate an intermediate nanotwinned copper deposit from (111) dominated PVD copper seed and polycrystal copper seed at a constant current of 1 ASD.
[0111] As seen from Figure 3, while both solutions were able to generate intermediate nanotwinned copper from (111) dominated copper seed, adding a thiol compound in addition to the amine, and reacting both of these with 2,3-epoxy-l -propanol improved the nanotwinned copper capability on non-(l 11) Cu substrates.
[0112] As can be seen from the Examples 1 and 2, the use of a suppressor described above, which comprises a reaction product of an amine or sulfur-containing compound with 2,3-epoxy- 1 -propanol, in the copper electroplating solution enables the copper electroplating solution to initiate a high density nanotwinned copper deposition on various substrates, including non-(l 11) copper substrates, and to produce nanotwinned copper in features of the substrates.
Example 3:
[0113] A copper electrolyte was prepared containing a solution of 40 g/L copper(II) ions, 10 g/L sulfuric acid, 50 mg/L chloride ion, 1 mg/L SPS, and 400 mg/L of Compound 2A. A blanket coupon with (111) dominated PVD copper seed layer was plated at 3 ASD and produced >90% nanotwinned copper.
[0114] Figure 5 depicts a 20K zoom of the transition layer of the Compound 2A Composition plated on a (111) dominated PVD copper seed layer. As seen in Figure 5, the Compound 2A Composition was able to generate ntCu from (111) dominated PVD copper seed.
Example 3A;
[0115] A copper electrolyte was prepared in the same manner as Example 3 except that 3 mg/L of SPS was added to the solution. A blanket coupon with (111) dominated PVD copper seed layer was plated at 3 ASD and produced >90% nanotwinned copper.
Example 3B:
[0116] A copper electrolyte was prepared in the same manner as Example 3 except that 8 mg/L of SPS was added to the solution and Compound 1 was used instead of Compound 2A. A blanket coupon with (111) dominated PVD copper seed layer was plated at 3 ASD and produced >90% nanotwinned copper.
Example 3C:
[0117] An additional copper electrolyte was prepared in the same manner as Example 3 except that 8 mg/L of SPS was added to the solution and Compound 2B was used instead of Compound 2A. A blanket coupon with (11 1) dominated PVD copper seed layer was plated at 3 ASD and produced >90% nanotwinned copper.
Comparative Example 4:
[0118] A copper electrolyte was prepared in the same manner as Example 3 except that 28 mg/L of SPS was added to the solution. A blanket coupon with (111) dominated PVD copper seed layer was plated at 3 ASD and did not produce nanotwinned copper.
[0119] Figure 6 depicts a 20K zoom of the transition layer of the Compound 2A Composition plated on a (111) dominated PVD copper seed layer. As seen in Figure 6, the Compound 2 A Composition was not able to generate ntCu from (111) dominated PVD copper seed.
[0120] As can be seen from Comparative Example 4, increasing the amount of SPS in the copper electroplating solution, from 1 to 28 mg/L caused a loss in nanotwinned copper.
Comparative Example 5:
[0121] A copper electrolyte was prepared in the same manner as Example 3 except that 50 g/L copper (II) ions and 100 g/L sulfuric acid was added to the solution. A blanket coupon with (111) dominated PVD copper seed layer was plated at 3 ASD and did not produce nanotwinned copper.
[0122] Figure 7 depicts a 20K zoom of the transition layer of the Compound 2A Composition plated on a (111) dominated PVD copper seed layer. As seen in Figure 7, the Compound 2A Composition was not able to generate ntCu from (111) dominated PVD copper seed.
[0123] As can be seen from Comparative Example 5, increasing the amount of sulfuric acid in the copper electroplating solution, from 10 to 100 g/L caused a loss in nanotwinned copper.
Example 6:
[0124] A copper electrolyte was prepared containing a solution of 40 g/L copper(II) ions, 10 g/L sulfuric acid, 50 mg/L chloride ion, 1 mg/L SPS, 400 mg/L of Compound 2A, and 3 mg/L leveler. A blanket coupon with (111) dominated PVD copper seed layer was plated at 3 ASD and produced >90% nanotwinned copper. [0125] Figure 8 depicts a 20K zoom of the transition layer of the Compound 2A Composition plated on a (111) dominated PVD copper seed layer. As seen in Figure 8, the Compound 2 A Composition was able to generate ntCu from (111) dominated PVD copper seed.
Example 7:
[0126] A copper electrolyte was prepared in the same manner as Comparative Example 4 except that 3 mg/L of a leveler was added to the solution. A blanket coupon with (111) dominated PVD copper seed layer was plated at 3 ASD and produced >90% nanotwinned copper.
[0127] Figure 9 depicts a 20K zoom of the transition layer of the Compound 2A Composition plated on a (111) dominated PVD copper seed layer. As seen in Figure 9, the composition was able to generate ntCu from (111) dominated PVD copper seed.
[0128] As can be seen from Example 7, >90% nanotwinned copper can be produced even with a high concentration of accelerator when used in combination with a low concentration of sulfuric acid and the addition of a leveler.
[0129] Finally, it should also be understood that the following claims are intended to cover all of the generic and specific features of the invention described herein and all statements of the scope of the invention that, as a matter of language might fall therebetween.

Claims

WHAT IS CLAIMED IS:
1. A copper electroplating solution comprising: a) a copper salt; b) a source of halide ions; and c) a suppressor, wherein the suppressor comprises a reaction product of a reactant with 2,3-epoxy-l-propanol, wherein the reactant comprises at least one of an amine and a sulfur-containing compound; wherein the copper electrolyte is capable of depositing copper, wherein the copper deposit exhibits greater than about 80% nanotwinned columnar copper grains.
2. The copper electroplating solution according to claim 1, wherein the copper salt is copper sulfate.
3. The copper electroplating solution according to claim 1 or 2, further comprising an acid, wherein the acid comprises sulfuric acid or methane sulfonic acid.
4. The copper electroplating solution according to claim any of claims 1-3, wherein the copper electroplating composition comprises one or more of:
(i) an accelerator, wherein the accelerator comprises an organic sulfur compound; and
(ii) a leveler, wherein the leveler comprises a polymeric quaternary nitrogen species.
5. The copper electroplating solution according to any of claims 1-4, wherein the reactant comprises an amine compound selected from the group consisting of ethanolamine, diethanolamine, triethanolamine, propanolamine, isopropanolamine, diisopropanolamine, triisopropanolamine, N-methyl diethanolamine, N-ethyl diethanolamine, N-propyl diethanolamine, methyl monoethanolamine, N,N-dimethyl ethanolamine, N,N-diethyl ethanolamine, N-propyl monoethanolamine, N-propyl diethanolamine, N-butyl ethanolamine, N-butyl diethanolamine, N.N-dibutyl ethanolamine, hydroxy ethyl morpholine, 2-piperidino ethanol, diethanol isopropanolamine, N-(2-hydroxyethyl) pyrrolidine, 4-pyridinemethanol, 4-pyridineethanol, 4-pyridinepropanol, 2-hydroxy-4- methylpyridine, 2-hydroxymethy 1- 1 -methylimidazole, 4-hydroxymethy 1-5 - methylimidazole, choline chloride, b-methylcholine chloride, bis(2- hydroxyethyl)dimethylammonium chloride, tris(2-hydroxyethyl)methylammonium chloride, carnitine chloride, (2-hydroxycthyl)dimcthyl(3-sulfopropyl)ammonium chloride, l-(2-hydroxyethyl)-3-methylimidazolium chloride, bis(2- hydroxyethyl)dimethyl ammonium chloride, and combinations of the foregoing. The copper electroplating solution according to any of claims 1-4, wherein the reactant comprises a sulfur containing compound selected from the group consisting of 2,2’- thiodiethanol, thioglycolic acid, thiomalic acid, sodium hydrogen sulfide, thiodiglycolic acid, thiodiethylene glycol, thiourea, N,N,N’N’ -tetramethylthiourea, 2-mercaptoethanol, 3 -mercaptopropanol, 2-mercaptoimidazole, 2-mercaptopyridine, 4-mercaptopyridine, 4- mercaptophenol, 3 -mercapto- 1 -propanesulfonic acid, 3,6-dithia-l,8-octanediol, 2,2’- thiodiethanethiol, 2-hydroxyethyldisulfide, 3,3 ’-thiodipropanol, 2,2’- (ethylenedioxy)diethanethiol, and combinations of one or more of the foregoing. The copper electroplating solution according to claim 6, wherein the sulfur containing compound comprises 2,2’-thiodiethanol. The copper electroplating solution according to claim 4, wherein the accelerator is present and is selected from the group consisting of bis-(3-sulfopropyl)-disulfide, 3- mercapto-1 -propanesulfonic acid, 3-(benzothizolyl-2-mercapto)-propylsulfonic acid, N,N-dimethyldithiocarbamylpropyl sulfonic acid, 3-S-isothiuronium propyl sulfonate, and (O-ethyldithiocarbonato)-S-(3-sulfopropyl)ester. The copper electroplating solution according to claim 4, wherein both the accelerator and the leveler are present in the composition. The copper electroplating solution according to any of claims 1-4, wherein the suppressor comprises 90.0-99.9 wt.% of the 2,3-epoxy-l-propanol reacted with 0.1 to 10.0 wt.% of the reactant(s) or wherein the suppressor comprises 95.0-99.5 wt.% of the 2,3-epoxy-l- propanol reacted with 0.5 to 5.0 wt.% of the reactant(s), or wherein the suppressor comprises 97.0 to 99.0 wt.% of the 2,3-epoxy-l-propanol reacted with 2.0 to 3.0 wt.% of the reactant(s). The copper electroplating solution according to claim 1, wherein the copper electroplating solution comprises: a. about 40 to about 60 g/L copper ions; b. about 80 to about 140 g/L sulfuric acid; c. about 30 to about 120 mg/L chloride ions; d. about 300 to about 600 mg/L of a reaction product of an amine or sulfur- containing compound with a 2,3-epoxy-l -propanol. The copper electroplating solution according to claim 1, wherein the copper electroplating solution comprises: a. about 5 to about 50 g/L copper ions; b. about 8 to about 15 g/L sulfuric acid; c. about 30 to about 120 mg/L chloride ions; d. about 300 to about 600 mg/L of a reaction product of an amine or sulfur- containing compound with 2,3-epoxy-l-propanol. The copper electroplating solution according to claim 12, further comprising: a. about 0.01 to about 10 mg/L of the leveler, the leveler comprising a polymer quaternary nitrogen species; or b. about 0.1 to about 50 mg/L of the accelerator. The copper electroplating solution according to claim 1, wherein the copper electroplating solution is at least substantially free of any accelerator, brightener, carrier, wetter, or leveler or any compound that can function as an accelerator, brightener, carrier, wetter, or leveler. A method of electrodepositing copper on a substrate, the method comprising the steps of: a. contacting a surface of the substrate and at least one anode with the copper electrolyte of any of claims 1-4; and b. applying an electric voltage between the surface of the substrate and the at least one anode such that cathodic polarity is imposed upon the substrate relative to the at least one anode; wherein a copper deposit having a high density of nanotwinned columnar- copper grains is initiated on the substrate. The method according to claim 15, wherein the nanotwinned copper deposit is in a (111) orientation. The method according to claim 15 or 16, wherein the copper deposit comprises greater than 90% nanotwinned columnar- copper grains. The method according to claim 15, wherein the substrate is a non-(l 1 1) oriented copper substrate. The method according to claim 18, wherein the substrate is selected from the group consisting of poly crystalline copper seed, stainless steel, and PVD ruthenium. The method according to claim 18 or 19, wherein the nanotwinned copper deposit is in a (111) orientation. A method of electrodepositing >80% nanotwinned copper on a non-(l 11) oriented copper substrate using an aqueous copper electrolyte containing at least one organic additive. The method according to claim 21, wherein the at least one organic additive comprises a reaction product of a reactant with 2,3-epoxy-l-propanol, wherein the reactant comprises at least one of an amine and a sulfur-containing compound. The method according to claim 15, wherein electric voltage is applied at a current density between about 1 to about 8 ASD, more preferably between about 1 to about 3 ASD.
PCT/US2023/076363 2022-10-10 2023-10-09 Composition and method for nanotwinned copper formation WO2024081584A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202263414725P 2022-10-10 2022-10-10
US63/414,725 2022-10-10

Publications (1)

Publication Number Publication Date
WO2024081584A1 true WO2024081584A1 (en) 2024-04-18

Family

ID=90670133

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2023/076363 WO2024081584A1 (en) 2022-10-10 2023-10-09 Composition and method for nanotwinned copper formation

Country Status (1)

Country Link
WO (1) WO2024081584A1 (en)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050045488A1 (en) * 2002-03-05 2005-03-03 Enthone Inc. Copper electrodeposition in microelectronics
US7303992B2 (en) * 2004-11-12 2007-12-04 Enthone Inc. Copper electrodeposition in microelectronics
US10094033B2 (en) * 2011-11-16 2018-10-09 National Chiao Tung University Electrodeposited nano-twins copper layer and method of fabricating the same
US20220298665A1 (en) * 2020-08-28 2022-09-22 Macdermid Enthone Inc. Compositions and Methods for the Electrodeposition of Nanotwinned Copper

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050045488A1 (en) * 2002-03-05 2005-03-03 Enthone Inc. Copper electrodeposition in microelectronics
US7303992B2 (en) * 2004-11-12 2007-12-04 Enthone Inc. Copper electrodeposition in microelectronics
US10094033B2 (en) * 2011-11-16 2018-10-09 National Chiao Tung University Electrodeposited nano-twins copper layer and method of fabricating the same
US20220298665A1 (en) * 2020-08-28 2022-09-22 Macdermid Enthone Inc. Compositions and Methods for the Electrodeposition of Nanotwinned Copper

Similar Documents

Publication Publication Date Title
US11873568B2 (en) Compositions and methods for the electrodeposition of nanotwinned copper
US6610192B1 (en) Copper electroplating
KR101745731B1 (en) Electrodeposition of copper in microelectronics with dipyridyl-based levelers
JP5518925B2 (en) Leveling compound
EP2537962A1 (en) Method for copper plating
TW200415263A (en) Electrolytic copper plating solutions
TW200525695A (en) Copper electrodeposition in microelectronics
JP2002235187A (en) Seed repair and electroplating bath
JP7223083B2 (en) Acidic aqueous composition for electrolytic copper plating
EP2963158B1 (en) Plating method
US20190226107A1 (en) Composition for cobalt plating comprising additive for void-free submicron feature filling
TW201715089A (en) Method of electroplating copper into a via on a substrate from an acid copper electroplating bath
JP2021503560A (en) Cobalt electroplating composition containing a leveling agent
WO2024081584A1 (en) Composition and method for nanotwinned copper formation
US20220018035A1 (en) Composition for cobalt plating comprising additive for void-free submicron feature filling
WO2023014524A1 (en) Compositions and methods for the electrodeposition of nanotwinned copper
EP4381120A1 (en) Compositions and methods for the electrodeposition of nanotwinned copper
TW202415813A (en) Composition and method for nanotwinned copper formation

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 23878112

Country of ref document: EP

Kind code of ref document: A1