WO2022261806A1 - Chip stacking structure and manufacturing method, wafer stacking structure, and electronic device - Google Patents

Chip stacking structure and manufacturing method, wafer stacking structure, and electronic device Download PDF

Info

Publication number
WO2022261806A1
WO2022261806A1 PCT/CN2021/099953 CN2021099953W WO2022261806A1 WO 2022261806 A1 WO2022261806 A1 WO 2022261806A1 CN 2021099953 W CN2021099953 W CN 2021099953W WO 2022261806 A1 WO2022261806 A1 WO 2022261806A1
Authority
WO
WIPO (PCT)
Prior art keywords
wafer
chip
dielectric layer
stack structure
coupled
Prior art date
Application number
PCT/CN2021/099953
Other languages
French (fr)
Chinese (zh)
Inventor
夏禹
朱靖华
朱继锋
雷电
王前文
Original Assignee
华为技术有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 华为技术有限公司 filed Critical 华为技术有限公司
Priority to CN202180099447.7A priority Critical patent/CN117501443A/en
Priority to PCT/CN2021/099953 priority patent/WO2022261806A1/en
Publication of WO2022261806A1 publication Critical patent/WO2022261806A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00

Definitions

  • the present application relates to the technical field of semiconductors, and in particular to a chip stacking structure and a manufacturing method, a wafer stacking structure, and electronic equipment.
  • a plurality of chips can be stacked vertically one by one by using a three-dimensional (3 dimensions, 3D) integrated circuit chip (IC) stacking technology to form the above components.
  • IC integrated circuit chip
  • a bonding process with complex process and high bonding strength is usually used to bond two adjacent layers of chips.
  • multiple bonding processes with complex processes and high bonding strength will lead to an increase in manufacturing costs.
  • Embodiments of the present application provide a chip stacking structure and manufacturing method, a wafer stacking structure, and electronic equipment, which are used to reduce manufacturing costs while ensuring that the bonding strength between chips meets requirements during the 3D-IC stacking process.
  • a chip stacking structure includes a first chip, a second chip and a third chip.
  • the second chip is arranged on the side where the active surface of the first chip is located, and the passive surface of the second chip faces the active surface of the first chip.
  • the first chip and the second chip are bonded through a fusion bonding process.
  • the third chip is arranged on the side where the active surface of the second chip is located.
  • the passive surface of the third chip faces the active surface of the second chip.
  • the third chip is bonded to the second chip through a hybrid bonding process.
  • the above-mentioned chip stacking structure may include a plurality of stacked chips, so that it can have a high degree of integration in the vertical direction, reduce the size of the chip stacking structure in a two-dimensional plane, and then can be used in electronic devices In the limited two-dimensional layout space, components with high integration and performance are provided.
  • the chips in the stacked chip structure adopt two bonding methods: fusion bonding and hybrid bonding. That is, when the number of chip stacking layers is low, for example, when the first chip and the second chip are stacked, fusion bonding with lower cost can be used between the first chip and the second chip.
  • the fusion bonding can ensure the reliability of the component formed after the bonding of the first chip and the second chip.
  • the third chip is bonded to the bonded assembly of the first chip and the second chip, the number of layers of the chip stack increases.
  • the third chip and the second chip can be bonded not only through insulating materials, but also through metal materials, which improves the performance of the second chip.
  • the bonding strength between the three chips and the second core Therefore, the reliability of the chip stack structure formed after the bonding of the third chip, the second chip and the first chip can be improved. Therefore, during the 3D-IC stacking process, the bonding strength between chips can be guaranteed to meet the requirements, and the production cost can be reduced.
  • the chip stack structure further includes a first dielectric layer, a second dielectric layer, a third dielectric layer, a plurality of first dummy pads arranged at intervals, and a plurality of second dummy pads arranged at intervals.
  • the first dielectric layer is disposed between the first chip and the second chip.
  • the first chip and the second chip are bonded through the first dielectric layer.
  • the second dielectric layer is disposed on the active surface side of the second chip, and the plurality of first dummy pads are disposed in the second dielectric layer.
  • the third dielectric layer is disposed on the passive surface of the third chip, and a plurality of second dummy pads are disposed in the third dielectric layer.
  • the third dielectric layer of insulating material between the third chip and the second chip is bonded to the second dielectric layer, and in addition, the third chip
  • One second dummy pad among the plurality of second dummy pads is bonded to one first dummy pad among the plurality of first dummy pads with the metal material between the second chip.
  • the chip stack structure further includes a first interconnection component.
  • the first interconnection component includes a first redistribution layer, a second redistribution layer and a first via hole.
  • the first redistribution layer is disposed in the first dielectric layer and coupled with the first chip.
  • the second redistribution layer is disposed in the second dielectric layer and coupled with the second chip.
  • the first via hole runs through the second chip. The first end of the first via hole is coupled to the first redistribution layer, and the second end of the first via hole is coupled to the second redistribution layer. In this way, the first chip can realize signal transmission between the first chip and the second chip through the above-mentioned first interconnection component.
  • the first chip and the second chip can be vertically connected through the first interconnection component.
  • the two chips are coupled so that the signal transmission path between the first chip and the second chip is shorter.
  • the signal transmitted by the chip stack structure provided by this application can have a higher bandwidth, which is beneficial to The performance of the chip stack structure is improved.
  • the first interconnection component further includes a first conducting pad, disposed on a surface of the second redistribution layer away from the first redistribution layer, and coupled to the second redistribution layer.
  • the chip stack structure also includes a second interconnection component.
  • the second interconnection component includes a second via pad and a second via hole.
  • the second conducting pad is disposed in the third dielectric layer and bonded with the first conducting pad.
  • the second via hole runs through the third chip. The first end of the second via hole is coupled to the second via pad, and the second end of the second via hole is coupled to the third chip.
  • the second redistribution layer can be coupled to the second conductive pad in the second interconnection assembly through the above-mentioned first conductive pad, so that the second chip can pass through the above-mentioned first conductive pad.
  • Signal transmission is realized between the through pad and the second interconnection component and the third chip.
  • the second via hole in the second interconnection component runs through the third chip, so the second chip and the third chip can be coupled vertically through the second interconnection component, so that the second chip and the third chip
  • the signal transmission path of the chip is shorter, which is conducive to improving the performance of the chip stack structure.
  • the chip stack structure further includes a fourth chip and a fourth dielectric layer.
  • the fourth chip is disposed on a side of the third chip away from the second chip, and the passive surface of the fourth chip faces the active surface of the third chip.
  • the fourth dielectric layer is disposed between the third chip and the fourth chip.
  • the third chip and the fourth chip are bonded through the fourth dielectric layer.
  • the component formed by bonding the first chip and the second chip is bonded with the component formed by bonding the third chip and the fourth chip by using a hybrid bonding process with higher bonding strength.
  • the bonding process between the chips can adopt a fusion bonding process with a lower cost.
  • hybrid bonding with high bonding strength is used between the chips, so that the reliability of the chip stacking structure can be improved while reducing the manufacturing cost.
  • a part of the chips can be grouped and bonded to form a stacked component under the premise that the fusion bonding process can ensure reliability.
  • a hybrid bonding process with higher bonding strength is used to bond multiple stacked components in pairs.
  • a chip in one of the stacking components has a stacking error or a deviation in alignment accuracy during the stacking process, it can be separately The stack assembly can be replaced without causing failure of the entire chip stack structure.
  • the chip stack structure further includes a fifth dielectric layer.
  • the fifth dielectric layer is disposed on the active surface of the fourth chip.
  • the chip stack structure also includes a third interconnection component.
  • the third interconnection component includes a third redistribution layer, a fourth redistribution layer and a third via hole.
  • the third redistribution layer is disposed in the fourth dielectric layer and coupled with the third chip and the first interconnection component.
  • the fourth redistribution layer is disposed in the fifth dielectric layer and coupled with the fourth chip.
  • the third via hole runs through the fourth chip.
  • the first end of the third via hole is coupled to the third redistribution layer, and the second end of the third via hole is coupled to the fourth redistribution layer. In this way, the fourth chip can realize signal transmission between the third chip and the third chip through the third interconnection component.
  • the chip stack structure further includes a plurality of third conductive pads arranged at intervals and a plurality of fourth conductive pads arranged at intervals.
  • a plurality of third conducting pads are disposed in the second dielectric layer and coupled with the second chip. While making the first dummy pad, the preparation of the third conductive pad can be completed.
  • a plurality of fourth conducting pads are disposed in the third dielectric layer and coupled with the third chip. While fabricating the second dummy pad, fabrication of the fourth conductive pad can be completed. In this case, one third conductive pad among the plurality of third conductive pads is bonded to one fourth conductive pad among the plurality of fourth conductive pads.
  • the chip farthest from the first chip in the chip stack structure is the bottom chip.
  • the chip stack structure also includes a plurality of interface pads arranged at intervals. The plurality of interface pads are arranged in the dielectric layer on the side of the active surface of the bottom chip. A plurality of interface pads are used to couple the bottom chip with external components.
  • other chips in the above-mentioned chip stacking structure can perform signal transmission between the above-mentioned interconnection component structure and the bottom chip, when the bottom chip couples the above-mentioned interface pads to the external components, it can make the whole
  • the chip stack structure performs signal transmission through the above-mentioned external components, such as an adapter board and a PCB.
  • the bottom chip is a logic chip, and at least one chip other than the bottom chip in the chip stack structure is a memory chip.
  • the chip stack structure can form a high-bandwidth memory.
  • a wafer stacking structure in a second aspect of the embodiments of the present application, includes a first wafer, a second wafer and a third wafer.
  • the second wafer is arranged on the side where the active surface of the first wafer is located, and the passive surface of the second wafer faces the active surface of the first wafer.
  • the first wafer is bonded to the second wafer through a fusion bonding process.
  • the third wafer is arranged on the side of the second wafer away from the first wafer; the passive surface of the third wafer faces the active surface of the second wafer.
  • the third wafer is bonded to the second wafer by a hybrid bonding process.
  • the wafer stack structure further includes a first dielectric layer, a second dielectric layer, a third dielectric layer, a plurality of first dummy pads arranged at intervals, and a plurality of second dummy pads arranged at intervals.
  • the first dielectric layer is arranged between the first wafer and the second wafer, and the first wafer and the second wafer pass through The first dielectric layer is bonded.
  • the second dielectric layer is disposed on one side of the active surface of the second wafer.
  • a plurality of first dummy pads are disposed in the second dielectric layer.
  • the third dielectric layer is disposed on the passive surface of the third wafer.
  • a plurality of second dummy pads are disposed in the third dielectric layer.
  • the third dielectric layer of insulating material between the third wafer and the second wafer is bonded to the second dielectric layer, and in addition , the metal material between the third wafer and the second wafer.
  • One of the plurality of second dummy pads is bonded to one of the plurality of first dummy pads. combine.
  • the wafer stack structure further includes a first interconnection component.
  • the first interconnection component includes a first redistribution layer, a second redistribution layer and a first via hole.
  • the first redistribution layer is disposed in the first dielectric layer and coupled with the first wafer.
  • the second redistribution layer is disposed in the second dielectric layer and coupled with the second wafer.
  • the first via hole runs through the second wafer. The first end of the first via hole is coupled to the first redistribution layer, and the second end of the first via hole is coupled to the second redistribution layer.
  • the first interconnection component further includes a first conducting pad disposed on a surface of the second redistribution layer away from the first redistribution layer.
  • the chip stack structure also includes a second interconnection component.
  • the second interconnection component includes a second via pad and a second via hole.
  • the second conducting pad is disposed in the third dielectric layer and bonded to the first conducting pad.
  • the second via hole runs through the third wafer.
  • the first end of the second via hole is coupled to the second via pad, and the second end of the second via hole is coupled to the third wafer.
  • the wafer stack structure further includes a fourth wafer and a fourth dielectric layer.
  • the fourth wafer is disposed on a side of the third wafer away from the second wafer, and the passive surface of the fourth wafer faces the active surface of the third wafer.
  • the fourth dielectric layer is disposed between the third wafer and the fourth wafer.
  • the third wafer and the fourth wafer are bonded through the fourth dielectric layer.
  • the fourth chip in the chip stack structure is obtained by dicing the fourth wafer.
  • the fourth wafer has the same technical effect as that of the fourth chip provided by the foregoing embodiments, which will be described in detail here.
  • the wafer stack structure further includes a fifth dielectric layer.
  • the fifth dielectric layer is disposed on the active surface of the fourth wafer.
  • the chip stack structure also includes a third interconnection component.
  • the third interconnection assembly includes a third redistribution layer and a fourth redistribution layer.
  • the third redistribution layer is disposed in the fourth dielectric layer and coupled with the third wafer and the first interconnection component.
  • the fourth redistribution layer is disposed in the fifth dielectric layer and coupled with the fourth wafer.
  • the third via hole runs through the fourth wafer.
  • the first end of the third via hole is coupled to the third redistribution layer, and the second end of the third via hole is coupled to the fourth redistribution layer.
  • the wafer stack structure further includes a plurality of third conduction pads arranged at intervals and a plurality of fourth conduction pads arranged at intervals.
  • a plurality of third conducting pads are disposed in the second dielectric layer and coupled with the second wafer. While making the first dummy pad, the preparation of the third conductive pad can be completed.
  • a plurality of fourth conducting pads are disposed in the third dielectric layer and coupled with the third wafer. While fabricating the second dummy pad, fabrication of the fourth conductive pad can be completed. In this case, one third conductive pad among the plurality of third conductive pads is bonded to one fourth conductive pad among the plurality of fourth conductive pads.
  • a third aspect of the embodiments of the present application provides an electronic device, including an external component and at least one chip stack structure as described above coupled with the external component.
  • the electronic device has the same technical effect as that of the chip stacking structure provided by the foregoing embodiments, which will not be repeated here.
  • the external component includes a packaging substrate, an interposer, or at least one fan-out redistribution layer.
  • the bottom chip in the chip stack structure can realize signal transmission between the above-mentioned external components and the PCB.
  • a method for manufacturing a stacked chip structure comprising: firstly, setting a second wafer on the side where the active surface of the first wafer is located, and the second wafer without The source surface faces the active surface of the first wafer, and the first wafer and the second wafer are bonded by a fusion bonding process.
  • the first wafer (to obtain the first chip after cutting) and the second wafer (to obtain the second chip after cutting) Wafer to wafer bonding (wafer to wafer bonding, W2W bonding) method is used to stack in sequence.
  • Wafer to wafer bonding Wafer to wafer bonding, W2W bonding
  • the stack assembly composed of the first wafer and the second wafer is bonded to the third wafer (the third chip is obtained after dicing) by W2W bonding to form a wafer stack structure.
  • the wafer stack structure may be cut along the cutting line on the outermost wafer of the wafer stack structure to form a plurality of chip stack structures.
  • the chip stack structure 20 is obtained by directly bonding the wafers and cutting them, so there is no need to use known good dies (KGD) to test the cut dies one by one , so that the manufacturing process can be simplified and the production cost can be reduced.
  • KGD known good dies
  • the wafer carrier Both can support the second wafer, thereby reducing the probability of warping of the second wafer during thinning and stacking with other wafers. Furthermore, the wafer stack structure and the yield rate of the chip stack structure formed by cutting the wafer stack structure can be improved.
  • the second wafer is arranged on the side where the active surface of the first wafer is located, and the method of bonding the first wafer and the second wafer through a fusion bonding process includes: The round active face forms the first dielectric layer. Next, a second dielectric layer is formed on the active surface of the second wafer, the wafer carrier is bonded to the surface of the second dielectric layer away from the second wafer, and the passive surface of the second wafer is thinning. Next, the passive surface of the second wafer is bonded to the active surface of the first wafer through the first dielectric layer, and the wafer carrier is removed.
  • a third wafer is arranged on the side where the active surface of the second wafer is located, and the method of bonding the third wafer to the second wafer through a fusion bonding process or a hybrid bonding process includes : forming a third dielectric layer on the passive surface of the third wafer.
  • the method for bonding the third wafer to the second wafer includes: bonding the third wafer to the second wafer through at least the third dielectric layer and the second dielectric layer. Specifically, when the third wafer and the second wafer are bonded by a fusion bonding process, the third wafer and the second wafer can be bonded through the third dielectric layer and the second dielectric layer. combine. Or, when the third wafer and the second wafer are bonded using a hybrid bonding process, the third wafer and the second wafer can be bonded not only through the third dielectric layer and the second dielectric layer It can also be bonded by metal materials.
  • the stacking method of the above-mentioned chip wafers further includes cutting the first wafer, the second wafer and the third wafer along the cutting line, which can Multiple chip stack structures are obtained.
  • the method for manufacturing the chip stack structure further includes: manufacturing a plurality of first dummy pads arranged at intervals in the second dielectric layer.
  • the method for manufacturing the chip stack structure further includes: manufacturing a plurality of second dummy pads arranged at intervals in the third dielectric layer. Bonding the third wafer to the second wafer through at least the third dielectric layer and the second dielectric layer includes: bonding the third dielectric layer to the second dielectric layer, and bonding a plurality of One of the first dummy pads is bonded to one of the plurality of second dummy pads.
  • two bonding methods are used in the process of fabricating the chip stack structure. That is, when the number of stacked wafers is relatively low, for example, when the first wafer and the second wafer are stacked, fusion bonding with a lower cost can be used between the first wafer and the second wafer. Since the number of wafer layers in the component formed by bonding the first wafer and the second wafer is relatively low, the fusion bonding can fully ensure the reliability of the component formed after the bonding of the first wafer and the second wafer. When the third wafer is bonded to the bonded assembly of the first and second wafers, the number of layers in the wafer stack increases.
  • the third wafer and the second wafer can be bonded not only through insulating materials, but also through metal materials , improving the bonding strength between the third wafer and the second chip. Therefore, the reliability of the wafer stack structure formed after the bonding of the third wafer, the second wafer and the first wafer can be improved.
  • the method for manufacturing the chip stack structure further includes: manufacturing a plurality of third conductive pads arranged at intervals in the second dielectric layer. A plurality of third conducting pads are coupled to the second wafer.
  • the method for manufacturing the chip stack structure further includes: manufacturing a plurality of fourth conductive pads arranged at intervals in the third dielectric layer. A plurality of fourth conducting pads are coupled to the third wafer.
  • Bonding the third wafer to the second wafer through at least the third dielectric layer and the second dielectric layer further includes: bonding one of the plurality of third conductive pads to the plurality of third conductive pads One of the fourth conductive pads is bonded to each other.
  • the technical effects of the above-mentioned third conductive pad and the fourth conductive pad are the same as those described above, and will not be repeated here.
  • bonding the third wafer to the second wafer through at least the third dielectric layer and the second dielectric layer includes: bonding the third dielectric layer to the second dielectric layer.
  • the The chip stacking method further includes: forming a first redistribution layer coupled with the first wafer in the first dielectric layer.
  • the third wafer is bonded to the second wafer through at least the third dielectric layer and the second dielectric layer.
  • the method for manufacturing the chip stack structure further includes: forming a first via hole penetrating through the second wafer, and a second via hole disposed in the second dielectric layer and coupled to the second wafer.
  • the first end of the first via hole is coupled to the first redistribution layer, and the second end is coupled to the second redistribution layer.
  • the first redistribution layer, the first via hole and the second redistribution layer may constitute a first interconnection component.
  • the technical effect of the first interconnection component is the same as that described above, and will not be repeated here.
  • the method for manufacturing the chip stack structure further includes: firstly, forming a fourth dielectric layer on the active surface of the third wafer.
  • a second via hole is formed in the third wafer, and a third redistribution layer is formed in the fourth dielectric layer.
  • the third redistribution layer is coupled to the second end of the second via hole and the third wafer.
  • a fifth dielectric layer is formed on the active surface of the fourth wafer, the wafer carrier is bonded to the surface of the fifth dielectric layer away from the fourth wafer, and the passive surface of the fourth wafer is thinning.
  • the passive surface of the fourth wafer is bonded to the active surface of the third wafer through the fourth dielectric layer, and the wafer carrier is removed.
  • the first end of the third via hole is coupled to the third redistribution layer, and the second end is coupled to the fourth redistribution layer.
  • the wafer carrier is bonded to the surface of the fifth dielectric layer away from the fourth wafer, and the passive surface of the third wafer is thinned to expose the first end of the second via hole.
  • the method for manufacturing the chip stack structure further includes: manufacturing a second conductive pad in the third dielectric layer.
  • the second via pad is coupled to the first end of the second via hole and the second redistribution layer.
  • the above-mentioned fourth wafer can be added in the chip stacking structure, so that the integration degree of the wafer stacking structure can be improved.
  • the above-mentioned second via hole penetrating through the third wafer is formed before the bonding of the third wafer and the fourth wafer by adopting a mid-section drilling process.
  • the mid-section drilling process does not need to form an etch stop layer covering the surface of the third redistribution layer when making the second via hole, and it is not necessary for the second via hole to be formed.
  • the three wafers and the etching barrier layer are sequentially etched step by step, so that the third redistribution layer is coupled with the second via hole. Therefore, steps such as step-by-step etching and formation of an etching barrier layer need not be considered in the process of manufacturing the second via hole by adopting the mid-section drilling process, which is beneficial to reduce the difficulty of the process.
  • the method for manufacturing the chip stack structure further includes: first, forming a fourth dielectric layer on the active surface of the third wafer, and A third rewiring layer is formed in the fourth dielectric layer.
  • a fifth dielectric layer is formed on the active surface of the fourth wafer, and the wafer carrier is bonded to the surface of the fifth dielectric layer away from the fourth wafer; thinning.
  • the passive surface of the fourth wafer is bonded to the active surface of the third wafer through the fourth dielectric layer, and the wafer carrier is removed.
  • the method for manufacturing the chip stack structure further includes: forming a second via hole penetrating through the third wafer, and forming a second via hole in the third dielectric layer.
  • the conducting pad; the second conducting pad is coupled with the first end of the second via hole and the second redistribution layer.
  • the above-mentioned fourth wafer can be added in the chip stacking structure, so that the integration degree of the wafer stacking structure can be improved.
  • the second via hole penetrating through the third wafer is formed after the bonding of the third wafer and the fourth wafer by adopting a post-drilling process.
  • FIG. 1 is a schematic structural diagram of an electronic device provided in an embodiment of the present application.
  • FIG. 2a is a schematic diagram of a chip stack structure provided by an embodiment of the present application.
  • Fig. 2b is a schematic structural diagram of the first chip in Fig. 2a;
  • FIG. 3 is a schematic diagram of another chip stack structure provided by the embodiment of the present application.
  • FIG. 4 is a flow chart of a method for manufacturing a chip stack structure provided in an embodiment of the present application.
  • Fig. 5a, Fig. 5b, Fig. 5c, Fig. 5d, Fig. 5e and Fig. 5f are sequential structural schematic diagrams corresponding to the steps of making the first stacked assembly
  • Figure 6a, Figure 6b, and Figure 6c are sequentially a structural schematic diagram corresponding to the steps of making the second stacked assembly
  • Fig. 7 is a structural schematic diagram obtained by bonding the first stack assembly shown in Fig. 5f and the second stack assembly shown in Fig. 6c;
  • FIG. 8 is a schematic top view of a wafer stack structure provided in an embodiment of the present application.
  • Fig. 9a is a schematic diagram of another first stacking assembly provided by the embodiment of the present application.
  • Fig. 9b is a schematic diagram of another second stacking assembly provided by the embodiment of the present application.
  • Fig. 9c is a structural schematic diagram obtained by bonding the first stack assembly shown in Fig. 9a and the second stack assembly shown in Fig. 9b;
  • FIG. 10 is a schematic structural diagram of another wafer stack structure provided in the embodiment of the present application.
  • FIG. 11 is a schematic diagram of a chip stack structure obtained by cutting the wafer stack structure shown in FIG. 10;
  • Fig. 12a, Fig. 12b, Fig. 12c and Fig. 12d are sequentially another structural schematic diagram corresponding to the steps of making the second stacked assembly
  • Fig. 13 is a schematic structural diagram obtained by bonding the first stack assembly shown in Fig. 5f and the second stack assembly shown in Fig. 12d;
  • FIG. 14 is a schematic diagram of a chip stack structure obtained by cutting the wafer stack structure shown in FIG. 13;
  • FIG. 15 is a schematic top view of another chip stack structure provided by the embodiment of the present application.
  • Fig. 16a, Fig. 16b, Fig. 16c and Fig. 16d are sequentially another structural schematic diagram corresponding to the step of manufacturing the second stack assembly.
  • first”, second, etc. are used for descriptive purposes only, and cannot be understood as indicating or implying relative importance or implicitly specifying the quantity of indicated technical features.
  • a feature defined as “first”, “second”, etc. may expressly or implicitly include one or more of that feature.
  • connection should be understood in a broad sense, for example, “connection” can be a fixed connection, a detachable connection, or an integral body; it can be a direct connection, or It can be connected indirectly through an intermediary.
  • connection can be a fixed connection, a detachable connection, or an integral body; it can be a direct connection, or It can be connected indirectly through an intermediary.
  • coupled may be an electrical connection for signal transmission.
  • Coupling can be a direct electrical connection, or an indirect electrical connection through an intermediary.
  • An embodiment of the present application provides an electronic device.
  • the electronic device includes a mobile phone (mobile phone), a tablet computer (pad), a computer, a smart wearable product (for example, a smart watch, a smart bracelet), a virtual reality (virtual reality, VR) terminal device, an augmented reality (augmented reality, AR ) Terminal equipment and other electronic products.
  • the embodiment of the present application does not specifically limit the specific form of the foregoing electronic device.
  • the electronic device 01 includes an external component 10 and at least one chip stack structure 20 coupled to the external component 10 .
  • the external component 10 may include at least one of a packaging substrate, a silicon-based interposer, and at least one redistribution layer (RDL) of fan-out (integrated fan-out, InFO).
  • RDL redistribution layer
  • the above-mentioned chip stack structure 20 may include a plurality of stacked chips.
  • the chips in the chip stack structure 20 may be logic chips or memory chips.
  • the chip stack structure 20 may be coupled with a ball grid array (BGA) as shown in FIG. 1 , or a plurality of copper pillar bumps arranged in an array.
  • the electronic device 01 also includes printed circuit boards (printed circuit boards, PCB).
  • the above-mentioned external component 10 can also be coupled with the PCB through the above-mentioned electrical connector. In this case, the chip stack structure 20 can realize signal transmission with other chips or chip stack structures on the PCB through the external component 10 .
  • the chip stack structure 20 may include a first chip 211 , a second chip 212 and a third chip 213 .
  • the chip stack structure 20 is divided into a first stack component 21 and a second stack component 22 .
  • the first stack assembly 21 includes the above-mentioned first chip 211 and the second chip 212 .
  • the second stack assembly 22 includes the aforementioned third chip 213 .
  • any one of the above chips may include a substrate 100, such as a glass substrate, an amorphous silicon (a-Si) substrate, or a silicon carbide (SiC) substrate.
  • the first chip 211 may further include a circuit structure 101 disposed on the substrate 100 .
  • the surface of the circuit structure 101 in the first chip 211 away from the substrate 100 is called the active surface F of the chip, and the surface of the substrate 100 away from the circuit structure 101 is called the passive surface B .
  • the second chip 212 is disposed on the side where the active surface F of the first chip 211 is located, and the passive surface B of the second chip 212 faces the active surface F of the first chip 211 .
  • the first stack assembly 21 further includes a first dielectric layer 301 and a second dielectric layer 302 .
  • the first dielectric layer 301 is disposed between the first chip 211 and the second chip 212 .
  • the first chip 211 and the second chip 212 may be bonded using a fusion bonding process. In this case, the first chip 211 and the second chip 212 may be bonded through the first dielectric layer 301 .
  • the second dielectric layer 302 is disposed on the side of the active surface F of the second chip 212 .
  • the bonding between chips is a process in which the atoms at the chip interface are combined into one body through van der Waals force, molecular force or even atomic force under the action of external energy.
  • the above-mentioned first stack assembly 21 further includes a plurality of first dummy pads (pads) 401 arranged at intervals.
  • a plurality of first dummy pads 401 are disposed in the second dielectric layer 302 .
  • the material constituting the second dummy pad 402 is a conductive material, such as at least one of gold, silver, copper, and aluminum. In the embodiment of the present application, the material constituting the second dummy pad 402 may be pure copper. There is no coupling between the plurality of first dummy pads 401 and the second chip 212 .
  • the above-mentioned first stacking assembly 21 may also include a first interconnection assembly as shown in FIG. 2a 50.
  • the first interconnection component 50 may include a first re-distribution layer (re-distribution layer, RDL) 501, a second re-distribution layer 502, and a first through hole (through Si via, TSV) 511.
  • the first redistribution layer 501 is disposed in the first dielectric layer 301 and coupled to the first chip 211 .
  • the first redistribution layer 501 includes multiple dielectric layers and metal wires disposed between two adjacent dielectric layers. Adjacent metal wires can be electrically connected through via holes formed on the dielectric layer.
  • the coupling between the first redistribution layer 501 and the first chip 211 means that the metal traces in the first redistribution layer 501 and the circuit structure 101 in the first chip 211 (as shown in FIG. 2b ) phase coupling.
  • the second redistribution layer 502 is disposed in the second dielectric layer 302 and coupled to the second chip 212 .
  • the metal traces in the second redistribution layer 502 can be coupled with the circuit structure 101 in the second chip 212 .
  • the above-mentioned first via hole 511 may penetrate through the second chip 212 .
  • the first end of the first via hole 511 is coupled to the first redistribution layer 501
  • the second end of the first via hole 511 is coupled to the second redistribution layer 502 .
  • the circuit structure 101 in the first chip 211 can be coupled to the circuit structure 101 in the second chip 212 through the first redistribution layer 501 , the first via hole 511 and the second redistribution layer 502 in sequence.
  • signal transmission between the first chip 211 and the second chip 212 is realized.
  • the above-mentioned second stack assembly 22 may further include a third dielectric layer 303 as shown in FIG. 2 a.
  • the third chip 213 is disposed on the side where the active surface F of the second chip 212 is located, and the passive surface B of the third chip 213 faces the active surface F of the second chip 212 .
  • the third dielectric layer 303 is disposed on the passive surface B of the third chip 213 .
  • the above-mentioned second stack assembly 22 may include a plurality of second dummy pads 402 arranged at intervals. A plurality of second dummy pads 402 are disposed in the third dielectric layer 303 .
  • the material constituting the second dummy pad 402 may be the above-mentioned conductive material, such as pure copper material.
  • the third chip 213 is bonded to the second chip 212 through a hybrid bonding process. In this case, the insulating material between the third chip 213 and the second chip 212 is bonded, that is, the third dielectric layer 303 is bonded to the second dielectric layer 302 .
  • the metal material between the third chip 213 and the second chip 212 is bonded, that is, one of the multiple second dummy pads 402 and one of the multiple first dummy pads 401
  • the first dummy pads 401 are bonded to each other. There is no coupling between the plurality of second dummy pads 402 and the third chip 213 .
  • the above-mentioned first interconnection component 50 may further include a first conducting pad 521 .
  • the first conducting pad 521 is disposed on a surface of the second redistribution layer 502 away from the first redistribution layer 501 , and is coupled to the second redistribution layer 502 .
  • the second stack assembly 22 also includes a second interconnection assembly 51 .
  • the second interconnection component 51 may include a second via pad 522 and a second via hole 512 .
  • the second conducting pad 522 is disposed in the third dielectric layer 303 and bonded to the first conducting pad 521 .
  • the second via hole 512 runs through the third chip 213 .
  • a first end of the second via hole 512 is coupled to the second via pad 522
  • a second end of the second via hole 512 is coupled to the third chip 213 .
  • the materials constituting the first conducting pad 521 and the second conducting pad 522 may be the same, such as pure copper.
  • the second stack assembly 22 further includes a fourth dielectric layer 304 as shown in FIG.
  • the third redistribution layer 503 within the fourth dielectric layer 304 is disposed on the side of the active surface F of the third chip 213, a part of the third redistribution layer 503 is coupled with the circuit structure 101 in the third chip 213, and the other part can be connected with the above-mentioned first Second ends of the two via holes 512 are coupled.
  • the third chip 213 can perform signal transmission with the second chip 212 through the third redistribution layer 503 and the second via hole 512 .
  • the third chip 213 can also pass through the third redistribution layer 503, the second via hole 512, the second conduction pad 522, the first conduction pad 521, the second redistribution layer 502, the first conduction The hole 511 and the first redistribution layer 501 perform signal transmission with the first chip 211 .
  • the first chip 211 in the chip stack structure 20 can realize signal transmission between the first interconnection component 50 and the second chip 212 . Since the first via hole 511 in the first interconnection component 50 runs through the second chip 212, and the thickness of the substrate 100 of the second chip 212 is very thin, which can be about 50 ⁇ m, the first interconnection component 50 can vertically The first chip 211 and the second chip 212 are coupled so that the signal transmission paths of the first chip 211 and the second chip 212 are shorter.
  • the signal transmitted by the chip stack structure 20 provided by the present application can have a higher bandwidth, thereby effectively It is beneficial to improve the performance of the chip stacking structure.
  • the second chip 212 can realize signal transmission between the second chip 212 and the third chip 213 through the above-mentioned first conductive pad 521 and the second interconnection component 51 .
  • the second via hole 512 in the second interconnection component 51 runs through the third chip 213, so the second chip 212 and the third chip 213 can be coupled vertically through the second interconnection component 51, so that The signal transmission paths of the second chip 212 and the third chip 213 are shorter, which is beneficial to improve the performance of the chip stack structure 20 .
  • the above-mentioned chip stack structure 20 may be manufactured in a W2W bonding manner.
  • the method for fabricating the above-mentioned chip stack structure 20 is illustrated below with an example.
  • each via hole used to connect chips in different layers in the chip stack structure 20 is prepared by a post-drilling process. That is, the aforementioned via holes are formed on the wafers after stacking a plurality of wafers.
  • the manufacturing method of the chip stack structure 20 includes S101 - S104 .
  • the above S101 includes disposing the second wafer 132 on the side where the active surface F of the first wafer 131 is located.
  • the passive surface B of the second wafer 132 faces the active surface F of the first wafer 131 , and the first wafer 131 and the second wafer 132 are bonded by a fusion bonding process.
  • the above S101 includes: first, as shown in FIG. 5 a , cleaning the first wafer 131 , and forming a first dielectric layer 301 on the active surface F of the first wafer 131 . Then, a groove 200 is formed on the first dielectric layer 301 by photolithography.
  • the specific photolithography process includes: forming a photoresist layer (not shown in the figure) on the surface of the first dielectric layer 301 away from the first wafer 131, and then patterning the photoresist layer by using a mask. and then forming a groove 200 on the first dielectric layer 301 by an etching process.
  • a first redistribution layer 501 coupled with the first wafer 131 is formed in the groove 200 .
  • the first redistribution layer 501 is coupled to the circuit structure 101 in the first wafer 131 (as shown in FIG. 2 b ).
  • the above-mentioned first wafer 131 includes the substrate 100 and the circuit structure 101 as shown in FIG. 2 b .
  • a plurality of cutting lines (not shown in the figure) intersecting horizontally and vertically are arranged on the first wafer 131 , and the area surrounded by adjacent cutting lines intersecting horizontally and vertically is the area where the first chip 211 is located. Therefore, a plurality of the above-mentioned first chips 211 can be obtained after cutting the first wafer 131 along the cutting line.
  • the second wafer 132 as shown in FIG. 5 c is cleaned, and a second dielectric layer 302 is formed on the active surface F of the second wafer 132 .
  • the wafer carrier 31 is bonded to the surface of the second dielectric layer 302 away from the second wafer 132, and to the passive surface B of the second wafer 132 (ie, the second wafer 132).
  • the surface of the substrate 100 away from the circuit structure 101 is thinned.
  • the thickness of the substrate 100 of the second wafer 132 can be reduced to about 50 ⁇ m.
  • a plurality of second chips 212 can be obtained after cutting the second wafer 132 along the cutting line on the second wafer 132 .
  • the material of the wafer carrier 31 may be the same as that of the substrate constituting the above-mentioned wafer.
  • a fusion bonding process may be used to bond the passive surface B of the second wafer 132 to the active surface F of the first wafer 131 through the first dielectric layer 301 .
  • a grinding process, a chemical mechanical polishing process or an etching process may be used to remove the wafer carrier 31 shown in FIG. 5d.
  • any dielectric layer for example, the material of the first dielectric layer 301 is an inorganic material. Therefore, in this application, there is no need to add an organic adhesive layer during the bonding process of any two wafers. Therefore, the probability of organic impurity contamination due to the introduction of organic materials can be reduced during the manufacturing process of the chip stack structure 20 .
  • the wafer carrier 31 can support the second wafer 132, thereby reducing the probability of warping of the second wafer 132 during the process of thinning and stacking with other wafers. Furthermore, the yield of the wafer stack structure 02 and the chip stack structure 20 formed by dicing the wafer stack structure 02 can be improved.
  • an etching process such as a dry etching process, may be used to form a first via hole 511 penetrating through the second wafer 132 .
  • a part of the second wafer 132 is firstly etched to stop on the etch barrier layer on the surface of the first redistribution layer 501, and then the etch barrier layer is etched to expose the first redistribution layer 501.
  • the metal traces in the wafer 132 form holes penetrating through the second wafer 132 .
  • an isolation layer is deposited in the via hole to isolate the first via hole 511 from the second wafer 132 .
  • the isolation layer on the surface of the first redistribution layer 501 is opened, and a metal conductive material is formed in the hole penetrating the second wafer 132 to form a first via hole 511 coupled with the first redistribution layer 501 .
  • a second redistribution layer 502 coupled to the second wafer 132 is formed in the second dielectric layer 302, so that the first end of the first via hole 511 is coupled to the first redistribution layer 501, The second end is coupled to the second redistribution layer 502 . Since the first via hole 511 is formed after the first wafer 131 and the second wafer 132 are bonded, the above-mentioned first via hole 511 adopts the aforementioned post-drilling process.
  • a plurality of first dummy pads 401 arranged at intervals may also be fabricated in the second dielectric layer 302 .
  • a plurality of grooves arranged at intervals may be formed on the second dielectric layer 302 by using the photolithography process described above.
  • a conductive material such as a pure copper material, is formed in the above-mentioned groove by using an electroplating process to form the above-mentioned first dummy pad 401 .
  • the first conducting pad 521 connected to the surface of the second redistribution layer 502 away from the second wafer 132 may also be used.
  • the material constituting the first via pad 521 may be the same as that of the first dummy pad 401 .
  • the formed first stack assembly 21 is shown in FIG. 5f.
  • the first redistribution layer 501, the first via hole 511, the second redistribution layer 502 and the first conduction pad 521 can constitute the first interconnection assembly 50, so that through the first wafer 131 Signal transmission can be realized between the first chip 211 obtained by dicing and the second chip 212 obtained by dicing the second wafer 132 through the first interconnection component 50 .
  • the process for forming the above-mentioned conductive material in the groove on the second dielectric layer 302 may include chemical vapor deposition (chemical vapor deposition, CVD) process, sputtering deposition process, ion beam deposition process, physical vapor deposition (physical vapor deposition, PVD) process, atomic layer deposition process, molecular beam epitaxy (MBE) evaporation and electrolytic metal plating (electro-plating).
  • CVD chemical vapor deposition
  • sputtering deposition process ion beam deposition process
  • physical vapor deposition physical vapor deposition (physical vapor deposition, PVD) process
  • atomic layer deposition process atomic layer deposition process
  • MBE molecular beam epitaxy
  • electrolytic metal plating electrolytic metal plating
  • the above S102 includes: as shown in FIG. 6 a , forming a fourth dielectric layer 304 on the active surface F of the third wafer 133 , and forming a third redistribution layer 503 in the fourth dielectric layer 304 . Then, as shown in FIG. 6 b , the wafer carrier 31 is bonded to the surface of the fourth dielectric layer 304 away from the third wafer 133 , and the passive surface B of the third wafer 133 is thinned.
  • a third dielectric layer 303 is formed on the passive surface B of the third wafer 133 .
  • a second via hole 512 penetrating through the third wafer 133 is formed by a dry etching process.
  • a second conducting pad 522 coupled to the second via hole 512 is formed in the third dielectric layer 303 .
  • the second via pad 522 and the second via hole 512 constitute the above-mentioned second interconnection component 51 .
  • a plurality of second dummy pads 402 arranged at intervals are formed in the third dielectric layer 303 .
  • the manufacturing method of the second dummy pad 402 is similar to that of the first dummy pad 401 , and will not be repeated here.
  • This S103 may include: setting the third wafer 133 on the side where the active surface F of the second wafer 132 is located, the passive surface B of the third wafer 133 faces the active surface F of the second wafer 132, and The third wafer 133 is bonded to the second wafer 132 by a fusion bonding process, or a hybrid bonding process.
  • bonding the third wafer 133 to the second wafer 132 through a fusion bonding process or a hybrid bonding process refers to at least passing through the third dielectric layer 303 as shown in FIG. 6c and as shown in FIG. 5f
  • the second dielectric layer 302 shown, the third wafer 133 and the second wafer 132 are bonded to achieve the purpose of bonding the first stack assembly 21 and the second stack assembly 22, thereby forming The wafer stack structure 02 shown.
  • the third wafer 133 when the third wafer 133 is bonded to the second wafer 132 using a fusion bonding process, only the third wafer 133 and the second wafer 132 may be connected by a third The dielectric layer 303 is bonded to the second dielectric layer 302 .
  • multiple first dummy pads 401 are disposed in the second dielectric layer 302
  • multiple second dummy pads 402 are disposed in the third dielectric layer 303
  • a position of a first dummy pad 401 may correspond to a position of a second dummy pad 402 .
  • a hybrid bonding process can be used to not only bond the third dielectric layer 303 to the second dielectric layer 302, but also A first dummy pad 401 may also be bonded to a second dummy pad 402 corresponding to the position of the first dummy pad 401 .
  • FIG. 3 A longitudinal cross-sectional view of the wafer stack structure 02 is shown in FIG. 3 .
  • the dicing line of the wafer stack structure 02 may be the uppermost wafer in the wafer stacking structure 02 , such as the dicing line of the third wafer 133 in FIG. 7 .
  • the positions of the dicing lines of different wafers at the same position can be aligned. Therefore, when cutting the wafer stack structure 02 along the cutting line of the wafer stack structure 02, while cutting the first wafer 131 to obtain a plurality of first chips 211, the second wafer 132 can be cut to obtain a plurality of The second chip 212 can obtain a plurality of third chips 213 after cutting the third wafer 133 .
  • the manufacturing method of the chip stack structure 20 is to first stack and bond a plurality of wafers, such as the first wafer 131 and the second wafer 132 to form the second wafer 131.
  • a stacking assembly 21, and then the second stacking assembly 22 including the third wafer 133 is bonded to the first stacking assembly 21 to form the above-mentioned wafer stacking structure 02.
  • the wafer stack structure 02 is cut to form a plurality of chip stack structures 20 .
  • the chip stack structure 20 can have a higher degree of integration along the longitudinal direction (a direction perpendicular to any one of the chip substrates 100 ). Reducing the size of the chip stack structure 20 in the two-dimensional plane can provide components with higher integration and performance in the limited two-dimensional layout space of the electronic device 01 .
  • the first wafer 131 and the second wafer 132 can be stacked successively by means of wafer-to-wafer bonding.
  • the first stack assembly 21 composed of the first wafer 131 and the second wafer 132 is bonded together with the third wafer 133 by W2W bonding to form the wafer stack structure 02 .
  • the wafer stack structure 02 may be cut along the cutting line on the outermost wafer of the wafer stack structure 02 to form a plurality of chip stack structures 20 .
  • the chip stack structure 20 is obtained by directly bonding the wafers and cutting them, so there is no need for Using KGD, the cut crystal grains are tested one by one, so that the manufacturing process can be simplified and the production cost can be reduced.
  • two bonding methods may be used. That is, when the number of stacked layers of wafers is low, for example, when the first wafer 131 and the second wafer 132 are stacked, a low-cost fusion bond can be used between the first wafer 131 and the second wafer 132 combine. Since the number of layers of wafers in the assembly formed by bonding the first wafer 131 and the second wafer 132 is low, fusion bonding can fully ensure that the first wafer 131 and the second wafer 132 are bonded to form the first wafer 131. The reliability of a stacked assembly 21.
  • the number of layers of the wafer stack increases.
  • an insulating material ie, the above-mentioned third dielectric layer
  • metal materials a first dummy pad 401 and a second dummy pad 402
  • the reliability of the wafer stack structure 02 formed after bonding the third wafer 133, the second wafer 132, and the first wafer 132 and the chip stack structure 20 obtained after cutting the wafer stack structure 02 can be improved. . Therefore, during the 3D-IC stacking process, the bonding strength between chips can be guaranteed to meet the requirements, and the production cost can be reduced.
  • the method for manufacturing the above-mentioned first stack assembly 21 can also be It includes a plurality of third conducting pads 523 arranged at intervals in the second dielectric layer 302 .
  • the plurality of third conducting pads 523 are coupled to the second wafer 132 , that is, each third conducting pad 523 in the plurality of third conducting pads 523 is connected to the circuit in the second wafer 132 Structure 101 (shown in FIG. 2b ) is coupled.
  • the material constituting the third conductive pad 523 may be the same as the material constituting the first dummy pad 401 .
  • the fabrication of the third conductive pad 523 can be completed while fabricating the first dummy pad 401 .
  • the wafer stack structure 02 is cut to form the chip stack structure 20
  • the above-mentioned third conducting pad 523 in the chip stack structure 20 is coupled to the circuit structure 101 in the second chip 212 .
  • the method for manufacturing the second stack assembly 22 may further include making intervals in the third dielectric layer 303 a plurality of fourth conductive pads 524 .
  • the plurality of fourth conductive pads 524 are coupled to the third wafer 133 , that is, each fourth conductive pad 524 of the plurality of fourth conductive pads 524 is connected to the third wafer 133 .
  • the circuit structure 101 (as shown in FIG. 2b ) is coupled. After the wafer stack structure 02 is cut to form the chip stack structure 20 , the fourth conducting pad 524 in the chip stack structure 20 is coupled to the circuit structure 101 in the third chip 213 .
  • the third wafer 133 further includes the substrate 100 for carrying the above-mentioned circuit structure 101 .
  • the surface of the substrate 100 near the third dielectric layer 303 is the passive surface of the third wafer 133 . Since the third dielectric layer 303 is fabricated on the passive surface of the third wafer 133, in order to make the fourth conducting pad 524 in the third dielectric layer 303 compatible with the circuit structure 101 in the third wafer 133 For coupling, a hole may be drilled on the base 100 of the third wafer 133 , so that the fourth conductive pad 524 passes through the hole on the base 100 to couple with the circuit structure 101 in the third wafer 133 . As mentioned above, the fabrication of the fourth conductive pad 524 can be completed while the second dummy pad 402 is being fabricated. In this case, the material of the fourth conductive pad 524 may be the same as that of the second dummy pad 402 .
  • the above-mentioned bonding of the first stack component 21 and the second stack component 22 also includes, as shown in FIG. Therefore, while bonding a first dummy pad 401 to a second dummy pad 402, a third conductive pad 523 and a fourth conductive pad 524 may also be bonded.
  • the signal transmission between the second wafer 132 and the third wafer 133 can be realized not only through the first interconnection component 50 and the second interconnection component 51, but also through the third conductive pads coupled to each other. 523 and the fourth conducting pad 524 realize signal transmission, so that the signal bandwidth of the chip stack structure 20 formed by dicing the wafer stack structure 02 can be increased.
  • the position of the conductive pad group formed by the conductive pads 524 is not limited.
  • the aforementioned conductive pad group may be located between two adjacent dummy pads (for example, between the first dummy pad 401 in FIG. 9 c ).
  • the above-mentioned group of bonded conductive pads may be disposed on the periphery of the chip stack structure 20.
  • the above is to form stacked components, such as the above-mentioned first stacked component 21 and second stacked component 22 , by adopting a fusion bonding process of a plurality of wafers. Then, a hybrid bonding process is used to bond a plurality of stacked components, for example, the first stacked component 21 and the second stacked component 22 are described as an example.
  • a plurality of wafers may also be formed into a stack assembly, such as the above-mentioned first stack assembly 21 and second stack assembly 22 , using a fusion bonding process. Then continue to use the fusion bonding process to bond multiple stack components, for example, the first stack component 21 and the second stack component 22 . In this case, as shown in FIG. 10 , only the second dielectric layer 302 and the third The dielectric layer 303 is bonded. Therefore, the manufacturing process of the wafer stack structure 02 can be simplified.
  • any two adjacent wafers are in such a way that the passive surface B of one wafer is close to the front surface F of the other wafer, that is, passive Face to face (back to face, B2F) stacked together.
  • the passive surface B of the second wafer 132 is close to the front surface F of the first wafer 131 .
  • the passive surface B of the third wafer 133 is close to the front surface F of the second wafer 132 .
  • the orientations of each wafer are the same, so the via holes (for example, the first via hole 511 and the second via hole 511 and the second via hole in FIG.
  • the location of the hole 512 can be the same. Therefore, it is possible to use the same set of masks to make multiple via holes for phase coupling at the same position on different wafers, avoiding the problem of face-to-face (F2F)
  • the mirror effect (mirror effect), which leads to the problem of increasing the number of masks.
  • the first stacking assembly 21 includes two wafers, such as the first wafer 131 and the second wafer 132, and the second stacking assembly 22 includes the third wafer 133 as an example. .
  • the second stack assembly 22 may further include a fourth wafer 134 .
  • the manufacturing method of the first stacking component 21 in the manufacturing method of the above-mentioned wafer stack structure 02 is the same as that described above. The difference is that the manufacturing method (ie S102) of the second stacking assembly 22 includes:
  • the fourth wafer 134 as shown in FIG. 12 a is cleaned, and the fifth dielectric layer 305 is formed on the side of the active surface F of the fourth wafer 134 . Then, the wafer carrier 31 is bonded to the surface of the fifth dielectric layer 305 away from the fourth wafer 134 .
  • the passive surface B of the fourth wafer 134 is thinned, and the thinning process is the same as that described above, and will not be repeated here.
  • a fusion bonding process may be used to bond the passive surface B of the fourth wafer 134 to the active surface F of the third wafer 133 through the fourth dielectric layer 304, and remove Wafer carrier 31 .
  • a third redistribution layer 503 coupled with the third wafer 133 may be formed in the fourth dielectric layer 304 .
  • the manufacturing method of the third redistribution layer 503 is the same as that described above, and will not be repeated here.
  • a third via hole 513 is formed through the fourth wafer 134, and is disposed in the fifth dielectric layer 305, and is connected with the circuit structure 101 in the fourth wafer 134 (as shown in FIG. 2b) coupled with the fourth redistribution layer 504.
  • a first end of the third via hole 513 is coupled to the third redistribution layer 503 , and a second end is coupled to the fourth redistribution layer 504 .
  • the third redistribution layer 503, the third via hole 513, and the fourth redistribution layer 504 can constitute the third interconnection component 52, so that the connection between the third wafer 133 and the fourth wafer 134 can be realized. Signal transmission.
  • the wafer carrier 31 is bonded to the surface of the fifth dielectric layer 305 away from the fourth wafer 134 , and the passive surface B of the third wafer 133 is thinned.
  • a third dielectric layer 303 is formed on the passive surface B of the third wafer 133 .
  • a second via hole 512 is formed through the third wafer 133 , and a second via pad 522 and a second dummy pad 402 are formed in the third dielectric layer 303 .
  • the second via pad 522 is coupled to the first end of the second via hole 512 and the second redistribution layer 502 . It can be seen from the above that the second via hole 512 and the second via pad 522 constitute the above-mentioned second interconnection component 51 . In this case, the fabrication of the second stack assembly 22 can be completed.
  • the above S103 is performed again, the first stacking assembly 21 and the second stacking assembly 22 are bonded, and the above-mentioned wafer carrier 31 is removed, and the structure of the formed wafer stacking structure 02 is shown in FIG. 11 .
  • a plurality of chip stack assemblies 20 as shown in FIG. 13 can be obtained.
  • the fourth chip 214 in the chip stack assembly 20 is obtained by cutting the fourth wafer 134 in the wafer stack structure 02 shown in FIG. 11 . Therefore, the fourth chip 214 is disposed on a side of the third chip 213 away from the second chip 212 , and the passive surface B of the fourth chip 214 faces the third chip 213 . The third chip 213 and the fourth chip are bonded through the fourth dielectric layer 304 . In addition, a fifth dielectric layer 305 is disposed on the side of the active surface F of the fourth chip 214 .
  • the first wafer 131 and the second wafer 132 can be bonded together by using a fusion bonding process with a lower cost as described above. Bonded through the first dielectric layer 301 . Moreover, the third wafer 133 and the fourth wafer 134 are bonded through the fourth dielectric layer 304 by using a fusion bonding process. Then, the first stack assembly 21 formed by bonding the first wafer 131 and the second wafer 132, and the second stack assembly 22 formed by bonding the third wafer 133 and the fourth wafer 134 adopt the bonding strength Higher hybrid bonding process phase bonding.
  • the bonding process between the wafers can use a less costly fusion bonding process.
  • the number of stacked wafers is large, hybrid bonding with higher bonding strength is used between the wafers, so that the reliability of the wafer stacking structure can be improved while reducing manufacturing costs.
  • the number of stacked wafers in the wafer stacking structure increases, a part of the wafers can be grouped and bonded by the fusion bonding process to form a stacked assembly under the premise that the reliability of the fusion bonding process can be guaranteed.
  • a hybrid bonding process with higher bonding strength is used to perform two-by-two bonding on multiple stacked components (for example, the above-mentioned first stacked component 21 and the second stacked component 22 are bonded together).
  • stacked components for example, the above-mentioned first stacked component 21 and the second stacked component 22 are bonded together.
  • the wafers in one of the stacking components have a stacking error or a deviation in alignment accuracy during the stacking process, it can be Replacing the stacked assembly alone will not lead to failure of the entire wafer stacked structure 02 and the chip stacked structure 20 formed after cutting the wafer stacked structure 02 .
  • the chip in the second stacking component 22 that is farthest from the first stacking component 21 can be called the bottom chip, as shown in FIG.
  • the fourth chip 214 in 13 may be referred to as a bottom chip.
  • the underlying chip can be coupled with the external component 10 (as shown in FIG. 1 ), so that the chip stack structure 20 can realize signal transmission with other chips or chip stack structures on the PCB through the external component 10 .
  • the interface pad 600 may be disposed in a dielectric layer (eg, the fifth dielectric layer 305 ) on the active side of the bottom chip (eg, the fourth chip 214 ). In this way, each chip in the chip stack structure 20 can be coupled to the external component 10 through the interface pad 600 and the fourth redistribution layer 504 .
  • the above-mentioned bottom chip may be a logic chip, and at least one chip (for example, the first chip 211, the second chip 212 and the third chip 213) may be memory chips.
  • the chip stack structure 20 may be a high bandwidth memory (HBM).
  • the above-mentioned bottom chip may be a memory chip, and at least one chip other than the bottom chip (for example, the fourth chip 214 ) in the chip stack structure 20 is a logic chip.
  • the first wafer 131 and the second wafer 132 in the first stack assembly 21 are bonded together by fusion.
  • the third wafer 133 and the fourth wafer 134 in the second stacking assembly 22 are fusion bonded together, and then the first stacking assembly 21 and the second stacking assembly 22 are hybrid bonded to form the wafer stacking structure 02 .
  • the wafer stack structure 02 is cut to form the chip stack structure 20 .
  • the first stack assembly 21 in the chip stack structure 20 includes two chips, namely a first chip 211 and a second chip 212 .
  • the second stack assembly 22 includes two chips, namely a third chip 213 and a fourth chip 214 .
  • the process of manufacturing the chip stack structure 20 four wafers may be firstly bonded together by fusion to form the first stack assembly 21 . And the other four wafers are bonded together by fusion to form the second stack assembly 22 . Then, the first stack assembly 21 and the second stack assembly 22 are bonded by a hybrid bonding process to form a round stack structure 02 with eight wafers. Finally, the wafer stack structure 02 is cut to form the chip stack structure 20 .
  • the first stack assembly 21 in the chip stack structure 20 includes four chips.
  • the second stack assembly 22 includes four chips.
  • the process of manufacturing the chip stack structure 20 eight wafers may be firstly bonded together by fusion to form the first stack assembly 21 . And the other eight wafers are bonded together by fusion to form the second stack assembly 22 . Then, the first stack assembly 21 and the second stack assembly 22 are bonded by a hybrid bonding process to form a round stack structure 02 having sixteen wafers. Finally, the wafer stack structure 02 is cut to form the chip stack structure 20 .
  • the first stack assembly 21 in the chip stack structure 20 includes eight chips.
  • the second stack assembly 22 includes eight chips.
  • the first stack assembly 21 includes a first chip 211 and a second chip 212
  • the second stack assembly 22 may include a third chip 213, a fourth chip 214, and a second chip 214.
  • Five chips 215 (the active surface side of the fifth chip 215 is provided with a sixth dielectric layer 306 ).
  • the number of chips in the first stack assembly 21 and the second stack assembly 22 may be different.
  • the present application does not limit the number of chips in the above-mentioned stacked assembly.
  • the wafer stack structure 02 includes two stacking components, such as the first stacking component 21 and the second stacking component 22 .
  • the number of stacked components in the wafer stacked structure 02 is not limited, as long as the wafer stacked structure 02 only needs to have two stacked components.
  • the bonding method between wafers in any one stacked assembly and the bonding method of any two stacked assemblies are the same as those described above and will not be repeated here.
  • the first stack component 21 may include a first chip 211 and a second chip 212 as mentioned above.
  • the second stack assembly 22 may include a third chip 213 and a fourth chip 214 .
  • the difference from Example 1 is that, in this example, the via holes penetrating through the third chip 213 are made on the third wafer 133 (obtaining the third chip 213 after dicing) using a mid-section drilling process, that is, the via holes The via holes are made before the bonding of the third wafer 133 and the fourth wafer (the fourth chip 214 is obtained after dicing).
  • the manufacturing method of the first stacking component 21 in the manufacturing method of the above-mentioned wafer stack structure 02 is the same as that described above.
  • the difference is that the manufacturing method (ie S102) of the second stacking assembly 22 includes:
  • a fourth dielectric layer 304 is formed on the active surface F of the third wafer 133 .
  • a second via hole 512 is formed in the third wafer 133
  • a third redistribution layer 503 is formed in the fourth dielectric layer 304 .
  • the third redistribution layer 503 is coupled to the second end of the second via hole 512 (an end of the second via hole 512 close to the third redistribution layer 503 ), and the third wafer 133 .
  • a fifth dielectric layer 305 is formed on the active surface F of the fourth wafer 134 . Then, the wafer carrier 31 is bonded to the surface of the fifth dielectric layer 305 away from the fourth wafer 134 .
  • the passive surface B of the fourth wafer 134 is thinned, and as shown in FIG.
  • the source face F is bonded.
  • the wafer carrier 31 is removed.
  • a third via hole 513 penetrating through the fourth wafer 134, and a fourth redistribution disposed in the fifth dielectric layer 305 and coupled to the fourth wafer 134 are formed.
  • a first end of the third via hole 513 is coupled to the third redistribution layer 503
  • a second end is coupled to the fourth redistribution layer 504 .
  • the third redistribution layer 503 , the third via hole 513 and the fourth redistribution layer 504 constitute the third interconnection component 52 .
  • the fourth wafer 134 can realize signal transmission with the third wafer 133 through the third interconnection component 52 .
  • the wafer carrier 31 is bonded to the surface of the fifth dielectric layer 305 away from the fourth wafer 134, and the passive surface B of the third wafer 133 is thinned to The first end of the second via hole 512 (the end of the second via hole 512 away from the third redistribution layer 503 ) is exposed.
  • a third dielectric layer 303 is formed on the passive surface B of the third wafer 133, and a second conductive pad 522 and a second dummy solder pad are formed in the third dielectric layer 303.
  • the second via pad 522 is coupled to the first end of the second via hole 512 and the second redistribution layer 502 . In this case, the fabrication of the second stack assembly 22 can be completed.
  • the first stacking assembly 21 and the second stacking assembly 22 are bonded, and the above-mentioned wafer carrier 31 is removed, and the structure of the formed wafer stacking structure 02 is shown in FIG. 11 .
  • a plurality of chip stack assemblies 20 as shown in FIG. 13 can be obtained.
  • the second via hole 512 penetrating the third wafer 133 in FIG. 16d is formed before the third wafer 133 and the fourth wafer 134 are stacked and bonded.
  • the second via hole 512 is prepared by a mid-section drilling process. As for the solution shown in FIG.
  • the second via hole 512 is formed after the third wafer 133 and the fourth wafer 134 are stacked (post-drilling process), the post-drilling process needs to be A part of the third wafer 133 is etched to stop on the etch barrier layer of the third rewiring layer 503, and then the etch barrier layer is etched to expose the metal traces in the third rewiring layer 503. lines, thereby forming holes penetrating the third wafer 133 . Then an isolation layer is deposited in the via hole to isolate the second via hole 512 from the third wafer 133 .
  • the isolation layer on the surface of the third redistribution layer 503 is opened, and metal conductive material is formed in the hole penetrating the third wafer 133 to form the second via hole 512 coupled with the third redistribution layer 503 . Therefore, the preparation of the second via hole 512 by using the mid-section drilling process does not need to consider steps such as forming an etch barrier layer and sequentially etching the third wafer and the etch barrier layer step by step, which is beneficial to reduce the difficulty of the process.
  • Example 2 when the second via hole 512 adopts the mid-section drilling process, the second via hole 512 is formed before the bonding of the third wafer 133 and the fourth wafer 134 as shown in FIG. 16 a , and as shown in FIG. As shown in 16d, the second via hole 512 can be exposed during the process of grinding the back of the third wafer 133 .
  • the third dielectric layer 303 on the passive surface of the third wafer 133, and the second conducting pad 522 (as shown in FIG. 11 ) located in the second dielectric layer 302
  • the The second via pad 522 may be coupled to the exposed first end of the second via hole 512 . Therefore, the mid-section drilling process has lower requirements on the manufacturing process precision of the second via hole 512 , which is beneficial to simplify the manufacturing process.
  • the number of stacked components in the wafer stack structure 02, the bonding method of two adjacent stacked components, the number of wafers in each stacked component, and the bonding method of two adjacent wafers are the same as above, I won't repeat them here.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

Embodiments of the present application provide a chip stacking structure and a manufacturing method, a wafer stacking structure, and an electronic device, and relate to the technical field of semiconductors. In a 3D-IC stacking process, manufacturing costs are reduced while meeting requirements for bonding strength between chips. The present chip stacking structure comprises a first chip, a second chip, and a third chip that are stacked in sequence. The back surface of the second chip faces an active surface of the first chip, and the back surface of the third chip faces the active surface of the first chip. In the present chip stacking structure, the first chip and the second chip are bonded by using a fusion bonding process, and the third chip and the second chip are bonded by using a hybrid bonding process.

Description

芯片堆叠结构以及制作方法、晶圆堆叠结构、电子设备Chip stack structure and manufacturing method, wafer stack structure, electronic device 技术领域technical field
本申请涉及半导体技术领域,尤其涉及一种芯片堆叠结构以及制作方法、晶圆堆叠结构、电子设备。The present application relates to the technical field of semiconductors, and in particular to a chip stacking structure and a manufacturing method, a wafer stacking structure, and electronic equipment.
背景技术Background technique
随着半导体工艺的发展,为了满足用户的需求,电子设备的尺寸越来越趋于小型化,但该电子设备的功能越来越多样化。这样一来,在该电子设备有限的二维布件空间内,需要设置具有较高集成度和性能的元器件。目前,可以采用三维(3 dimensions,3D)集成电路(integrated circuit chip,IC)堆叠技术将多个芯片沿纵向逐个进行堆叠以形成上述元器件。为了提高元器件的可靠性,通常会采用工艺复杂,且键合强度较高的键合工艺将相邻两层芯片进行键合。然而随着芯片堆叠层数的增加,多次采用工艺复杂、高键合强度的键合工艺,会导致制作成本的上升。With the development of semiconductor technology, in order to meet the needs of users, the size of electronic equipment tends to be smaller and smaller, but the functions of the electronic equipment are more and more diversified. In this way, within the limited two-dimensional layout space of the electronic device, it is necessary to arrange components with higher integration and performance. At present, a plurality of chips can be stacked vertically one by one by using a three-dimensional (3 dimensions, 3D) integrated circuit chip (IC) stacking technology to form the above components. In order to improve the reliability of components, a bonding process with complex process and high bonding strength is usually used to bond two adjacent layers of chips. However, as the number of chip stacking layers increases, multiple bonding processes with complex processes and high bonding strength will lead to an increase in manufacturing costs.
发明内容Contents of the invention
本申请实施例提供一种芯片堆叠结构以及制作方法、晶圆堆叠结构、电子设备,在3D-IC堆叠过程中,用于保证芯片间键合强度满足要求的同时,降低制作成本。Embodiments of the present application provide a chip stacking structure and manufacturing method, a wafer stacking structure, and electronic equipment, which are used to reduce manufacturing costs while ensuring that the bonding strength between chips meets requirements during the 3D-IC stacking process.
为达到上述目的,本申请采用如下技术方案:In order to achieve the above object, the application adopts the following technical solutions:
本申请实施例的第一方面,提供一种芯片堆叠结构。该芯片堆叠结构包括第一芯片、第二芯片以及第三芯片。其中,第二芯片设置于第一芯片的有源面所在的一侧,且第二芯片的无源面朝向第一芯片的有源面。第一芯片与第二芯片通过熔融键合工艺相键合。第三芯片,设置于第二芯片的有源面所在的一侧。第三芯片的无源面朝向第二芯片的有源面。第三芯片与第二芯片通过混合键合工艺相键合。According to a first aspect of the embodiments of the present application, a chip stacking structure is provided. The chip stack structure includes a first chip, a second chip and a third chip. Wherein, the second chip is arranged on the side where the active surface of the first chip is located, and the passive surface of the second chip faces the active surface of the first chip. The first chip and the second chip are bonded through a fusion bonding process. The third chip is arranged on the side where the active surface of the second chip is located. The passive surface of the third chip faces the active surface of the second chip. The third chip is bonded to the second chip through a hybrid bonding process.
综上所述,一方面,上述芯片堆叠结构可以包括多个层叠设置的芯片,从而能够沿纵向具有较高的集成度,减小芯片堆叠结构在二维平面内的尺寸,进而可以在电子设备有限的二维布件空间内,提供具有较高集成度和性能的元器件。另一方面,由上述可知,该芯片堆叠结构中的芯片采用了熔融键合和混合键合两种键合方式。即当芯片的堆叠层数较低,例如将第一芯片和第二芯片进行堆叠时,该第一芯片和第二芯片之间可以采用成本较低的熔融键合。由于第一芯片和第二芯片键合形成的组件中芯片的层数较低,所以熔融键合可以确保第一芯片和第二芯片键合后形成的组件的可靠性。当第三芯片与第一芯片和第二芯片键合后的组件进行键合时,芯片堆叠的层数有所增加。当采用混合键合工艺将第三芯片与第二芯片相键合时,该第三芯片与第二芯片之间不仅可以通过绝缘材料相键合,还可以通过金属材料相键合,提升了第三芯片与第二芯之间的键合强度。从而可以提高了第三芯片、第二芯片以及第一芯片键合后形成的芯片堆叠结构的可靠性。所以能够在3D-IC堆叠过程中,能够保证芯片间键合强度满足要求的同时,降低制作成本。To sum up, on the one hand, the above-mentioned chip stacking structure may include a plurality of stacked chips, so that it can have a high degree of integration in the vertical direction, reduce the size of the chip stacking structure in a two-dimensional plane, and then can be used in electronic devices In the limited two-dimensional layout space, components with high integration and performance are provided. On the other hand, it can be known from the above that the chips in the stacked chip structure adopt two bonding methods: fusion bonding and hybrid bonding. That is, when the number of chip stacking layers is low, for example, when the first chip and the second chip are stacked, fusion bonding with lower cost can be used between the first chip and the second chip. Since the number of layers of chips in the component formed by bonding the first chip and the second chip is relatively low, the fusion bonding can ensure the reliability of the component formed after the bonding of the first chip and the second chip. When the third chip is bonded to the bonded assembly of the first chip and the second chip, the number of layers of the chip stack increases. When the third chip is bonded to the second chip using a hybrid bonding process, the third chip and the second chip can be bonded not only through insulating materials, but also through metal materials, which improves the performance of the second chip. The bonding strength between the three chips and the second core. Therefore, the reliability of the chip stack structure formed after the bonding of the third chip, the second chip and the first chip can be improved. Therefore, during the 3D-IC stacking process, the bonding strength between chips can be guaranteed to meet the requirements, and the production cost can be reduced.
可选的,芯片堆叠结构还包括第一介电层、第二介电层、第三介电层、间隔设置的多个第一虚设焊垫以及间隔设置的多个第二虚设焊垫。第一介电层设置于第一芯片 和第二芯片之间。为了使得第一芯片与第二芯片通过熔融键合工艺相键合,第一芯片和第二芯片通过第一介电层相键合。上述第二介电层设置于第二芯片的有源面一侧,上述多个第一虚设焊垫设置于第二介电层内。第三介电层设置于第三芯片的无源面,多个第二虚设焊垫设置于第三介电层内。为了使得第三芯片与第二芯片通过混合键合工艺相键合,第三芯片与第二芯片之间的绝缘材料第三介电层与第二介电层相键合,此外,第三芯片与第二芯片之间的金属材料多个第二虚设焊垫中的一个第二虚设焊垫与多个第一虚设焊垫中的一个第一虚设焊垫相键合。Optionally, the chip stack structure further includes a first dielectric layer, a second dielectric layer, a third dielectric layer, a plurality of first dummy pads arranged at intervals, and a plurality of second dummy pads arranged at intervals. The first dielectric layer is disposed between the first chip and the second chip. In order to bond the first chip and the second chip through a fusion bonding process, the first chip and the second chip are bonded through the first dielectric layer. The second dielectric layer is disposed on the active surface side of the second chip, and the plurality of first dummy pads are disposed in the second dielectric layer. The third dielectric layer is disposed on the passive surface of the third chip, and a plurality of second dummy pads are disposed in the third dielectric layer. In order to bond the third chip and the second chip through a hybrid bonding process, the third dielectric layer of insulating material between the third chip and the second chip is bonded to the second dielectric layer, and in addition, the third chip One second dummy pad among the plurality of second dummy pads is bonded to one first dummy pad among the plurality of first dummy pads with the metal material between the second chip.
可选的,芯片堆叠结构还包括第一互连组件。该第一互连组件包括第一重布线层、第二重布线层以及第一导通孔。第一重布线层设置于第一介电层内,且与第一芯片相耦接。第二重布线层设置于第二介电层内,且与第二芯片相耦接。第一导通孔贯穿第二芯片。第一导通孔的第一端与第一重布线层相耦接,第一导通孔的第二端与第二重布线层相耦接。这样一来,第一芯片可以通过上述第一互连组件与第二芯片之间实现信号传输。由于第一互连组件中的第一导通孔贯穿第二芯片,且第二芯片的基底厚度很薄,大约可以在50μm左右,因此通过第一互连组件可以沿纵向将第一芯片和第二芯片相耦接,使得第一芯片和第二芯片的信号传输路径更短。在此情况下,相对于在二维平面内,通过走线将两个并排的芯片相耦接的方案而言,本申请提供的芯片堆叠结构传输的信号可以具有更高的带宽,从而有利于提升该芯片堆叠结构的性能。Optionally, the chip stack structure further includes a first interconnection component. The first interconnection component includes a first redistribution layer, a second redistribution layer and a first via hole. The first redistribution layer is disposed in the first dielectric layer and coupled with the first chip. The second redistribution layer is disposed in the second dielectric layer and coupled with the second chip. The first via hole runs through the second chip. The first end of the first via hole is coupled to the first redistribution layer, and the second end of the first via hole is coupled to the second redistribution layer. In this way, the first chip can realize signal transmission between the first chip and the second chip through the above-mentioned first interconnection component. Since the first via hole in the first interconnection component runs through the second chip, and the base thickness of the second chip is very thin, about 50 μm, the first chip and the second chip can be vertically connected through the first interconnection component. The two chips are coupled so that the signal transmission path between the first chip and the second chip is shorter. In this case, compared with the scheme of coupling two side-by-side chips through wires in a two-dimensional plane, the signal transmitted by the chip stack structure provided by this application can have a higher bandwidth, which is beneficial to The performance of the chip stack structure is improved.
可选的,该第一互连组件还包括第一导通焊垫,设置于第二重布线层远离第一重布线层的一侧表面,且与第二重布线层相耦接。芯片堆叠结构还包括第二互连组件。该第二互连组件包括第二导通焊垫和第二导通孔。第二导通焊垫设置于第三介电层内,且与第一导通焊垫相键合。第二导通孔贯穿第三芯片。第二导通孔的第一端与第二导通焊垫相耦接,第二导通孔的第二端与第三芯片相耦接。这样一来,通过上述第一导通焊垫,可以将第二重布线层与第二互连组件中的第二导通焊垫相耦接,从而可以使得第二芯片能够通过上述第一导通焊垫和第二互连组件与第三芯片之间实现信号传输。同上所述,第二互连组件中的第二导通孔贯穿第三芯片,因此通过第二互连组件可以沿纵向将第二芯片和第三芯片相耦接,使得第二芯片和第三芯片的信号传输路径更短,有利于提升芯片堆叠结构的性能。Optionally, the first interconnection component further includes a first conducting pad, disposed on a surface of the second redistribution layer away from the first redistribution layer, and coupled to the second redistribution layer. The chip stack structure also includes a second interconnection component. The second interconnection component includes a second via pad and a second via hole. The second conducting pad is disposed in the third dielectric layer and bonded with the first conducting pad. The second via hole runs through the third chip. The first end of the second via hole is coupled to the second via pad, and the second end of the second via hole is coupled to the third chip. In this way, the second redistribution layer can be coupled to the second conductive pad in the second interconnection assembly through the above-mentioned first conductive pad, so that the second chip can pass through the above-mentioned first conductive pad. Signal transmission is realized between the through pad and the second interconnection component and the third chip. As mentioned above, the second via hole in the second interconnection component runs through the third chip, so the second chip and the third chip can be coupled vertically through the second interconnection component, so that the second chip and the third chip The signal transmission path of the chip is shorter, which is conducive to improving the performance of the chip stack structure.
可选的,芯片堆叠结构还包括第四芯片和第四介电层。第四芯片设置于第三芯片远离第二芯片的一侧,且第四芯片的无源面朝向第三芯片的有源面。第四介电层设置于第三芯片和第四芯片之间。第三芯片和第四芯片通过第四介电层相键合。这样一来,当芯片堆叠结构中芯片的数量增加时,可以先如上所述,采用成本较低的熔融键合工艺,将第一芯片和第二芯片通过第一介电层相键合。并且,采用熔融键合工艺将第三芯片与第四芯片通过第四介电层相键合。然后,再将第一芯片和第二芯片键合形成的组件,与第三芯片和第四芯片键合形成的组件采用键合强度较高的混合键合工艺相键合。在此情况下,当堆叠的芯片数量较少时,芯片之间的键合工艺可以采用成本较低的熔融键合工艺。当堆叠的芯片数量较多时,芯片之间采用键合强度较高的混合键合,从而可以在降低制作成本的同时,提高芯片堆叠结构的可靠性。此外,当芯片堆叠结构中堆叠的芯片数量增加后,可以分组先将一部分芯片在熔融键合工艺能够保证可靠性的前提下,采用该熔融键合工艺键合形成堆叠组件。然后,再采用键合强度较高的 混合键合工艺,对多个堆叠组件进行两两键合。在此情况下,相对于逐层对芯片进行堆叠的方案而言,本申请实施例中,当其中一个堆叠组件中的芯片在堆叠过程出现堆叠失误或者对位精度偏差的问题时,可以单独对该堆叠组件进行更换,而不会导致整个芯片堆叠结构失效的问题。Optionally, the chip stack structure further includes a fourth chip and a fourth dielectric layer. The fourth chip is disposed on a side of the third chip away from the second chip, and the passive surface of the fourth chip faces the active surface of the third chip. The fourth dielectric layer is disposed between the third chip and the fourth chip. The third chip and the fourth chip are bonded through the fourth dielectric layer. In this way, when the number of chips in the chip stack structure increases, the first chip and the second chip can be bonded through the first dielectric layer by adopting a low-cost fusion bonding process as described above. Moreover, the third chip and the fourth chip are bonded through the fourth dielectric layer by adopting a fusion bonding process. Then, the component formed by bonding the first chip and the second chip is bonded with the component formed by bonding the third chip and the fourth chip by using a hybrid bonding process with higher bonding strength. In this case, when the number of stacked chips is small, the bonding process between the chips can adopt a fusion bonding process with a lower cost. When the number of stacked chips is large, hybrid bonding with high bonding strength is used between the chips, so that the reliability of the chip stacking structure can be improved while reducing the manufacturing cost. In addition, when the number of stacked chips in the chip stacking structure increases, a part of the chips can be grouped and bonded to form a stacked component under the premise that the fusion bonding process can ensure reliability. Then, a hybrid bonding process with higher bonding strength is used to bond multiple stacked components in pairs. In this case, compared to the solution of stacking chips layer by layer, in the embodiment of the present application, when a chip in one of the stacking components has a stacking error or a deviation in alignment accuracy during the stacking process, it can be separately The stack assembly can be replaced without causing failure of the entire chip stack structure.
可选的,芯片堆叠结构还包括第五介电层。第五介电层设置于第四芯片的有源面。芯片堆叠结构还包括第三互连组件。第三互连组件包括第三重布线层、第四重布线层以及第三导通孔。其中,第三重布线层设置于第四介电层内,且与第三芯片和第一互连组件相耦接。第四重布线层设置于第五介电层内,且与第四芯片相耦接。第三导通孔贯穿第四芯片。第三导通孔的第一端与第三重布线层相耦接,第三导通孔的第二端与第四重布线层相耦接。这样一来,第四芯片可以通过第三互连组件与第三芯片之间实现信号传输。Optionally, the chip stack structure further includes a fifth dielectric layer. The fifth dielectric layer is disposed on the active surface of the fourth chip. The chip stack structure also includes a third interconnection component. The third interconnection component includes a third redistribution layer, a fourth redistribution layer and a third via hole. Wherein, the third redistribution layer is disposed in the fourth dielectric layer and coupled with the third chip and the first interconnection component. The fourth redistribution layer is disposed in the fifth dielectric layer and coupled with the fourth chip. The third via hole runs through the fourth chip. The first end of the third via hole is coupled to the third redistribution layer, and the second end of the third via hole is coupled to the fourth redistribution layer. In this way, the fourth chip can realize signal transmission between the third chip and the third chip through the third interconnection component.
可选的,芯片堆叠结构还包括间隔设置的多个第三导通焊垫以及间隔设置的多个第四导通焊垫。多个第三导通焊垫设置于第二介电层内,且与第二芯片相耦接。在制作第一虚设焊垫的同时,可以完成第三导通焊垫的制备。多个第四导通焊垫设置于第三介电层内,且与第三芯片相耦接。在制作第二虚设焊垫的同时,可以完成第四导通焊垫的制作。在此情况下,多个第三导通焊垫中的一个第三导通焊垫与多个第四导通焊垫中的一个第四导通焊垫相键合。这样一来,第二芯片和第三芯片之间采用混合键合时,不仅可以通过第一互连组件和第二互连组件实现信号传输,还可以通过相互耦接的第三导通焊垫和第四导通焊垫实现信号传输,从而可以增加芯片堆叠结构的信号带宽。Optionally, the chip stack structure further includes a plurality of third conductive pads arranged at intervals and a plurality of fourth conductive pads arranged at intervals. A plurality of third conducting pads are disposed in the second dielectric layer and coupled with the second chip. While making the first dummy pad, the preparation of the third conductive pad can be completed. A plurality of fourth conducting pads are disposed in the third dielectric layer and coupled with the third chip. While fabricating the second dummy pad, fabrication of the fourth conductive pad can be completed. In this case, one third conductive pad among the plurality of third conductive pads is bonded to one fourth conductive pad among the plurality of fourth conductive pads. In this way, when hybrid bonding is used between the second chip and the third chip, signal transmission can be realized not only through the first interconnection component and the second interconnection component, but also through the third conductive pads coupled to each other. and the fourth conduction pad to realize signal transmission, so that the signal bandwidth of the chip stack structure can be increased.
可选的,芯片堆叠结构中最远离第一芯片的芯片为底层芯片。芯片堆叠结构还包括间隔设置的多个接口焊垫。该多个接口焊垫设置于底层芯片的有源面一侧的介电层内。多个接口焊垫用于将底层芯片与外接部件相耦接。这样一来,由于上述芯片堆叠结构中其他芯片都可以通过上述互连组件结构与底层芯片之间进行信号传输,因此当底层芯片通过将上述接口焊垫与外接部件相耦接后,可以使得整个芯片堆叠结构通过上述外部部件,例如转接板与PCB进行信号传输。Optionally, the chip farthest from the first chip in the chip stack structure is the bottom chip. The chip stack structure also includes a plurality of interface pads arranged at intervals. The plurality of interface pads are arranged in the dielectric layer on the side of the active surface of the bottom chip. A plurality of interface pads are used to couple the bottom chip with external components. In this way, since other chips in the above-mentioned chip stacking structure can perform signal transmission between the above-mentioned interconnection component structure and the bottom chip, when the bottom chip couples the above-mentioned interface pads to the external components, it can make the whole The chip stack structure performs signal transmission through the above-mentioned external components, such as an adapter board and a PCB.
可选的,底层芯片为逻辑芯片,芯片堆叠结构中除了底层芯片以外的至少一个芯片为存储芯片。这样一来,该芯片堆叠结构可以构成高宽带存储器。Optionally, the bottom chip is a logic chip, and at least one chip other than the bottom chip in the chip stack structure is a memory chip. In this way, the chip stack structure can form a high-bandwidth memory.
本申请实施例的第二方面,提供一种晶圆堆叠结构。该晶圆堆叠结构包括第一晶圆、第二晶圆以及第三晶圆。第二晶圆设置于第一晶圆的有源面所在的一侧,且第二晶圆的无源面朝向第一晶圆的有源面。第一晶圆与第二晶圆通过熔融键合工艺相键合。第三晶圆设置于第二晶圆远离第一晶圆的一侧;第三晶圆的无源面朝向第二晶圆的有源面。第三晶圆与第二晶圆通过混合键合工艺相键合。上述晶圆堆叠结构具有与前述实施例提供的芯片堆叠结构相同的技术效果,此处不再赘述。In a second aspect of the embodiments of the present application, a wafer stacking structure is provided. The wafer stack structure includes a first wafer, a second wafer and a third wafer. The second wafer is arranged on the side where the active surface of the first wafer is located, and the passive surface of the second wafer faces the active surface of the first wafer. The first wafer is bonded to the second wafer through a fusion bonding process. The third wafer is arranged on the side of the second wafer away from the first wafer; the passive surface of the third wafer faces the active surface of the second wafer. The third wafer is bonded to the second wafer by a hybrid bonding process. The above-mentioned wafer stacking structure has the same technical effect as that of the chip stacking structure provided in the foregoing embodiments, which will not be repeated here.
可选的,晶圆堆叠结构还包括第一介电层、第二介电层、第三介电层、间隔设置的多个第一虚设焊垫以及间隔设置的多个第二虚设焊垫。为了使得第一晶圆与第二晶圆通过熔融键合工艺相键合,第一介电层设置于第一晶圆和第二晶圆之间,且第一晶圆和第二晶圆通过第一介电层相键合。第二介电层设置于第二晶圆的有源面一侧。多个第一虚设焊垫设置于第二介电层内。第三介电层设置于第三晶圆的无源面。多个第 二虚设焊垫设置于第三介电层内。为了使得第三晶圆与第二晶圆通过混合键合工艺相键合,第三晶圆与第二晶圆之间的绝缘材料第三介电层与第二介电层相键合,此外,第三晶圆与第二晶圆之间之间的金属材料多个第二虚设焊垫中的一个第二虚设焊垫与多个第一虚设焊垫中的一个第一虚设焊垫相键合。Optionally, the wafer stack structure further includes a first dielectric layer, a second dielectric layer, a third dielectric layer, a plurality of first dummy pads arranged at intervals, and a plurality of second dummy pads arranged at intervals. In order to bond the first wafer and the second wafer through a fusion bonding process, the first dielectric layer is arranged between the first wafer and the second wafer, and the first wafer and the second wafer pass through The first dielectric layer is bonded. The second dielectric layer is disposed on one side of the active surface of the second wafer. A plurality of first dummy pads are disposed in the second dielectric layer. The third dielectric layer is disposed on the passive surface of the third wafer. A plurality of second dummy pads are disposed in the third dielectric layer. In order to bond the third wafer to the second wafer through a hybrid bonding process, the third dielectric layer of insulating material between the third wafer and the second wafer is bonded to the second dielectric layer, and in addition , the metal material between the third wafer and the second wafer. One of the plurality of second dummy pads is bonded to one of the plurality of first dummy pads. combine.
可选的,晶圆堆叠结构还包括第一互连组件。该第一互连组件包括第一重布线层、第二重布线层以及第一导通孔。第一重布线层设置于第一介电层内,且与第一晶圆相耦接。第二重布线层设置于第二介电层内,且与第二晶圆相耦接。第一导通孔贯穿第二晶圆。第一导通孔的第一端与第一重布线层相耦接,第一导通孔的第二端与第二重布线层相耦接。上述第一互连组件的技术效果同上所述,此处不再赘述。Optionally, the wafer stack structure further includes a first interconnection component. The first interconnection component includes a first redistribution layer, a second redistribution layer and a first via hole. The first redistribution layer is disposed in the first dielectric layer and coupled with the first wafer. The second redistribution layer is disposed in the second dielectric layer and coupled with the second wafer. The first via hole runs through the second wafer. The first end of the first via hole is coupled to the first redistribution layer, and the second end of the first via hole is coupled to the second redistribution layer. The technical effect of the above-mentioned first interconnection component is the same as that described above, and will not be repeated here.
可选的,第一互连组件还包括第一导通焊垫,设置于第二重布线层远离第一重布线层的一侧表面。该芯片堆叠结构还包括第二互连组件。第二互连组件包括第二导通焊盘和第二导通孔。第二导通焊垫设置于第三介电层内,且与第一导通焊垫相键合。第二导通孔贯穿第三晶圆。第二导通孔的第一端与第二导通焊垫相耦接,第二导通孔的第二端与第三晶圆相耦接。上述第二互连组件的技术效果同上所述,此处不再赘述。Optionally, the first interconnection component further includes a first conducting pad disposed on a surface of the second redistribution layer away from the first redistribution layer. The chip stack structure also includes a second interconnection component. The second interconnection component includes a second via pad and a second via hole. The second conducting pad is disposed in the third dielectric layer and bonded to the first conducting pad. The second via hole runs through the third wafer. The first end of the second via hole is coupled to the second via pad, and the second end of the second via hole is coupled to the third wafer. The technical effect of the above-mentioned second interconnection component is the same as that described above, and will not be repeated here.
可选的,晶圆堆叠结构还包括第四晶圆和第四介电层。第四晶圆设置于第三晶圆远离第二晶圆的一侧,且第四晶圆的无源面朝向第三晶圆的有源面。第四介电层设置于第三晶圆和第四晶圆之间。第三晶圆和第四晶圆通过第四介电层相键合。上述芯片堆叠结构中的第四芯片由第四晶圆切割获得。该第四晶圆具有与前述实施例提供的第四芯片相同的技术效果,此处赘述。Optionally, the wafer stack structure further includes a fourth wafer and a fourth dielectric layer. The fourth wafer is disposed on a side of the third wafer away from the second wafer, and the passive surface of the fourth wafer faces the active surface of the third wafer. The fourth dielectric layer is disposed between the third wafer and the fourth wafer. The third wafer and the fourth wafer are bonded through the fourth dielectric layer. The fourth chip in the chip stack structure is obtained by dicing the fourth wafer. The fourth wafer has the same technical effect as that of the fourth chip provided by the foregoing embodiments, which will be described in detail here.
可选的,晶圆堆叠结构还包括第五介电层。第五介电层设置于第四晶圆的有源面。芯片堆叠结构还包括第三互连组件。第三互连组件包括第三重布线层和第四重布线层。第三重布线层设置于第四介电层内,且与第三晶圆和第一互连组件相耦接。第四重布线层设置于第五介电层内,且与第四晶圆相耦接。第三导通孔贯穿第四晶圆。第三导通孔的第一端与第三重布线层相耦接,第三导通孔的第二端与第四重布线层相耦接。上述第三互连组件的技术效果同上所述,此处不再赘述。Optionally, the wafer stack structure further includes a fifth dielectric layer. The fifth dielectric layer is disposed on the active surface of the fourth wafer. The chip stack structure also includes a third interconnection component. The third interconnection assembly includes a third redistribution layer and a fourth redistribution layer. The third redistribution layer is disposed in the fourth dielectric layer and coupled with the third wafer and the first interconnection component. The fourth redistribution layer is disposed in the fifth dielectric layer and coupled with the fourth wafer. The third via hole runs through the fourth wafer. The first end of the third via hole is coupled to the third redistribution layer, and the second end of the third via hole is coupled to the fourth redistribution layer. The technical effect of the above-mentioned third interconnection component is the same as that described above, and will not be repeated here.
可选的,晶圆堆叠结构还包括间隔设置的多个第三导通焊垫以及间隔设置的多个第四导通焊垫。多个第三导通焊垫设置于第二介电层内,且与第二晶圆相耦接。在制作第一虚设焊垫的同时,可以完成第三导通焊垫的制备。多个第四导通焊垫设置于第三介电层内,且与第三晶圆相耦接。在制作第二虚设焊垫的同时,可以完成第四导通焊垫的制作。在此情况下,多个第三导通焊垫中的一个第三导通焊垫与多个第四导通焊垫中的一个第四导通焊垫相键合。这样一来,第二晶圆和第三晶圆之间采用混合键合时,不仅可以通过第一互连组件和第二互连组件实现信号传输,还可以通过相互耦接的第三导通焊垫和第四导通焊垫实现信号传输,从而可以增加晶圆堆叠结构的信号带宽。Optionally, the wafer stack structure further includes a plurality of third conduction pads arranged at intervals and a plurality of fourth conduction pads arranged at intervals. A plurality of third conducting pads are disposed in the second dielectric layer and coupled with the second wafer. While making the first dummy pad, the preparation of the third conductive pad can be completed. A plurality of fourth conducting pads are disposed in the third dielectric layer and coupled with the third wafer. While fabricating the second dummy pad, fabrication of the fourth conductive pad can be completed. In this case, one third conductive pad among the plurality of third conductive pads is bonded to one fourth conductive pad among the plurality of fourth conductive pads. In this way, when hybrid bonding is used between the second wafer and the third wafer, signal transmission can be realized not only through the first interconnection component and the second interconnection component, but also through the third interconnection coupled to each other. The welding pad and the fourth conductive pad implement signal transmission, thereby increasing the signal bandwidth of the wafer stack structure.
本申请实施例的第三方面,提供一种电子设备,包括外接部件以及与外接部件相耦接的至少一个如上所述的芯片堆叠结构。该电子设备具有与前述实施例提供的芯片堆叠结构相同的技术效果,此处不再赘述。A third aspect of the embodiments of the present application provides an electronic device, including an external component and at least one chip stack structure as described above coupled with the external component. The electronic device has the same technical effect as that of the chip stacking structure provided by the foregoing embodiments, which will not be repeated here.
可选的,外接部件包括封装基板、转接板,或者,扇出型的至少一层重布线层。在此情况下,芯片堆叠结构中的底层芯片可以通过上述外部部件与PCB之间实现信号 传输。Optionally, the external component includes a packaging substrate, an interposer, or at least one fan-out redistribution layer. In this case, the bottom chip in the chip stack structure can realize signal transmission between the above-mentioned external components and the PCB.
本申请实施例的第四方面,提供一种芯片堆叠结构的制作方法,该方法包括:首先,在第一晶圆的有源面所在的一侧设置第二晶圆,第二晶圆的无源面朝向第一晶圆的有源面,并通过熔融键合工艺将第一晶圆和第二晶圆相键合。接下来,在第二晶圆的有源面所在的一侧设置第三晶圆,第三晶圆的无源面朝向第二晶圆的有源面,并通过熔融键合工艺,或者,混合键合工艺将第三晶圆与第二晶圆相键合。According to the fourth aspect of the embodiment of the present application, there is provided a method for manufacturing a stacked chip structure, the method comprising: firstly, setting a second wafer on the side where the active surface of the first wafer is located, and the second wafer without The source surface faces the active surface of the first wafer, and the first wafer and the second wafer are bonded by a fusion bonding process. Next, set a third wafer on the side where the active surface of the second wafer is located, with the passive surface of the third wafer facing the active surface of the second wafer, and pass a fusion bonding process, or, mixed The bonding process bonds the third wafer to the second wafer.
这样一来,一方面,在制作本申请实施例提供的芯片堆叠结构的过程中,可以将先第一晶圆(切割后得到第一芯片)和第二晶圆(切割后得到第二芯片)采用晶圆与晶圆键合(wafer to wafer bonding,W2W bonding)的方式依次堆叠。接下来,再采用W2W键合的方式将第一晶圆和第二晶圆构成的堆叠组件与第三晶圆(切割后得到第三芯片)键合在一起形成晶圆堆叠结构。在此情况下,可以沿该晶圆堆叠结构最外侧晶圆上的切割线对该晶圆堆叠结构进行切割,以形成多个芯片堆叠结构。因此,在制作芯片堆叠结构的过程中,只需要对晶圆和晶圆进行对准(alignment)即可,而无需对单个芯片进行对准,从而有利于降低对准精度,提高生产效率。此外,相对于采用芯片(或称为晶粒)与芯片(die to die,D2D)键合键合的方案,以及芯片与晶圆(die to wafer,D2W)键合的方案而言,本申请实施例提供的W2W键合方案中,通过将晶圆直接键合后进行切割得到芯片堆叠结构20,因此无需利用已知合格晶粒(known good die,KGD),对切割的晶粒逐一进行测试,从而可以简化制作工艺,降低生产成本。另一方面,任意两个晶圆在键合的过程中,无需添加有机黏着层,因此可以在芯片堆叠结构的制作过程中,减小引入有机材料而出现有机杂质污染的几率。另一方面,对在第二晶圆的无源面进行减薄的过程中,以及将第二晶圆的无源面与第一晶圆的有源面相键合的过程中,晶圆载板均能够对第二晶圆进行支撑,从而可以减小第二晶圆在减薄以及与其他晶圆堆叠的过程中,发生翘曲的几率。进而能够提高晶圆堆叠结构以及由该晶圆堆叠结构切割形成的芯片堆叠结构良率。In this way, on the one hand, in the process of manufacturing the chip stack structure provided by the embodiment of the present application, the first wafer (to obtain the first chip after cutting) and the second wafer (to obtain the second chip after cutting) Wafer to wafer bonding (wafer to wafer bonding, W2W bonding) method is used to stack in sequence. Next, the stack assembly composed of the first wafer and the second wafer is bonded to the third wafer (the third chip is obtained after dicing) by W2W bonding to form a wafer stack structure. In this case, the wafer stack structure may be cut along the cutting line on the outermost wafer of the wafer stack structure to form a plurality of chip stack structures. Therefore, in the process of manufacturing the stacked chip structure, it is only necessary to align wafers to wafers, instead of aligning individual chips, thereby reducing alignment accuracy and improving production efficiency. In addition, compared with the scheme of bonding chips (or called grains) and chips (die to die, D2D) and the scheme of bonding chips and wafers (die to wafer, D2W), this application In the W2W bonding solution provided in the embodiment, the chip stack structure 20 is obtained by directly bonding the wafers and cutting them, so there is no need to use known good dies (KGD) to test the cut dies one by one , so that the manufacturing process can be simplified and the production cost can be reduced. On the other hand, there is no need to add an organic adhesive layer during the bonding process of any two wafers, so the probability of organic impurity contamination caused by the introduction of organic materials can be reduced during the fabrication of chip stack structures. On the other hand, in the process of thinning the passive surface of the second wafer and bonding the passive surface of the second wafer with the active surface of the first wafer, the wafer carrier Both can support the second wafer, thereby reducing the probability of warping of the second wafer during thinning and stacking with other wafers. Furthermore, the wafer stack structure and the yield rate of the chip stack structure formed by cutting the wafer stack structure can be improved.
可选的,在第一晶圆的有源面所在的一侧设置第二晶圆,并通过熔融键合工艺将第一晶圆和第二晶圆相键合的方法包括:在第一晶圆的有源面形成第一介电层。接下来,在第二晶圆的有源面形成第二介电层,将晶圆载板键合于第二介电层远离第二晶圆的表面,对第二晶圆的无源面进行减薄。接下来,通过第一介电层将第二晶圆的无源面与第一晶圆的有源面相键合,并去除晶圆载板。此外,在第二晶圆的有源面所在的一侧设置第三晶圆,并通过熔融键合工艺,或者,混合键合工艺将第三晶圆与第二晶圆相键合的方法包括:在第三晶圆的无源面形成第三介电层。上述将第三晶圆与第二晶圆键合的方法包括:至少通过第三介电层和第二介电层,将第三晶圆与第二晶圆键合。具体的,当第三晶圆与第二晶圆采用熔融键合工艺相键合时,该第三晶圆与第二晶圆之间可以通过第三介电层和第二介电层相键合。或者,当第三晶圆与第二晶圆采用混合键合工艺相键合时,该第三晶圆与第二晶圆之间不仅可以通过第三介电层和第二介电层相键合,还可以通过金属材料相键合。Optionally, the second wafer is arranged on the side where the active surface of the first wafer is located, and the method of bonding the first wafer and the second wafer through a fusion bonding process includes: The round active face forms the first dielectric layer. Next, a second dielectric layer is formed on the active surface of the second wafer, the wafer carrier is bonded to the surface of the second dielectric layer away from the second wafer, and the passive surface of the second wafer is thinning. Next, the passive surface of the second wafer is bonded to the active surface of the first wafer through the first dielectric layer, and the wafer carrier is removed. In addition, a third wafer is arranged on the side where the active surface of the second wafer is located, and the method of bonding the third wafer to the second wafer through a fusion bonding process or a hybrid bonding process includes : forming a third dielectric layer on the passive surface of the third wafer. The method for bonding the third wafer to the second wafer includes: bonding the third wafer to the second wafer through at least the third dielectric layer and the second dielectric layer. Specifically, when the third wafer and the second wafer are bonded by a fusion bonding process, the third wafer and the second wafer can be bonded through the third dielectric layer and the second dielectric layer. combine. Or, when the third wafer and the second wafer are bonded using a hybrid bonding process, the third wafer and the second wafer can be bonded not only through the third dielectric layer and the second dielectric layer It can also be bonded by metal materials.
可选的,在将第三晶圆与第二晶圆键合之后,上述芯片晶圆的堆叠方法还包括沿切割线对第一晶圆、第二晶圆以及第三晶圆进行切割,可以获得多个芯片堆叠结构。Optionally, after the third wafer is bonded to the second wafer, the stacking method of the above-mentioned chip wafers further includes cutting the first wafer, the second wafer and the third wafer along the cutting line, which can Multiple chip stack structures are obtained.
可选的,在第二晶圆的有源面形成第二介电层之后,制作芯片堆叠结构的方法还 包括:在第二介电层内制作间隔设置的多个第一虚设焊垫。在第三晶圆的无源面形成第三介电层之后,制作芯片堆叠结构的方法还包括:在第三介电层内制作多个间隔设置的多个第二虚设焊垫。至少通过第三介电层和第二介电层,将第三晶圆和所述第二晶圆键合包括:将第三介电层和第二介电层相键合,并将多个第一虚设焊垫中的一个第一虚设焊垫与多个第二虚设焊垫中的一个第二虚设焊垫相键合。在此情况下,在制作芯片堆叠结构的过程中,采用了熔融键合和混合键合两种键合方式。即当晶圆的堆叠层数较低,例如将第一晶圆和第二晶圆进行堆叠时,该第一晶圆和第二晶圆之间可以采用成本较低的熔融键合。由于第一晶圆和第二晶圆键合形成的组件中晶圆的层数较低,所以熔融键合完全可以确保第一晶圆和第二晶圆键合后形成的组件的可靠性。当第三晶圆与第一晶圆和第二晶圆键合后的组件进行键合时,晶圆堆叠的层数有所增加。当采用混合键合工艺将第三晶圆与第二晶圆相键合时,该第三晶圆与第二晶圆之间不仅可以通过绝缘材料相键合,还可以通过金属材料相键合,提升了第三晶圆与第二芯片之间的键合强度。从而可以提高了第三晶圆、第二晶圆以及第一晶圆键合后形成的晶圆堆叠结构的可靠性。Optionally, after the second dielectric layer is formed on the active surface of the second wafer, the method for manufacturing the chip stack structure further includes: manufacturing a plurality of first dummy pads arranged at intervals in the second dielectric layer. After forming the third dielectric layer on the passive surface of the third wafer, the method for manufacturing the chip stack structure further includes: manufacturing a plurality of second dummy pads arranged at intervals in the third dielectric layer. Bonding the third wafer to the second wafer through at least the third dielectric layer and the second dielectric layer includes: bonding the third dielectric layer to the second dielectric layer, and bonding a plurality of One of the first dummy pads is bonded to one of the plurality of second dummy pads. In this case, two bonding methods, fusion bonding and hybrid bonding, are used in the process of fabricating the chip stack structure. That is, when the number of stacked wafers is relatively low, for example, when the first wafer and the second wafer are stacked, fusion bonding with a lower cost can be used between the first wafer and the second wafer. Since the number of wafer layers in the component formed by bonding the first wafer and the second wafer is relatively low, the fusion bonding can fully ensure the reliability of the component formed after the bonding of the first wafer and the second wafer. When the third wafer is bonded to the bonded assembly of the first and second wafers, the number of layers in the wafer stack increases. When the hybrid bonding process is used to bond the third wafer to the second wafer, the third wafer and the second wafer can be bonded not only through insulating materials, but also through metal materials , improving the bonding strength between the third wafer and the second chip. Therefore, the reliability of the wafer stack structure formed after the bonding of the third wafer, the second wafer and the first wafer can be improved.
可选的,在第二晶圆的有源面形成第二介电层之后,制作芯片堆叠结构的方法还包括:在第二介电层内制作间隔设置的多个第三导通焊垫。多个第三导通焊垫与第二晶圆相耦接。在第三晶圆的无源面形成第三介电层之后,制作芯片堆叠结构的方法还包括:在第三介电层内制作间隔设置的多个第四导通焊垫。多个第四导通焊垫与第三晶圆相耦接。至少通过第三介电层和第二介电层,将第三晶圆和第二晶圆键合还包括:将多个第三导通焊垫中的一个第三导通焊垫与多个第四导通焊垫中的一个第四导通焊垫相键合。上述第三导通焊垫和第四导通焊垫的技术效果同上所述,此处不再赘述。Optionally, after the second dielectric layer is formed on the active surface of the second wafer, the method for manufacturing the chip stack structure further includes: manufacturing a plurality of third conductive pads arranged at intervals in the second dielectric layer. A plurality of third conducting pads are coupled to the second wafer. After the third dielectric layer is formed on the passive surface of the third wafer, the method for manufacturing the chip stack structure further includes: manufacturing a plurality of fourth conductive pads arranged at intervals in the third dielectric layer. A plurality of fourth conducting pads are coupled to the third wafer. Bonding the third wafer to the second wafer through at least the third dielectric layer and the second dielectric layer further includes: bonding one of the plurality of third conductive pads to the plurality of third conductive pads One of the fourth conductive pads is bonded to each other. The technical effects of the above-mentioned third conductive pad and the fourth conductive pad are the same as those described above, and will not be repeated here.
可选的,至少通过第三介电层和第二介电层,将第三晶圆和第二晶圆键合包括:将第三介电层和第二介电层相键合。这样一来,堆叠组件内的各个晶圆,以及不同堆叠组件之间的键合方式均可以采用熔融键合的方式,从而可以降低制作成本。Optionally, bonding the third wafer to the second wafer through at least the third dielectric layer and the second dielectric layer includes: bonding the third dielectric layer to the second dielectric layer. In this way, each wafer in the stack assembly and the bonding manner between different stack assemblies can all adopt fusion bonding, thereby reducing the manufacturing cost.
可选的,在第一晶圆的有源面形成第一介电层之后,通过第一介电层将第二晶圆的无源面与第一晶圆的有源面相键合之前,制作芯片堆叠结构的方法还包括:在第一介电层内形成与第一晶圆相耦接的第一重布线层。通过第一介电层将第二晶圆的无源面与第一晶圆的有源面相键合之后,至少通过第三介电层和第二介电层,将第三晶圆和第二晶圆键合之前,制作芯片堆叠结构的方法还包括:形成贯穿第二晶圆的第一导通孔,以及设置于第二介电层内,且与第二晶圆相耦接的第二重布线层。第一导通孔的第一端与第一重布线层相耦接,第二端与第二重布线层相耦接。上述第一重布线层、第一导通孔以及第二重布线层可以构成第一互连组件。该第一互连组件的技术效果同上所述,此处不再赘述。Optionally, after the first dielectric layer is formed on the active surface of the first wafer, before the passive surface of the second wafer is bonded to the active surface of the first wafer through the first dielectric layer, the The chip stacking method further includes: forming a first redistribution layer coupled with the first wafer in the first dielectric layer. After the passive surface of the second wafer is bonded to the active surface of the first wafer through the first dielectric layer, the third wafer is bonded to the second wafer through at least the third dielectric layer and the second dielectric layer. Before wafer bonding, the method for manufacturing the chip stack structure further includes: forming a first via hole penetrating through the second wafer, and a second via hole disposed in the second dielectric layer and coupled to the second wafer. Rewiring layers. The first end of the first via hole is coupled to the first redistribution layer, and the second end is coupled to the second redistribution layer. The first redistribution layer, the first via hole and the second redistribution layer may constitute a first interconnection component. The technical effect of the first interconnection component is the same as that described above, and will not be repeated here.
可选的,在第三晶圆的无源面形成第三介电层之前,制作芯片堆叠结构的方法还包括:首先,在第三晶圆的有源面形成第四介电层。接下来,在第三晶圆内形成第二导通孔,并在第四介电层内形成第三重布线层。第三重布线层与第二导通孔的第二端,以及第三晶圆相耦接。接下来,在第四晶圆的有源面形成第五介电层,将晶圆载板键合于第五介电层远离第四晶圆的表面,对第四晶圆的无源面进行减薄。接下来,通过第四介电层将第四晶圆的无源面与第三晶圆的有源面相键合,并去除晶圆载板。接下 来,形成贯穿第四晶圆的第三导通孔,以及设置于第五介电层内,且与第四晶圆相耦接的第四重布线层。第三导通孔的第一端与第三重布线层相耦接,第二端与第四重布线层相耦接。将晶圆载板键合于第五介电层远离第四晶圆的表面,对第三晶圆的无源面进行减薄,以露出第二导通孔的第一端。在第三晶圆的无源面形成第三介电层之后,制作芯片堆叠结构的方法还包括:在第三介电层内制作第二导通焊垫。第二导通焊垫与第二导通孔的第一端以及第二重布线层相耦接。这样一来,在芯片堆叠结构中可以增加上述第四晶圆,从而可以提高晶圆堆叠结构的集成度。此外,上述贯穿第三晶圆的第二导通孔是采用中段钻孔工艺,在第三晶圆与第四晶圆键合之前形成。因此,相对于采用后钻孔工艺形成第二导通孔的方案而言,中段钻孔工艺无需在制作第二导通孔时形成覆盖第三重布线层表面的刻蚀阻挡层,并对第三晶圆和该刻蚀阻挡层依次分步刻蚀,以使得第三重布线层与第二导通孔相耦接。所以采用中段钻孔工艺制作第二导通孔的过程中不需考虑分步刻蚀、形成刻蚀阻挡层等步骤,有利于降低工艺难度。Optionally, before forming the third dielectric layer on the passive surface of the third wafer, the method for manufacturing the chip stack structure further includes: firstly, forming a fourth dielectric layer on the active surface of the third wafer. Next, a second via hole is formed in the third wafer, and a third redistribution layer is formed in the fourth dielectric layer. The third redistribution layer is coupled to the second end of the second via hole and the third wafer. Next, a fifth dielectric layer is formed on the active surface of the fourth wafer, the wafer carrier is bonded to the surface of the fifth dielectric layer away from the fourth wafer, and the passive surface of the fourth wafer is thinning. Next, the passive surface of the fourth wafer is bonded to the active surface of the third wafer through the fourth dielectric layer, and the wafer carrier is removed. Next, forming a third via hole penetrating through the fourth wafer, and a fourth redistribution layer disposed in the fifth dielectric layer and coupled with the fourth wafer. The first end of the third via hole is coupled to the third redistribution layer, and the second end is coupled to the fourth redistribution layer. The wafer carrier is bonded to the surface of the fifth dielectric layer away from the fourth wafer, and the passive surface of the third wafer is thinned to expose the first end of the second via hole. After the third dielectric layer is formed on the passive surface of the third wafer, the method for manufacturing the chip stack structure further includes: manufacturing a second conductive pad in the third dielectric layer. The second via pad is coupled to the first end of the second via hole and the second redistribution layer. In this way, the above-mentioned fourth wafer can be added in the chip stacking structure, so that the integration degree of the wafer stacking structure can be improved. In addition, the above-mentioned second via hole penetrating through the third wafer is formed before the bonding of the third wafer and the fourth wafer by adopting a mid-section drilling process. Therefore, compared with the scheme of forming the second via hole by using the post-drilling process, the mid-section drilling process does not need to form an etch stop layer covering the surface of the third redistribution layer when making the second via hole, and it is not necessary for the second via hole to be formed. The three wafers and the etching barrier layer are sequentially etched step by step, so that the third redistribution layer is coupled with the second via hole. Therefore, steps such as step-by-step etching and formation of an etching barrier layer need not be considered in the process of manufacturing the second via hole by adopting the mid-section drilling process, which is beneficial to reduce the difficulty of the process.
可选的,在第三晶圆的无源面形成第三介电层之前,制作芯片堆叠结构的方法还包括:首先,在第三晶圆的有源面形成第四介电层,并在第四介电层内形成第三重布线层。接下来,在第四晶圆的有源面形成第五介电层,将晶圆载板键合于第五介电层远离第四晶圆的表面;对第四晶圆的无源面进行减薄。接下来,通过第四介电层将第四晶圆的无源面与第三晶圆的有源面相键合,并去除晶圆载板。接下来,形成贯穿第四晶圆的第三导通孔,以及设置于第五介电层内,且与第四晶圆相耦接的第四重布线层。第三导通孔的第一端与第三重布线层相耦接,第二端与第四重布线层相耦接。接下来,将晶圆载板键合于第五介电层远离第四晶圆的表面;对第三晶圆的无源面进行减薄。在第三晶圆的无源面形成第三介电层之后,制作芯片堆叠结构的方法还包括:形成贯穿第三晶圆的第二导通孔,并在第三介电层内制作第二导通焊垫;第二导通焊垫与第二导通孔的第一端以及第二重布线层相耦接。这样一来,在芯片堆叠结构中可以增加上述第四晶圆,从而可以提高晶圆堆叠结构的集成度。此外,上述贯穿第三晶圆的第二导通孔是采用后钻孔工艺,在第三晶圆与第四晶圆键合之后形成。Optionally, before forming the third dielectric layer on the passive surface of the third wafer, the method for manufacturing the chip stack structure further includes: first, forming a fourth dielectric layer on the active surface of the third wafer, and A third rewiring layer is formed in the fourth dielectric layer. Next, a fifth dielectric layer is formed on the active surface of the fourth wafer, and the wafer carrier is bonded to the surface of the fifth dielectric layer away from the fourth wafer; thinning. Next, the passive surface of the fourth wafer is bonded to the active surface of the third wafer through the fourth dielectric layer, and the wafer carrier is removed. Next, forming a third via hole penetrating through the fourth wafer, and a fourth redistribution layer disposed in the fifth dielectric layer and coupled to the fourth wafer. The first end of the third via hole is coupled to the third redistribution layer, and the second end is coupled to the fourth redistribution layer. Next, the wafer carrier is bonded to the surface of the fifth dielectric layer away from the fourth wafer; and the passive surface of the third wafer is thinned. After the third dielectric layer is formed on the passive surface of the third wafer, the method for manufacturing the chip stack structure further includes: forming a second via hole penetrating through the third wafer, and forming a second via hole in the third dielectric layer. The conducting pad; the second conducting pad is coupled with the first end of the second via hole and the second redistribution layer. In this way, the above-mentioned fourth wafer can be added in the chip stacking structure, so that the integration degree of the wafer stacking structure can be improved. In addition, the second via hole penetrating through the third wafer is formed after the bonding of the third wafer and the fourth wafer by adopting a post-drilling process.
附图说明Description of drawings
图1为本申请实施例提供的一种电子设备的结构示意图;FIG. 1 is a schematic structural diagram of an electronic device provided in an embodiment of the present application;
图2a为本申请实施例提供的一种芯片堆叠结构示意图;FIG. 2a is a schematic diagram of a chip stack structure provided by an embodiment of the present application;
图2b为图2a中第一芯片的结构示意图;Fig. 2b is a schematic structural diagram of the first chip in Fig. 2a;
图3为本申请实施例提供的另一种芯片堆叠结构示意图;FIG. 3 is a schematic diagram of another chip stack structure provided by the embodiment of the present application;
图4为本申请实施例提供的一种芯片堆叠结构的制作方法流程图;FIG. 4 is a flow chart of a method for manufacturing a chip stack structure provided in an embodiment of the present application;
图5a、图5b、图5c、图5d、图5e以及图5f依次为制作第一堆叠组件的步骤对应的结构示意图;Fig. 5a, Fig. 5b, Fig. 5c, Fig. 5d, Fig. 5e and Fig. 5f are sequential structural schematic diagrams corresponding to the steps of making the first stacked assembly;
图6a、图6b、图6c依次为制作第二堆叠组件的步骤对应的一种结构示意图;Figure 6a, Figure 6b, and Figure 6c are sequentially a structural schematic diagram corresponding to the steps of making the second stacked assembly;
图7为图5f所示的第一堆叠组件和图6c所示的第二堆叠组件键合得到的结构示意图;Fig. 7 is a structural schematic diagram obtained by bonding the first stack assembly shown in Fig. 5f and the second stack assembly shown in Fig. 6c;
图8为本申请实施例提供的一种晶圆堆叠结构的俯视结构示意图;FIG. 8 is a schematic top view of a wafer stack structure provided in an embodiment of the present application;
图9a为本申请实施例提供的另一种第一堆叠组件的示意图;Fig. 9a is a schematic diagram of another first stacking assembly provided by the embodiment of the present application;
图9b为本申请实施例提供的另一种第二堆叠组件的示意图;Fig. 9b is a schematic diagram of another second stacking assembly provided by the embodiment of the present application;
图9c为图9a所示的第一堆叠组件和图9b所示的第二堆叠组件键合得到的结构示意图;Fig. 9c is a structural schematic diagram obtained by bonding the first stack assembly shown in Fig. 9a and the second stack assembly shown in Fig. 9b;
图10为本申请实施例提供的另一种晶圆堆叠结构的结构示意图;FIG. 10 is a schematic structural diagram of another wafer stack structure provided in the embodiment of the present application;
图11为对图10所示的晶圆堆叠结构进行剖切得到的芯片堆叠结构的示意图;FIG. 11 is a schematic diagram of a chip stack structure obtained by cutting the wafer stack structure shown in FIG. 10;
图12a、图12b、图12c以及图12d依次为制作第二堆叠组件的步骤对应的另一种结构示意图;Fig. 12a, Fig. 12b, Fig. 12c and Fig. 12d are sequentially another structural schematic diagram corresponding to the steps of making the second stacked assembly;
图13为图5f所示的第一堆叠组件和图12d所示的第二堆叠组件键合得到的结构示意图;Fig. 13 is a schematic structural diagram obtained by bonding the first stack assembly shown in Fig. 5f and the second stack assembly shown in Fig. 12d;
图14为对图13所示的晶圆堆叠结构进行剖切得到的芯片堆叠结构的示意图;FIG. 14 is a schematic diagram of a chip stack structure obtained by cutting the wafer stack structure shown in FIG. 13;
图15为本申请实施例提供的另一种芯片堆叠结构的俯视结构示意图;FIG. 15 is a schematic top view of another chip stack structure provided by the embodiment of the present application;
图16a、图16b、图16c以及图16d依次为制作第二堆叠组件的步骤对应的另一种结构示意图。Fig. 16a, Fig. 16b, Fig. 16c and Fig. 16d are sequentially another structural schematic diagram corresponding to the step of manufacturing the second stack assembly.
附图标记:Reference signs:
01-电子设备;10-外部部件;20-芯片堆叠结构;21-第一堆叠组件;211-第一芯片;301-第一介电层;212-第二芯片;302-第二介电层;22-第二堆叠组件;213-第三芯片;303-第三介电层;401-第一虚设焊垫;402-第二虚设焊垫;50-第一互连组件;501-第一重布线层;502-第二重布线层;511-第一导通孔;100-基底;101-电路结构;304-第四介电层;521-第一导通焊垫;02-晶圆堆叠结构;51-第二互连组件;522-第二导通焊垫;512-第二导通孔;503-第三重布线层;131-第一晶圆;200-凹槽;132-第二晶圆;31-晶圆载板;133-第三晶圆;523-第三导通焊垫;524-第四导通焊垫;134-第四晶圆;305-第五介电层;52-第三互连组件;513-第三导通孔;504-第四重布线层;214-第四芯片;600-接口焊垫;215-第五芯片;306-第六介电层。01-electronic equipment; 10-external components; 20-chip stacking structure; 21-first stacking assembly; 211-first chip; 301-first dielectric layer; 212-second chip; 302-second dielectric layer 22-the second stacking component; 213-the third chip; 303-the third dielectric layer; 401-the first dummy pad; 402-the second dummy pad; 50-the first interconnection component; 501-the first Redistribution layer; 502-second redistribution layer; 511-first via hole; 100-substrate; 101-circuit structure; 304-fourth dielectric layer; 521-first conduction pad; 02-wafer Stack structure; 51-second interconnection component; 522-second via pad; 512-second via hole; 503-third rewiring layer; 131-first wafer; 200-groove; 132- The second wafer; 31-wafer carrier; 133-the third wafer; 523-the third conducting pad; 524-the fourth conducting pad; 134-the fourth wafer; 305-the fifth dielectric layer; 52-third interconnection component; 513-third via hole; 504-fourth rewiring layer; 214-fourth chip; 600-interface pad; 215-fifth chip; 306-sixth dielectric Floor.
具体实施方式detailed description
下面将结合本申请实施例中的附图,对本申请实施例中的技术方案进行描述,显然,所描述的实施例仅仅是本申请一部分实施例,而不是全部的实施例。The following will describe the technical solutions in the embodiments of the application with reference to the drawings in the embodiments of the application. Apparently, the described embodiments are only some of the embodiments of the application, not all of them.
以下,术语“第一”、“第二”等仅用于描述目的,而不能理解为指示或暗示相对重要性或者隐含指明所指示的技术特征的数量。由此,限定有“第一”、“第二”等的特征可以明示或者隐含地包括一个或者更多个该特征。Hereinafter, the terms "first", "second", etc. are used for descriptive purposes only, and cannot be understood as indicating or implying relative importance or implicitly specifying the quantity of indicated technical features. Thus, a feature defined as "first", "second", etc. may expressly or implicitly include one or more of that feature.
此外,本申请中,“上”、“下”等方位术语是相对于附图中的部件示意置放的方位来定义的,应当理解到,这些方向性术语是相对的概念,它们用于相对于的描述和澄清,其可以根据附图中部件所放置的方位的变化而相应地发生变化。In addition, in this application, directional terms such as "upper" and "lower" are defined relative to the schematic placement of components in the drawings. It should be understood that these directional terms are relative concepts, and they are used for relative For descriptions and clarifications, it may vary accordingly according to changes in the orientation of parts placed in the drawings.
在本申请中,除非另有明确的规定和限定,术语“连接”应做广义理解,例如,“连接”可以是固定连接,也可以是可拆卸连接,或成一体;可以是直接相连,也可以通过中间媒介间接相连。此外,术语“耦接”可以是实现信号传输的电性连接的方式。“耦接”可以是直接的电性连接,也可以通过中间媒介间接电性连接。In this application, unless otherwise specified and limited, the term "connection" should be understood in a broad sense, for example, "connection" can be a fixed connection, a detachable connection, or an integral body; it can be a direct connection, or It can be connected indirectly through an intermediary. In addition, the term "coupled" may be an electrical connection for signal transmission. "Coupling" can be a direct electrical connection, or an indirect electrical connection through an intermediary.
本申请实施例提供一种的电子设备。该电子设备包括手机(mobile phone)、平板电脑(pad)、电脑、智能穿戴产品(例如,智能手表、智能手环)、虚拟现实(virtual reality,VR)终端设备、增强现实(augmented reality,AR)终端设备等电子产品。本申请实施例对上述电子设备的具体形式不做特殊限制。An embodiment of the present application provides an electronic device. The electronic device includes a mobile phone (mobile phone), a tablet computer (pad), a computer, a smart wearable product (for example, a smart watch, a smart bracelet), a virtual reality (virtual reality, VR) terminal device, an augmented reality (augmented reality, AR ) Terminal equipment and other electronic products. The embodiment of the present application does not specifically limit the specific form of the foregoing electronic device.
如图1所示,上述电子设备01包括外部部件10以及与该外部部件10相耦接的至少一个芯片堆叠结构20。其中,上述外部部件10可以包括封装基板、硅基转接板(interposer)以及扇出型(integrated fan-out,InFO)的至少一层重布线层(redistribution layer,RDL)中的至少一种。As shown in FIG. 1 , the electronic device 01 includes an external component 10 and at least one chip stack structure 20 coupled to the external component 10 . Wherein, the external component 10 may include at least one of a packaging substrate, a silicon-based interposer, and at least one redistribution layer (RDL) of fan-out (integrated fan-out, InFO).
上述芯片堆叠结构20可以包括多个层叠设置的芯片。该芯片堆叠结构20中的芯片可以为逻辑芯片也可以为存储芯片。芯片堆叠结构20可以如图1所示的焊球阵列(ball grid array,BGA),或者多个阵列排布的铜柱凸块(copper pillar bump)相耦接。此外,电子设备01还包括印刷电路板(printed circuit boards,PCB)。上述外部部件10还可以通过上述电连接件与PCB相耦接。在此情况下,上述芯片堆叠结构20可以通过外部部件10与PCB上其他芯片或者芯片堆叠结构实现信号传输。The above-mentioned chip stack structure 20 may include a plurality of stacked chips. The chips in the chip stack structure 20 may be logic chips or memory chips. The chip stack structure 20 may be coupled with a ball grid array (BGA) as shown in FIG. 1 , or a plurality of copper pillar bumps arranged in an array. In addition, the electronic device 01 also includes printed circuit boards (printed circuit boards, PCB). The above-mentioned external component 10 can also be coupled with the PCB through the above-mentioned electrical connector. In this case, the chip stack structure 20 can realize signal transmission with other chips or chip stack structures on the PCB through the external component 10 .
以下对上述芯片堆叠结构20进行说明。The above chip stack structure 20 will be described below.
在本申请的一些实施例中,如图2a(为该芯片堆叠结构20的局部结构)所示,上述芯片堆叠结构20可以包括第一芯片211、第二芯片212以及第三芯片213。以下为了方便说明,将芯片堆叠结构20划分成第一堆叠组件21和第二堆叠组件22。其中,第一堆叠组件21包括上述第一芯片211和第二芯片212。第二堆叠组件22包括上述第三芯片213。In some embodiments of the present application, as shown in FIG. 2 a (which is a partial structure of the chip stack structure 20 ), the chip stack structure 20 may include a first chip 211 , a second chip 212 and a third chip 213 . Hereinafter, for convenience of description, the chip stack structure 20 is divided into a first stack component 21 and a second stack component 22 . Wherein, the first stack assembly 21 includes the above-mentioned first chip 211 and the second chip 212 . The second stack assembly 22 includes the aforementioned third chip 213 .
需要说明的是,上述任意一个芯片,例如第一芯片211如图2b所示可以包括基底100,例如玻璃基底、非晶硅(amorphous silicon,a-Si)基底、或者碳化硅(SiC)基底。此外,上述第一芯片211还可以包括设置于上述基底100上的电路结构101。在本申请的实施例中,将第一芯片211中电路结构101远离基底100的一侧表面称为芯片的有源面F,将基底100远离电路结构101的一侧表面称为无源面B。It should be noted that any one of the above chips, such as the first chip 211 as shown in FIG. 2b, may include a substrate 100, such as a glass substrate, an amorphous silicon (a-Si) substrate, or a silicon carbide (SiC) substrate. In addition, the first chip 211 may further include a circuit structure 101 disposed on the substrate 100 . In the embodiment of the present application, the surface of the circuit structure 101 in the first chip 211 away from the substrate 100 is called the active surface F of the chip, and the surface of the substrate 100 away from the circuit structure 101 is called the passive surface B .
上述第二芯片212设置于第一芯片211的有源面F所在的一侧,且第二芯片212的无源面B朝向第一芯片211的有源面F。第一堆叠组件21还包括第一介电层301和第二介电层302。第一介电层301设置于第一芯片211和第二芯片212之间。第一芯片211和第二芯片212可以采用熔融键合(fusion bonding)工艺相键合。在此情况下,第一芯片211和第二芯片212可以通过第一介电层301相键合(bonding)。第二介电层302设置于第二芯片212的有源面F一侧。The second chip 212 is disposed on the side where the active surface F of the first chip 211 is located, and the passive surface B of the second chip 212 faces the active surface F of the first chip 211 . The first stack assembly 21 further includes a first dielectric layer 301 and a second dielectric layer 302 . The first dielectric layer 301 is disposed between the first chip 211 and the second chip 212 . The first chip 211 and the second chip 212 may be bonded using a fusion bonding process. In this case, the first chip 211 and the second chip 212 may be bonded through the first dielectric layer 301 . The second dielectric layer 302 is disposed on the side of the active surface F of the second chip 212 .
需要说明的是,芯片之间的键合是通过芯片界面处的原子在外界能量的作用下,通过范德华力、分子力甚至原子力使芯片结合成为一体的工艺。It should be noted that the bonding between chips is a process in which the atoms at the chip interface are combined into one body through van der Waals force, molecular force or even atomic force under the action of external energy.
此外,上述第一堆叠组件21还包括间隔设置的多个第一虚设焊垫(pad)401。多个第一虚设焊垫401设置于第二介电层302内。本申请中,构成上述第二虚设焊垫402的材料为导电材料,例如金、银、铜、铝中的至少一种。在本申请的实施例中,构成上述第二虚设焊垫402的材料可以采用纯铜材料。上述多个第一虚设焊垫401与第二芯片212之间没有耦接。In addition, the above-mentioned first stack assembly 21 further includes a plurality of first dummy pads (pads) 401 arranged at intervals. A plurality of first dummy pads 401 are disposed in the second dielectric layer 302 . In the present application, the material constituting the second dummy pad 402 is a conductive material, such as at least one of gold, silver, copper, and aluminum. In the embodiment of the present application, the material constituting the second dummy pad 402 may be pure copper. There is no coupling between the plurality of first dummy pads 401 and the second chip 212 .
在此基础上,为了使得第一堆叠组件21中的第一芯片211和第二芯片212之间能够实现信号传输,上述第一堆叠组件21还可以包括如图2a所示的第一互连组件50。该第一互连组件50可以包括第一重布线层(re-distribution layer,RDL)501、第二重布线层502以及第一导通孔(through Si via,TSV)511。On this basis, in order to enable signal transmission between the first chip 211 and the second chip 212 in the first stacking assembly 21, the above-mentioned first stacking assembly 21 may also include a first interconnection assembly as shown in FIG. 2a 50. The first interconnection component 50 may include a first re-distribution layer (re-distribution layer, RDL) 501, a second re-distribution layer 502, and a first through hole (through Si via, TSV) 511.
该第一重布线层501设置于第一介电层301内,且与第一芯片211相耦接。第一 重布线层501中包括多层介电层,以及设置于相邻两层介电层之间的金属走线。相邻的金属走线之间可以通过制作于介电层上的导通孔电连接。在此情况下,第一重布线层501与第一芯片211相耦接是指,第一重布线层501中的金属走线与第一芯片211中的电路结构101(如图2b所示)相耦接。The first redistribution layer 501 is disposed in the first dielectric layer 301 and coupled to the first chip 211 . The first redistribution layer 501 includes multiple dielectric layers and metal wires disposed between two adjacent dielectric layers. Adjacent metal wires can be electrically connected through via holes formed on the dielectric layer. In this case, the coupling between the first redistribution layer 501 and the first chip 211 means that the metal traces in the first redistribution layer 501 and the circuit structure 101 in the first chip 211 (as shown in FIG. 2b ) phase coupling.
同理,第二重布线层502设置于第二介电层302内,且与第二芯片212相耦接。此时,第二重布线层502中的金属走线可以与第二芯片212中的电路结构101相耦接。此外,如图2a所示,上述第一导通孔511可以贯穿第二芯片212。并且,该第一导通孔511的第一端与第一重布线层501相耦接,第一导通孔511的第二端与第二重布线层502相耦接。这样一来,第一芯片211中的电路结构101可以依次通过第一重布线层501、第一导通孔511以及第二重布线层502与第二芯片212中的电路结构101相耦接。从而实现第一芯片211与第二芯片212之间的信号传输。Similarly, the second redistribution layer 502 is disposed in the second dielectric layer 302 and coupled to the second chip 212 . At this time, the metal traces in the second redistribution layer 502 can be coupled with the circuit structure 101 in the second chip 212 . In addition, as shown in FIG. 2 a , the above-mentioned first via hole 511 may penetrate through the second chip 212 . Moreover, the first end of the first via hole 511 is coupled to the first redistribution layer 501 , and the second end of the first via hole 511 is coupled to the second redistribution layer 502 . In this way, the circuit structure 101 in the first chip 211 can be coupled to the circuit structure 101 in the second chip 212 through the first redistribution layer 501 , the first via hole 511 and the second redistribution layer 502 in sequence. Thus, signal transmission between the first chip 211 and the second chip 212 is realized.
此外,如图2a所示,上述第二堆叠组件22如图2a所示还可以包括第三介电层303。该第三芯片213设置于第二芯片212的有源面F所在的一侧,且第三芯片213的无源面B朝向第二芯片212的有源面F。第三介电层303设置于第三芯片213的无源面B。In addition, as shown in FIG. 2 a , the above-mentioned second stack assembly 22 may further include a third dielectric layer 303 as shown in FIG. 2 a. The third chip 213 is disposed on the side where the active surface F of the second chip 212 is located, and the passive surface B of the third chip 213 faces the active surface F of the second chip 212 . The third dielectric layer 303 is disposed on the passive surface B of the third chip 213 .
上述第二堆叠组件22可以包括间隔设置的多个第二虚设焊垫402。多个第二虚设焊垫402设置于第三介电层303内。构成上述第二虚设焊垫402的材料可以为上述导电材料,例如纯铜材料。第三芯片213与第二芯片212通过混合键合(hybrid bonding)工艺相键合。在此情况下,该第三芯片213与第二芯片212之间的绝缘材料相键合,即第三介电层303与第二介电层302相键合。此外,第三芯片213与第二芯片212之间的金属材料相键合,即多个第二虚设焊垫402中的一个第二虚设焊垫402与多个第一虚设焊垫401中的一个第一虚设焊垫401相键合。多个第二虚设焊垫402与第三芯片213之间没有耦接。The above-mentioned second stack assembly 22 may include a plurality of second dummy pads 402 arranged at intervals. A plurality of second dummy pads 402 are disposed in the third dielectric layer 303 . The material constituting the second dummy pad 402 may be the above-mentioned conductive material, such as pure copper material. The third chip 213 is bonded to the second chip 212 through a hybrid bonding process. In this case, the insulating material between the third chip 213 and the second chip 212 is bonded, that is, the third dielectric layer 303 is bonded to the second dielectric layer 302 . In addition, the metal material between the third chip 213 and the second chip 212 is bonded, that is, one of the multiple second dummy pads 402 and one of the multiple first dummy pads 401 The first dummy pads 401 are bonded to each other. There is no coupling between the plurality of second dummy pads 402 and the third chip 213 .
此外,上述第一互连组件50如图3所示,还可以包括第一导通焊垫521。该第一导通焊垫521设置于第二重布线层502远离第一重布线层501的一侧表面,且与第二重布线层502相耦接。In addition, as shown in FIG. 3 , the above-mentioned first interconnection component 50 may further include a first conducting pad 521 . The first conducting pad 521 is disposed on a surface of the second redistribution layer 502 away from the first redistribution layer 501 , and is coupled to the second redistribution layer 502 .
第二堆叠组件22还包括第二互连组件51。该第二互连组件51可以包括第二导通焊垫522和第二导通孔512。其中,第二导通焊垫522设置于第三介电层303内,且与第一导通焊垫521相键合。第二导通孔512贯穿第三芯片213。该第二导通孔512的第一端与第二导通焊垫522相耦接,第二导通孔512的第二端与第三芯片213相耦接。构成第一导通焊垫521和第二导通焊垫522的材料可以相同,例如为纯铜材料。The second stack assembly 22 also includes a second interconnection assembly 51 . The second interconnection component 51 may include a second via pad 522 and a second via hole 512 . Wherein, the second conducting pad 522 is disposed in the third dielectric layer 303 and bonded to the first conducting pad 521 . The second via hole 512 runs through the third chip 213 . A first end of the second via hole 512 is coupled to the second via pad 522 , and a second end of the second via hole 512 is coupled to the third chip 213 . The materials constituting the first conducting pad 521 and the second conducting pad 522 may be the same, such as pure copper.
在此情况下,为了使得第二导通孔512的第二端能够与第三芯片213相耦接,第二堆叠组件22还包括如图3所示的第四介电层304以及设置于该第四介电层304内的第三重布线层503。第四介电层304设置于第三芯片213的有源面F一侧,第三重布线层503的一部分与该第三芯片213的中的电路结构101相耦接,另一部分可以与上述第二导通孔512的第二端相耦接。In this case, in order to enable the second end of the second via hole 512 to be coupled with the third chip 213, the second stack assembly 22 further includes a fourth dielectric layer 304 as shown in FIG. The third redistribution layer 503 within the fourth dielectric layer 304 . The fourth dielectric layer 304 is disposed on the side of the active surface F of the third chip 213, a part of the third redistribution layer 503 is coupled with the circuit structure 101 in the third chip 213, and the other part can be connected with the above-mentioned first Second ends of the two via holes 512 are coupled.
这样一来,第三芯片213可以通过第三重布线层503、第二导通孔512与第二芯片212进行信号传输。此外,第三芯片213还可以通过第三重布线层503、第二导通孔512、第二导通焊垫522、第一导通焊垫521、第二重布线层502、第一导通孔511 以及第一重布线层501与第一芯片211进行信号传输。In this way, the third chip 213 can perform signal transmission with the second chip 212 through the third redistribution layer 503 and the second via hole 512 . In addition, the third chip 213 can also pass through the third redistribution layer 503, the second via hole 512, the second conduction pad 522, the first conduction pad 521, the second redistribution layer 502, the first conduction The hole 511 and the first redistribution layer 501 perform signal transmission with the first chip 211 .
由上述可知,上述芯片堆叠结构20中第一芯片211可以通过上述第一互连组件50与第二芯片212之间实现信号传输。由于第一互连组件50中的第一导通孔511贯穿第二芯片212,且第二芯片212的基底100厚度很薄,可以在50μm左右,因此通过第一互连组件50可以沿纵向将第一芯片211和第二芯片212相耦接,使得第一芯片211和第二芯片212的信号传输路径更短。在此情况下,相对于在二维平面内,通过走线将两个并排的芯片相耦接的方案而言,本申请提供的芯片堆叠结构20传输的信号可以具有更高的带宽,从而有利于提升该芯片堆叠结构的性能。同理,第二芯片212能够通过上述第一导通焊垫521和第二互连组件51与第三芯片213之间实现信号传输。同上所述,第二互连组件51中的第二导通孔512贯穿第三芯片213,因此通过第二互连组件51可以沿纵向将第二芯片212和第三芯片213相耦接,使得第二芯片212和第三芯片213的信号传输路径更短,有利于提升芯片堆叠结构20的性能。It can be seen from the above that the first chip 211 in the chip stack structure 20 can realize signal transmission between the first interconnection component 50 and the second chip 212 . Since the first via hole 511 in the first interconnection component 50 runs through the second chip 212, and the thickness of the substrate 100 of the second chip 212 is very thin, which can be about 50 μm, the first interconnection component 50 can vertically The first chip 211 and the second chip 212 are coupled so that the signal transmission paths of the first chip 211 and the second chip 212 are shorter. In this case, compared with the solution of coupling two side-by-side chips through wires in a two-dimensional plane, the signal transmitted by the chip stack structure 20 provided by the present application can have a higher bandwidth, thereby effectively It is beneficial to improve the performance of the chip stacking structure. Similarly, the second chip 212 can realize signal transmission between the second chip 212 and the third chip 213 through the above-mentioned first conductive pad 521 and the second interconnection component 51 . As mentioned above, the second via hole 512 in the second interconnection component 51 runs through the third chip 213, so the second chip 212 and the third chip 213 can be coupled vertically through the second interconnection component 51, so that The signal transmission paths of the second chip 212 and the third chip 213 are shorter, which is beneficial to improve the performance of the chip stack structure 20 .
本申请实施例中,可以采用W2W键合的方式制作上述芯片堆叠结构20。以下对上述芯片堆叠结构20的制作方法进行举例说明。In the embodiment of the present application, the above-mentioned chip stack structure 20 may be manufactured in a W2W bonding manner. The method for fabricating the above-mentioned chip stack structure 20 is illustrated below with an example.
示例一example one
本示例中,芯片堆叠结构20中用于将不同层的芯片相连通的各个的导通孔均采用后钻孔工艺制备。即,在多个晶圆堆叠之后在晶圆上制备上述导通孔。In this example, each via hole used to connect chips in different layers in the chip stack structure 20 is prepared by a post-drilling process. That is, the aforementioned via holes are formed on the wafers after stacking a plurality of wafers.
如图4所示,上述芯片堆叠结构20的制作方法包括S101~S104。As shown in FIG. 4 , the manufacturing method of the chip stack structure 20 includes S101 - S104 .
S101、制作第一堆叠组件21的方法。其中,上述S101包括在第一晶圆131的有源面F所在的一侧设置第二晶圆132。该第二晶圆132的无源面B朝向第一晶圆131的有源面F,并通过熔融键合工艺将第一晶圆131和第二晶圆132相键合。S101 , a method for manufacturing a first stack component 21 . Wherein, the above S101 includes disposing the second wafer 132 on the side where the active surface F of the first wafer 131 is located. The passive surface B of the second wafer 132 faces the active surface F of the first wafer 131 , and the first wafer 131 and the second wafer 132 are bonded by a fusion bonding process.
具体的,上述S101包括:首先,如图5a所示,对第一晶圆131进行清洗,并在第一晶圆131的有源面F形成第一介电层301。然后,采用光刻工艺在第一介电层301上形成凹槽200。具体的上述光刻工艺包括:在第一介电层301远离第一晶圆131的表面上形成光阻层(图中未示出),然后并利用光罩(mask)对光阻层进行图案化,然后通过刻蚀工艺在第一介电层301上形成凹槽200。Specifically, the above S101 includes: first, as shown in FIG. 5 a , cleaning the first wafer 131 , and forming a first dielectric layer 301 on the active surface F of the first wafer 131 . Then, a groove 200 is formed on the first dielectric layer 301 by photolithography. The specific photolithography process includes: forming a photoresist layer (not shown in the figure) on the surface of the first dielectric layer 301 away from the first wafer 131, and then patterning the photoresist layer by using a mask. and then forming a groove 200 on the first dielectric layer 301 by an etching process.
接下来,如图5b所示,在上述凹槽200内制作与第一晶圆131相耦接的第一重布线层501。该第一重布线层501与第一晶圆131中的电路结构101(如图2b所示)相耦接。Next, as shown in FIG. 5 b , a first redistribution layer 501 coupled with the first wafer 131 is formed in the groove 200 . The first redistribution layer 501 is coupled to the circuit structure 101 in the first wafer 131 (as shown in FIG. 2 b ).
需要说明的是,上述第一晶圆131包括如图2b所示的基底100和电路结构101。此外,第一晶圆131上设置有横纵交叉的多条切割线(图中未示出),且相邻的横纵交叉的切割线围成的区域为第一芯片211所在的区域。从而当沿切割线对第一晶圆131进行切割后可以获得多个上述第一芯片211。It should be noted that the above-mentioned first wafer 131 includes the substrate 100 and the circuit structure 101 as shown in FIG. 2 b . In addition, a plurality of cutting lines (not shown in the figure) intersecting horizontally and vertically are arranged on the first wafer 131 , and the area surrounded by adjacent cutting lines intersecting horizontally and vertically is the area where the first chip 211 is located. Therefore, a plurality of the above-mentioned first chips 211 can be obtained after cutting the first wafer 131 along the cutting line.
接下来,对如图5c所示的第二晶圆132进行清洗,并在第二晶圆132的有源面F形成第二介电层302。然后,如图5d所示,将晶圆载板31键合于第二介电层302远离第二晶圆132的表面,并对第二晶圆132的无源面B(即该第二晶圆中基底100远离电路结构101的一侧表面)进行减薄。例如可以将第二晶圆132基底100的厚度减薄至50μm左右。同上所述,当沿第二晶圆132上的切割线对第二晶圆132进行切割后可以得到多个第二芯片212。Next, the second wafer 132 as shown in FIG. 5 c is cleaned, and a second dielectric layer 302 is formed on the active surface F of the second wafer 132 . Then, as shown in FIG. 5d, the wafer carrier 31 is bonded to the surface of the second dielectric layer 302 away from the second wafer 132, and to the passive surface B of the second wafer 132 (ie, the second wafer 132). In the circle, the surface of the substrate 100 away from the circuit structure 101 is thinned. For example, the thickness of the substrate 100 of the second wafer 132 can be reduced to about 50 μm. As mentioned above, a plurality of second chips 212 can be obtained after cutting the second wafer 132 along the cutting line on the second wafer 132 .
需要说明的是,本申请实施例中,晶圆载板31可以与构成上述晶圆的基底的材料相同。It should be noted that, in the embodiment of the present application, the material of the wafer carrier 31 may be the same as that of the substrate constituting the above-mentioned wafer.
接下来,如图5e所示,可以采用熔融键合工艺,通过第一介电层301将第二晶圆132的无源面B与第一晶圆131的有源面F相键合。然后,可以采用研磨工艺、化学机械抛光工艺或者刻蚀工艺(干法刻蚀或湿法刻蚀)并去除图5d所示的晶圆载板31。Next, as shown in FIG. 5 e , a fusion bonding process may be used to bond the passive surface B of the second wafer 132 to the active surface F of the first wafer 131 through the first dielectric layer 301 . Then, a grinding process, a chemical mechanical polishing process or an etching process (dry etching or wet etching) may be used to remove the wafer carrier 31 shown in FIG. 5d.
在本申请实施例中,构成任意一个介电层,例如上述第一介电层301的材料为无机材料。所以本申请中,任意两个晶圆在键合的过程中,无需添加有机黏着层,因此可以在芯片堆叠结构20的制作过程中,减小引入有机材料而出现有机杂质污染的几率。In the embodiment of the present application, any dielectric layer, for example, the material of the first dielectric layer 301 is an inorganic material. Therefore, in this application, there is no need to add an organic adhesive layer during the bonding process of any two wafers. Therefore, the probability of organic impurity contamination due to the introduction of organic materials can be reduced during the manufacturing process of the chip stack structure 20 .
此外,由上述可知,对在第二晶圆132的无源面进行减薄的过程中,以及将第二晶圆132的无源面B与第一晶圆131的有源面F相键合的过程中,晶圆载板31均能够对第二晶圆132进行支撑,从而可以减小第二晶圆132在减薄以及与其他晶圆堆叠的过程中,发生翘曲的几率。进而能够提高晶圆堆叠结构02以及由该晶圆堆叠结构02切割形成的芯片堆叠结构20良率。In addition, it can be known from the above that in the process of thinning the passive surface of the second wafer 132 and bonding the passive surface B of the second wafer 132 with the active surface F of the first wafer 131 During the process, the wafer carrier 31 can support the second wafer 132, thereby reducing the probability of warping of the second wafer 132 during the process of thinning and stacking with other wafers. Furthermore, the yield of the wafer stack structure 02 and the chip stack structure 20 formed by dicing the wafer stack structure 02 can be improved.
接下来,如图5f所示,可以采用刻蚀工艺,例如干法刻蚀工艺形成贯穿第二晶圆132的第一导通孔511。例如,先对第二晶圆132的一部分进行刻蚀,停在第一重布线层501表面的刻蚀阻挡层上,接着对该刻蚀阻挡层进行刻蚀,以露出第一重布线层501中的金属走线,从而形成贯穿第二晶圆132的孔。然后再在通孔中沉积隔离层用以隔离第一导通孔511和第二晶圆132。最后打开第一重布线层501表面的隔离层,在贯穿第二晶圆132的孔内形成金属导电材料,以形成与第一重布线层501相耦接的第一导通孔511。然后,在第二介电层302内制作与第二晶圆132相耦接的第二重布线层502,使得第一导通孔511的第一端与第一重布线层501相耦接,第二端与第二重布线层502相耦接。由于第一导通孔511是在第一晶圆131和第二晶圆132键合之后形成的,所以上述第一导通孔511采用的是上述后钻孔工艺。Next, as shown in FIG. 5 f , an etching process, such as a dry etching process, may be used to form a first via hole 511 penetrating through the second wafer 132 . For example, a part of the second wafer 132 is firstly etched to stop on the etch barrier layer on the surface of the first redistribution layer 501, and then the etch barrier layer is etched to expose the first redistribution layer 501. The metal traces in the wafer 132 form holes penetrating through the second wafer 132 . Then an isolation layer is deposited in the via hole to isolate the first via hole 511 from the second wafer 132 . Finally, the isolation layer on the surface of the first redistribution layer 501 is opened, and a metal conductive material is formed in the hole penetrating the second wafer 132 to form a first via hole 511 coupled with the first redistribution layer 501 . Then, a second redistribution layer 502 coupled to the second wafer 132 is formed in the second dielectric layer 302, so that the first end of the first via hole 511 is coupled to the first redistribution layer 501, The second end is coupled to the second redistribution layer 502 . Since the first via hole 511 is formed after the first wafer 131 and the second wafer 132 are bonded, the above-mentioned first via hole 511 adopts the aforementioned post-drilling process.
此外,还可以在第二介电层302内制作间隔设置的多个第一虚设焊垫401。示例的,可以通过采用上述光刻工艺在第二介电层302上形成间隔设置的多个凹槽。然后在上述凹槽内例如采用电镀工艺形成导电材料,例如纯铜材料形成上述第一虚设焊垫401。与此同时,还可以在第二重布线层502远离第二晶圆132的表面相连接的第一导通焊垫521。在此情况下,构成第一导通焊垫521的材料可以与第一虚设焊垫401的材料相同。此时,形成的第一堆叠组件21如图5f所示。In addition, a plurality of first dummy pads 401 arranged at intervals may also be fabricated in the second dielectric layer 302 . For example, a plurality of grooves arranged at intervals may be formed on the second dielectric layer 302 by using the photolithography process described above. Then, a conductive material, such as a pure copper material, is formed in the above-mentioned groove by using an electroplating process to form the above-mentioned first dummy pad 401 . At the same time, the first conducting pad 521 connected to the surface of the second redistribution layer 502 away from the second wafer 132 may also be used. In this case, the material constituting the first via pad 521 may be the same as that of the first dummy pad 401 . At this time, the formed first stack assembly 21 is shown in FIG. 5f.
由上述可知,上述第一重布线层501、第一导通孔511、第二重布线层502以及第一导通焊垫521可以构成第一互连组件50,使得通过对第一晶圆131进行切割获得的第一芯片211可以通过第一互连组件50与对第二晶圆132进行切割获得的第二芯片212之间实现信号传输。It can be seen from the above that the first redistribution layer 501, the first via hole 511, the second redistribution layer 502 and the first conduction pad 521 can constitute the first interconnection assembly 50, so that through the first wafer 131 Signal transmission can be realized between the first chip 211 obtained by dicing and the second chip 212 obtained by dicing the second wafer 132 through the first interconnection component 50 .
需要说明的是,在第二介电层302上的凹槽内形成上述导电材料的工艺可以包括化学气相沉积(chemical vapor deposition,CVD)工艺、溅镀沉积工艺、离子束沉积工艺、物理气相沉积(physical vapor deposition,PVD)工艺、原子层沉积工艺、分子束外延(molecular beam epitaxy,MBE)蒸镀以及电解镀金属(electro-plating)。It should be noted that, the process for forming the above-mentioned conductive material in the groove on the second dielectric layer 302 may include chemical vapor deposition (chemical vapor deposition, CVD) process, sputtering deposition process, ion beam deposition process, physical vapor deposition (physical vapor deposition, PVD) process, atomic layer deposition process, molecular beam epitaxy (MBE) evaporation and electrolytic metal plating (electro-plating).
S102、制作第二堆叠组件22的方法。其中,上述S102包括:如图6a所示,在第三晶圆133的有源面F形成第四介电层304,并在第四介电层304内形成第三重布线 层503。然后,如图6b所示,将晶圆载板31键合于第四介电层304远离第三晶圆133的一侧表面,并对第三晶圆133的无源面B进行减薄。S102 , a method for manufacturing the second stack component 22 . Wherein, the above S102 includes: as shown in FIG. 6 a , forming a fourth dielectric layer 304 on the active surface F of the third wafer 133 , and forming a third redistribution layer 503 in the fourth dielectric layer 304 . Then, as shown in FIG. 6 b , the wafer carrier 31 is bonded to the surface of the fourth dielectric layer 304 away from the third wafer 133 , and the passive surface B of the third wafer 133 is thinned.
同上所述,当沿第三晶圆133上的切割线对第三晶圆133进行切割后可以得到多个第三芯片213。As mentioned above, when the third wafer 133 is diced along the dicing line on the third wafer 133 , a plurality of third chips 213 can be obtained.
接下来,如图6c所示,在第三晶圆133的无源面B形成第三介电层303。然后采用干刻工艺制作贯穿第三晶圆133的第二导通孔512。并且,在第三介电层303内制作与第二导通孔512相耦接的第二导通焊垫522。由上述可知,第二导通焊垫522与第二导通孔512构成上述第二互连组件51。此外,在第三介电层303内制作间隔设置的多个第二虚设焊垫402。第二虚设焊垫402的制作方法与第一虚设焊垫401的制作方法同理可得,此处不再赘述。Next, as shown in FIG. 6 c , a third dielectric layer 303 is formed on the passive surface B of the third wafer 133 . Then a second via hole 512 penetrating through the third wafer 133 is formed by a dry etching process. Furthermore, a second conducting pad 522 coupled to the second via hole 512 is formed in the third dielectric layer 303 . It can be seen from the above that the second via pad 522 and the second via hole 512 constitute the above-mentioned second interconnection component 51 . In addition, a plurality of second dummy pads 402 arranged at intervals are formed in the third dielectric layer 303 . The manufacturing method of the second dummy pad 402 is similar to that of the first dummy pad 401 , and will not be repeated here.
S103、将第一堆叠组件21和第二堆叠组件22键合。该S103可以包括:在第二晶圆132的有源面F所在的一侧设置第三晶圆133,第三晶圆133的无源面B朝向第二晶圆132的有源面F,并通过熔融键合工艺,或者,混合键合工艺将第三晶圆133与第二晶圆132相键合。S103 , bonding the first stack component 21 and the second stack component 22 . This S103 may include: setting the third wafer 133 on the side where the active surface F of the second wafer 132 is located, the passive surface B of the third wafer 133 faces the active surface F of the second wafer 132, and The third wafer 133 is bonded to the second wafer 132 by a fusion bonding process, or a hybrid bonding process.
其中,通过熔融键合工艺,或者,混合键合工艺将第三晶圆133与第二晶圆132相键合是指至少通过如图6c所示的第三介电层303和如图5f所示的第二介电层302,将第三晶圆133与第二晶圆132相键合,以达到将第一堆叠组件21和第二堆叠组件22键合的目的,从而形成如图7所示的晶圆堆叠结构02。Wherein, bonding the third wafer 133 to the second wafer 132 through a fusion bonding process or a hybrid bonding process refers to at least passing through the third dielectric layer 303 as shown in FIG. 6c and as shown in FIG. 5f The second dielectric layer 302 shown, the third wafer 133 and the second wafer 132 are bonded to achieve the purpose of bonding the first stack assembly 21 and the second stack assembly 22, thereby forming The wafer stack structure 02 shown.
在本申请的一些实施例中,当采用熔融键合工艺将第三晶圆133与第二晶圆132相键合时,第三晶圆133与第二晶圆132之间可以只通过第三介电层303和第二介电层302相键合。In some embodiments of the present application, when the third wafer 133 is bonded to the second wafer 132 using a fusion bonding process, only the third wafer 133 and the second wafer 132 may be connected by a third The dielectric layer 303 is bonded to the second dielectric layer 302 .
或者在本申请的另一些实施例中,第二介电层302中设置有多个第一虚设焊垫401,第三介电层303中设置有多个第二虚设焊垫402。一个第一虚设焊垫401的位置可以与一个第二虚设焊垫402的位置相对应。这样一来,在将第三晶圆133与第二晶圆132相键合的过程中,可以采用混合键合工艺,不仅将第三介电层303与第二介电层302相键合,还可以将一个第一虚设焊垫401和与该第一虚设焊垫401位置相对应的一个第二虚设焊垫402相键合。Alternatively, in other embodiments of the present application, multiple first dummy pads 401 are disposed in the second dielectric layer 302 , and multiple second dummy pads 402 are disposed in the third dielectric layer 303 . A position of a first dummy pad 401 may correspond to a position of a second dummy pad 402 . In this way, in the process of bonding the third wafer 133 to the second wafer 132, a hybrid bonding process can be used to not only bond the third dielectric layer 303 to the second dielectric layer 302, but also A first dummy pad 401 may also be bonded to a second dummy pad 402 corresponding to the position of the first dummy pad 401 .
S104、沿如图8所示的切割线L对晶圆堆叠结构02进行切割,可以获得多个芯片堆叠结构20。该晶圆堆叠结构02的纵向剖视图如图3所示。S104 , cutting the wafer stack structure 02 along the cutting line L shown in FIG. 8 , to obtain multiple chip stack structures 20 . A longitudinal cross-sectional view of the wafer stack structure 02 is shown in FIG. 3 .
需要说明的是,该晶圆堆叠结构02的切割线可以为晶圆堆叠结构02中位于最上层的晶圆,例如图7中第三晶圆133的切割线。并且,不同晶圆在同一位置处的切割线的位置可以对齐。从而沿晶圆堆叠结构02的切割线对晶圆堆叠结构02进行切割时,将第一晶圆131切割以获得多个第一芯片211的同时,将第二晶圆132切割后可以获得多个第二芯片212,将第三晶圆133切割后,可以获得多个第三芯片213。It should be noted that the dicing line of the wafer stack structure 02 may be the uppermost wafer in the wafer stacking structure 02 , such as the dicing line of the third wafer 133 in FIG. 7 . Also, the positions of the dicing lines of different wafers at the same position can be aligned. Therefore, when cutting the wafer stack structure 02 along the cutting line of the wafer stack structure 02, while cutting the first wafer 131 to obtain a plurality of first chips 211, the second wafer 132 can be cut to obtain a plurality of The second chip 212 can obtain a plurality of third chips 213 after cutting the third wafer 133 .
综上所述,为了获得芯片堆叠结构20,本申请实施例提供的芯片堆叠结构20的制作方法是先将多个晶圆,例如第一晶圆131和第二晶圆132堆叠键合形成第一堆叠组件21,然后再将包括第三晶圆133的第二堆叠组件22与第一堆叠组件21键合形成上述晶圆堆叠结构02。接下来,再对上述晶圆堆叠结构02进行切割形成多个芯片堆叠结构20。To sum up, in order to obtain the chip stack structure 20, the manufacturing method of the chip stack structure 20 provided by the embodiment of the present application is to first stack and bond a plurality of wafers, such as the first wafer 131 and the second wafer 132 to form the second wafer 131. A stacking assembly 21, and then the second stacking assembly 22 including the third wafer 133 is bonded to the first stacking assembly 21 to form the above-mentioned wafer stacking structure 02. Next, the wafer stack structure 02 is cut to form a plurality of chip stack structures 20 .
这样一来,一方面,通过对形成的晶圆堆叠结构02进行切割,可以获得多个芯片堆叠的芯片堆叠结构20。该芯片堆叠结构20能够沿纵向(垂直于任意一个芯片基底100的方向)具有较高的集成度。减小芯片堆叠结构20在二维平面内的尺寸,进而可以在电子设备01有限的二维布件空间内,提供具有较高集成度和性能的元器件。In this way, on the one hand, by cutting the formed wafer stack structure 02 , a chip stack structure 20 in which multiple chips are stacked can be obtained. The chip stack structure 20 can have a higher degree of integration along the longitudinal direction (a direction perpendicular to any one of the chip substrates 100 ). Reducing the size of the chip stack structure 20 in the two-dimensional plane can provide components with higher integration and performance in the limited two-dimensional layout space of the electronic device 01 .
另一方面,在制作本申请实施例提供的芯片堆叠结构的过程中,可以将先第一晶圆131和第二晶圆132采用晶圆与晶圆键合的方式依次堆叠。接下来,再采用W2W键合的方式将第一晶圆131和第二晶圆132构成的第一堆叠组件21与第三晶圆133键合在一起形成晶圆堆叠结构02。在此情况下,可以沿该晶圆堆叠结构02最外侧晶圆上的切割线对该晶圆堆叠结构02进行切割,以形成多个芯片堆叠结构20。因此,在制作芯片堆叠结构20的过程中,只需要对晶圆和晶圆进行对准即可,而无需对单个芯片进行对准,从而有利于降低对准精度,提高生产效率。此外,相对于采用D2D键合的方案,以及D2W键合的方案而言,本申请实施例提供的W2W键合方案中,通过将晶圆直接键合后进行切割得到芯片堆叠结构20,因此无需利用KGD,对切割的晶粒逐一进行测试,从而可以简化制作工艺,降低生产成本。On the other hand, in the process of manufacturing the stacked chip structure provided by the embodiment of the present application, the first wafer 131 and the second wafer 132 can be stacked successively by means of wafer-to-wafer bonding. Next, the first stack assembly 21 composed of the first wafer 131 and the second wafer 132 is bonded together with the third wafer 133 by W2W bonding to form the wafer stack structure 02 . In this case, the wafer stack structure 02 may be cut along the cutting line on the outermost wafer of the wafer stack structure 02 to form a plurality of chip stack structures 20 . Therefore, in the process of manufacturing the chip stack structure 20 , it is only necessary to align wafers to wafers, instead of aligning individual chips, thereby reducing alignment accuracy and improving production efficiency. In addition, compared to the D2D bonding solution and the D2W bonding solution, in the W2W bonding solution provided in the embodiment of the present application, the chip stack structure 20 is obtained by directly bonding the wafers and cutting them, so there is no need for Using KGD, the cut crystal grains are tested one by one, so that the manufacturing process can be simplified and the production cost can be reduced.
另一方面,在芯片堆叠结构20的制作过程中,可以采用了熔融键合和混合键合两种键合方式。即当晶圆的堆叠层数较低,例如将第一晶圆131和第二晶圆132进行堆叠时,该第一晶圆131和第二晶圆132之间可以采用成本较低的熔融键合。由于第一晶圆131和第二晶圆132键合形成的组件中晶圆的层数较低,所以熔融键合完全可以确保第一晶圆131和第二晶圆132键合后形成的第一堆叠组件21的可靠性。当第三江源133与第一堆叠组件21进行键合时,晶圆堆叠的层数有所增加。当采用混合键合工艺将第三晶圆133与第二晶圆132相键合时,该第三晶圆133与第二晶圆132之间不仅可以通过绝缘材料(即上述第三介电层303和第二介电层302)相键合,还可以通过金属材料(一个第一虚设焊垫401和一个第二虚设焊垫402)相键合,提升了第三晶圆133与第二晶圆132之间的键合强度。从而可以提高了第三晶圆133、第二晶圆132以及第一晶圆132键合后形成的晶圆堆叠结构02以及由该晶圆堆叠结构02切割后获得的芯片堆叠结构20的可靠性。所以能够在3D-IC堆叠过程中,能够保证芯片间键合强度满足要求的同时,降低制作成本。On the other hand, during the fabrication process of the chip stack structure 20, two bonding methods, fusion bonding and hybrid bonding, may be used. That is, when the number of stacked layers of wafers is low, for example, when the first wafer 131 and the second wafer 132 are stacked, a low-cost fusion bond can be used between the first wafer 131 and the second wafer 132 combine. Since the number of layers of wafers in the assembly formed by bonding the first wafer 131 and the second wafer 132 is low, fusion bonding can fully ensure that the first wafer 131 and the second wafer 132 are bonded to form the first wafer 131. The reliability of a stacked assembly 21. When the third river source 133 is bonded to the first stack assembly 21, the number of layers of the wafer stack increases. When the third wafer 133 is bonded to the second wafer 132 using a hybrid bonding process, not only an insulating material (ie, the above-mentioned third dielectric layer) can be passed between the third wafer 133 and the second wafer 132 303 and the second dielectric layer 302), and can also be bonded through metal materials (a first dummy pad 401 and a second dummy pad 402), which improves the connection between the third wafer 133 and the second wafer 133. Bond strength between circles 132 . Therefore, the reliability of the wafer stack structure 02 formed after bonding the third wafer 133, the second wafer 132, and the first wafer 132 and the chip stack structure 20 obtained after cutting the wafer stack structure 02 can be improved. . Therefore, during the 3D-IC stacking process, the bonding strength between chips can be guaranteed to meet the requirements, and the production cost can be reduced.
另一方面,在本申请的一些实施例中,如图9a所示,在第二晶圆132的有源面F形成第二介电层302之后,制作上述第一堆叠组件21的方法还可以包括在第二介电层302内制作间隔设置的多个第三导通焊垫523。该多个第三导通焊垫523与第二晶圆132相耦接,即多个第三导通焊垫523中的每个第三导通焊垫523与第二晶圆132中的电路结构101(如图2b所示)相耦接。构成第三导通焊垫523的材料可以与构成第一虚设焊垫401的材料相同。基于此,为了简化制作工艺,可以在制作第一虚设焊垫401的同时,完成第三导通焊垫523的制作。当对该晶圆堆叠结构02切割形成芯片堆叠结构20后,芯片堆叠结构20中上述第三导通焊垫523与第二芯片212中的电路结构101相耦接。On the other hand, in some embodiments of the present application, as shown in FIG. 9a, after the second dielectric layer 302 is formed on the active surface F of the second wafer 132, the method for manufacturing the above-mentioned first stack assembly 21 can also be It includes a plurality of third conducting pads 523 arranged at intervals in the second dielectric layer 302 . The plurality of third conducting pads 523 are coupled to the second wafer 132 , that is, each third conducting pad 523 in the plurality of third conducting pads 523 is connected to the circuit in the second wafer 132 Structure 101 (shown in FIG. 2b ) is coupled. The material constituting the third conductive pad 523 may be the same as the material constituting the first dummy pad 401 . Based on this, in order to simplify the fabrication process, the fabrication of the third conductive pad 523 can be completed while fabricating the first dummy pad 401 . After the wafer stack structure 02 is cut to form the chip stack structure 20 , the above-mentioned third conducting pad 523 in the chip stack structure 20 is coupled to the circuit structure 101 in the second chip 212 .
此外,如图9b所示,在第三晶圆133的无源面B形成第三介电层303之后,制作第二堆叠组件22的方法还可以包括在第三介电层303内制作间隔设置的多个第四导通焊垫524。该多个第四导通焊垫524与第三晶圆133相耦接,即该多个第四导通焊 垫524中的每个第四导通焊垫524与该第三晶圆133中的电路结构101(如图2b所示)相耦接。当对该晶圆堆叠结构02切割形成芯片堆叠结构20后,该芯片堆叠结构20中第四导通焊垫524与该第三芯片213中的电路结构101相耦接。In addition, as shown in FIG. 9b, after the third dielectric layer 303 is formed on the passive surface B of the third wafer 133, the method for manufacturing the second stack assembly 22 may further include making intervals in the third dielectric layer 303 a plurality of fourth conductive pads 524 . The plurality of fourth conductive pads 524 are coupled to the third wafer 133 , that is, each fourth conductive pad 524 of the plurality of fourth conductive pads 524 is connected to the third wafer 133 . The circuit structure 101 (as shown in FIG. 2b ) is coupled. After the wafer stack structure 02 is cut to form the chip stack structure 20 , the fourth conducting pad 524 in the chip stack structure 20 is coupled to the circuit structure 101 in the third chip 213 .
由上述可知,第三晶圆133还包括用于承载上述电路结构101的基底100。该基底100靠近第三介电层303的一侧表面为该第三晶圆133的无源面。由于第三介电层303制作于第三晶圆133的无源面,所以为了使得该第三介电层303内的第四导通焊垫524能够与第三晶圆133中电路结构101相耦接,可以在第三晶圆133的基底100上打孔,从而使得第四导通焊垫524穿过基底100上的孔与第三晶圆133中的电路结构101相耦接。同上所述,可以在制作第二虚设焊垫402的同时,完成第四导通焊垫524的制作。在此情况下,该第四导通焊垫524可以与第二虚设焊垫402的材料相同。It can be seen from the above that the third wafer 133 further includes the substrate 100 for carrying the above-mentioned circuit structure 101 . The surface of the substrate 100 near the third dielectric layer 303 is the passive surface of the third wafer 133 . Since the third dielectric layer 303 is fabricated on the passive surface of the third wafer 133, in order to make the fourth conducting pad 524 in the third dielectric layer 303 compatible with the circuit structure 101 in the third wafer 133 For coupling, a hole may be drilled on the base 100 of the third wafer 133 , so that the fourth conductive pad 524 passes through the hole on the base 100 to couple with the circuit structure 101 in the third wafer 133 . As mentioned above, the fabrication of the fourth conductive pad 524 can be completed while the second dummy pad 402 is being fabricated. In this case, the material of the fourth conductive pad 524 may be the same as that of the second dummy pad 402 .
基于此,上述将第一堆叠组件21和第二堆叠组件22键合还包括如图9c所示,采用上述混合键合工艺,在将第二介电层302和第三介电层303相键合,将一个第一虚设焊垫401与一个第二虚设焊垫402相键合的同时,还可以将一个第三导通焊垫523与一个第四导通焊垫524相键合。这样一来,第二晶圆132和第三晶圆133之间不仅可以通过第一互连组件50和第二互连组件51实现信号传输,还可以通过相互耦接的第三导通焊垫523和第四导通焊垫524实现信号传输,从而可以增加由该晶圆堆叠结构02切割形成的芯片堆叠结构20的信号带宽。Based on this, the above-mentioned bonding of the first stack component 21 and the second stack component 22 also includes, as shown in FIG. Therefore, while bonding a first dummy pad 401 to a second dummy pad 402, a third conductive pad 523 and a fourth conductive pad 524 may also be bonded. In this way, the signal transmission between the second wafer 132 and the third wafer 133 can be realized not only through the first interconnection component 50 and the second interconnection component 51, but also through the third conductive pads coupled to each other. 523 and the fourth conducting pad 524 realize signal transmission, so that the signal bandwidth of the chip stack structure 20 formed by dicing the wafer stack structure 02 can be increased.
需要说明的是,本申请实施例对图9c所示的晶圆堆叠结构02切割形成的芯片堆叠结构20中相键合的导通焊垫组,例如由第三导通焊垫523、第四导通焊垫524构成的导通焊垫组的位置不做限定。示例的,上述导通焊垫组可以位于相邻两个虚设焊垫(例如图9c中第一虚设焊垫401之间)。或者,在本申请的另一些实施例中,对单个芯片堆叠结构20而言,相对于虚设焊垫(例如第一虚设焊垫401),上述相键合的导通焊垫组(例如相键合的第三导通焊垫523和第四导通焊垫524)可以设置于芯片堆叠结构20的周边。It should be noted that, in the embodiment of the present application, the bonded conductive pad groups in the chip stack structure 20 formed by dicing the wafer stack structure 02 shown in FIG. The position of the conductive pad group formed by the conductive pads 524 is not limited. Exemplarily, the aforementioned conductive pad group may be located between two adjacent dummy pads (for example, between the first dummy pad 401 in FIG. 9 c ). Or, in other embodiments of the present application, for a single chip stack structure 20, relative to the dummy pads (such as the first dummy pad 401), the above-mentioned group of bonded conductive pads (such as the phase bond Combined third conductive pad 523 and fourth conductive pad 524) may be disposed on the periphery of the chip stack structure 20.
当然,上述是以多个晶圆采用熔融键合工艺形成堆叠组件,例如上述第一堆叠组件21、第二堆叠组件22。然后再采用混合键合工艺将多个堆叠组件,例如将第一堆叠组件21和第二堆叠组件22进行键合为例进行的说明。在本申请的另一些实施例中,还可以将多个晶圆采用熔融键合工艺形成堆叠组件,例如上述第一堆叠组件21、第二堆叠组件22。然后继续采用熔融键合工艺将多个堆叠组件例如将第一堆叠组件21和第二堆叠组件22进行键合。在此情况下,如图10所示,第一堆叠组件21中的第二晶圆132与第二堆叠组件22中的第三晶圆133之间可以仅通过第二介电层302和第三介电层303相键合。从而可以简化晶圆堆叠结构02的制作工艺。Of course, the above is to form stacked components, such as the above-mentioned first stacked component 21 and second stacked component 22 , by adopting a fusion bonding process of a plurality of wafers. Then, a hybrid bonding process is used to bond a plurality of stacked components, for example, the first stacked component 21 and the second stacked component 22 are described as an example. In some other embodiments of the present application, a plurality of wafers may also be formed into a stack assembly, such as the above-mentioned first stack assembly 21 and second stack assembly 22 , using a fusion bonding process. Then continue to use the fusion bonding process to bond multiple stack components, for example, the first stack component 21 and the second stack component 22 . In this case, as shown in FIG. 10 , only the second dielectric layer 302 and the third The dielectric layer 303 is bonded. Therefore, the manufacturing process of the wafer stack structure 02 can be simplified.
此外,由上述可知,在上述晶圆堆叠结构02的制作过程中,任意相邻两个晶圆均是以一个晶圆的无源面B靠近另一个晶圆的正面F的方式,即无源面对正面(back to face,B2F)堆叠在一起的。例如,图9c中,第二晶圆132的无源面B靠近第一晶圆131的正面F。第三晶圆133的无源面B靠近第二晶圆132的正面F。这样一来,各个晶圆的朝向均相同,所以用于将不同晶圆电连接的,贯穿不同晶圆的各个导通孔(例如他图9c中的第一导通孔511和第二导通孔512)的位置可以相同。因此能够采用同一套掩膜版(mask)就可以在不同晶圆上的同一位置制作多个用于相耦接的导通孔, 避免采用正面对正面(face to face,F2F)的方案时由于镜像效应(mirror effect),导致掩膜版数量增加的问题。In addition, it can be known from the above that during the fabrication process of the above-mentioned wafer stack structure 02, any two adjacent wafers are in such a way that the passive surface B of one wafer is close to the front surface F of the other wafer, that is, passive Face to face (back to face, B2F) stacked together. For example, in FIG. 9 c , the passive surface B of the second wafer 132 is close to the front surface F of the first wafer 131 . The passive surface B of the third wafer 133 is close to the front surface F of the second wafer 132 . In this way, the orientations of each wafer are the same, so the via holes (for example, the first via hole 511 and the second via hole 511 and the second via hole in FIG. The location of the hole 512) can be the same. Therefore, it is possible to use the same set of masks to make multiple via holes for phase coupling at the same position on different wafers, avoiding the problem of face-to-face (F2F) The mirror effect (mirror effect), which leads to the problem of increasing the number of masks.
上述是以晶圆堆叠结构02中,第一堆叠组件21包括两个晶圆,例如第一晶圆131、第二晶圆132,第二堆叠组件22包括第三晶圆133为例进行的说明。在本申请的另一些实施例中,如图11所示,第二堆叠组件22还可以包括第四晶圆134。在此情况下,上述晶圆堆叠结构02的制作方法中第一堆叠组件21的制作方法同上所述。不同之处为第二堆叠组件22的制作方法(即S102)包括:The above description is based on the wafer stacking structure 02, the first stacking assembly 21 includes two wafers, such as the first wafer 131 and the second wafer 132, and the second stacking assembly 22 includes the third wafer 133 as an example. . In other embodiments of the present application, as shown in FIG. 11 , the second stack assembly 22 may further include a fourth wafer 134 . In this case, the manufacturing method of the first stacking component 21 in the manufacturing method of the above-mentioned wafer stack structure 02 is the same as that described above. The difference is that the manufacturing method (ie S102) of the second stacking assembly 22 includes:
首先,对如图12a所示的第四晶圆134进行清洗,并在第四晶圆134的有源面F一侧形成第五介电层305。然后,将晶圆载板31键合于第五介电层305远离第四晶圆134的表面。Firstly, the fourth wafer 134 as shown in FIG. 12 a is cleaned, and the fifth dielectric layer 305 is formed on the side of the active surface F of the fourth wafer 134 . Then, the wafer carrier 31 is bonded to the surface of the fifth dielectric layer 305 away from the fourth wafer 134 .
接下来,并对第四晶圆134的无源面B进行减薄,减薄工艺同上所述,此处不再赘述。此外,如图12b所示,可以采用熔融键合工艺,通过第四介电层304将第四晶圆134的无源面B与第三晶圆133的有源面F相键合,并去除晶圆载板31。Next, the passive surface B of the fourth wafer 134 is thinned, and the thinning process is the same as that described above, and will not be repeated here. In addition, as shown in FIG. 12b, a fusion bonding process may be used to bond the passive surface B of the fourth wafer 134 to the active surface F of the third wafer 133 through the fourth dielectric layer 304, and remove Wafer carrier 31 .
需要说明的是,在将第三晶圆133与第四晶圆134键合之前,可以在第四介电层304内形成与该第三晶圆133相耦接的第三重布线层503。该第三重布线层503的制作方式同上所述,此处不再赘述。It should be noted that, before bonding the third wafer 133 and the fourth wafer 134 , a third redistribution layer 503 coupled with the third wafer 133 may be formed in the fourth dielectric layer 304 . The manufacturing method of the third redistribution layer 503 is the same as that described above, and will not be repeated here.
接下来,如图12b所示,形成贯穿第四晶圆134的第三导通孔513,以及设置于第五介电层305内,且与第四晶圆134中的电路结构101(如图2b所示)相耦接的第四重布线层504。第三导通孔513的第一端与第三重布线层503相耦接,第二端与第四重布线层504相耦接。这样一来,上述第三重布线层503、第三导通孔513以及第四重布线层504可以构成第三互连组件52,使得第三晶圆133可以与第四晶圆134之间实现信号传输。Next, as shown in FIG. 12b, a third via hole 513 is formed through the fourth wafer 134, and is disposed in the fifth dielectric layer 305, and is connected with the circuit structure 101 in the fourth wafer 134 (as shown in FIG. 2b) coupled with the fourth redistribution layer 504. A first end of the third via hole 513 is coupled to the third redistribution layer 503 , and a second end is coupled to the fourth redistribution layer 504 . In this way, the third redistribution layer 503, the third via hole 513, and the fourth redistribution layer 504 can constitute the third interconnection component 52, so that the connection between the third wafer 133 and the fourth wafer 134 can be realized. Signal transmission.
接下来,如图12c所示,将晶圆载板31键合于第五介电层305远离第四晶圆134的表面,并对第三晶圆133的无源面B进行减薄。Next, as shown in FIG. 12 c , the wafer carrier 31 is bonded to the surface of the fifth dielectric layer 305 away from the fourth wafer 134 , and the passive surface B of the third wafer 133 is thinned.
接下来,如图12d所示,在第三晶圆133的无源面B形成第三介电层303。然后形成贯穿第三晶圆133的第二导通孔512,并在第三介电层303内制作第二导通焊垫522以及第二虚设焊垫402。该第二导通焊垫522与第二导通孔512的第一端以及第二重布线层502相耦接。由上述可知,第二导通孔512和第二导通焊垫522构成上述第二互连组件51。在此情况下,可以完成第二堆叠组件22的制作。Next, as shown in FIG. 12 d , a third dielectric layer 303 is formed on the passive surface B of the third wafer 133 . Then a second via hole 512 is formed through the third wafer 133 , and a second via pad 522 and a second dummy pad 402 are formed in the third dielectric layer 303 . The second via pad 522 is coupled to the first end of the second via hole 512 and the second redistribution layer 502 . It can be seen from the above that the second via hole 512 and the second via pad 522 constitute the above-mentioned second interconnection component 51 . In this case, the fabrication of the second stack assembly 22 can be completed.
基于此,再执行上述S103,将第一堆叠组件21和第二堆叠组件22键合,并将上述晶圆载板31去除,形成的晶圆堆叠结构02的结构如图11所示。接下来,对如图11所示的晶圆堆叠结构02进行切割后,可以获得多个如图13所示的芯片堆叠组件20。Based on this, the above S103 is performed again, the first stacking assembly 21 and the second stacking assembly 22 are bonded, and the above-mentioned wafer carrier 31 is removed, and the structure of the formed wafer stacking structure 02 is shown in FIG. 11 . Next, after cutting the wafer stack structure 02 as shown in FIG. 11 , a plurality of chip stack assemblies 20 as shown in FIG. 13 can be obtained.
该芯片堆叠组件20中的第四芯片214由对图11所示的晶圆堆叠结构02中的第四晶圆134进行切割获得。因此,第四芯片214设置于第三芯片213远离第二芯片212的一侧,且第四芯片214的无源面B朝向第三芯片213。第三芯片213和第四芯片通过第四介电层304相键合。此外,第四芯片214的有源面F一侧设置有第五介电层305。The fourth chip 214 in the chip stack assembly 20 is obtained by cutting the fourth wafer 134 in the wafer stack structure 02 shown in FIG. 11 . Therefore, the fourth chip 214 is disposed on a side of the third chip 213 away from the second chip 212 , and the passive surface B of the fourth chip 214 faces the third chip 213 . The third chip 213 and the fourth chip are bonded through the fourth dielectric layer 304 . In addition, a fifth dielectric layer 305 is disposed on the side of the active surface F of the fourth chip 214 .
这样一来,当在制作芯片堆叠结构20的过程中,晶圆的数量增加时,可以先如上所述,采用成本较低的熔融键合工艺,将第一晶圆131和第二晶圆132通过第一介电层301相键合。并且,采用熔融键合工艺将第三晶圆133与第四晶圆134通过第四介 电层304相键合。然后,再将第一晶圆131和第二晶圆132键合形成的第一堆叠组件21,与第三晶圆133与第四晶圆134键合形成的第二堆叠组件22采用键合强度较高的混合键合工艺相键合。在此情况下,当堆叠的晶圆数量较少时,晶圆之间的键合工艺可以采用成本较低的熔融键合工艺。当堆叠的晶圆数量较多时,晶圆之间采用键合强度较高的混合键合,从而可以在降低制作成本的同时,提高晶圆堆叠结构的可靠性。此外,当晶圆堆叠结构中堆叠的晶圆数量增加后,可以分组先将一部分晶圆在熔融键合工艺能够保证可靠性的前提下,采用该熔融键合工艺键合形成堆叠组件。然后,再采用键合强度较高的混合键合工艺,对多个堆叠组件进行两两键合(例如上述第一堆叠组件21和第二堆叠组件22相键合)。在此情况下,相对于逐层对晶圆进行堆叠的方案而言,本申请实施例中,当其中一个堆叠组件中的晶圆在堆叠过程出现堆叠失误或者对位精度偏差的问题时,可以单独对该堆叠组件进行更换,而不会导致整个晶圆堆叠结构02以及由该晶圆堆叠结构02切割后形成的芯片堆叠结构20失效的问题。In this way, when the number of wafers increases during the process of manufacturing the chip stack structure 20, the first wafer 131 and the second wafer 132 can be bonded together by using a fusion bonding process with a lower cost as described above. Bonded through the first dielectric layer 301 . Moreover, the third wafer 133 and the fourth wafer 134 are bonded through the fourth dielectric layer 304 by using a fusion bonding process. Then, the first stack assembly 21 formed by bonding the first wafer 131 and the second wafer 132, and the second stack assembly 22 formed by bonding the third wafer 133 and the fourth wafer 134 adopt the bonding strength Higher hybrid bonding process phase bonding. In this case, when the number of stacked wafers is small, the bonding process between the wafers can use a less costly fusion bonding process. When the number of stacked wafers is large, hybrid bonding with higher bonding strength is used between the wafers, so that the reliability of the wafer stacking structure can be improved while reducing manufacturing costs. In addition, when the number of stacked wafers in the wafer stacking structure increases, a part of the wafers can be grouped and bonded by the fusion bonding process to form a stacked assembly under the premise that the reliability of the fusion bonding process can be guaranteed. Then, a hybrid bonding process with higher bonding strength is used to perform two-by-two bonding on multiple stacked components (for example, the above-mentioned first stacked component 21 and the second stacked component 22 are bonded together). In this case, compared to the scheme of stacking wafers layer by layer, in the embodiment of the present application, when the wafers in one of the stacking components have a stacking error or a deviation in alignment accuracy during the stacking process, it can be Replacing the stacked assembly alone will not lead to failure of the entire wafer stacked structure 02 and the chip stacked structure 20 formed after cutting the wafer stacked structure 02 .
在本申请的实施例中,对于上述任意一种芯片堆叠结构20而言,该芯片堆叠结构20中,第二堆叠组件22中最远离第一堆叠组件21的芯片可以称为底层芯片,例如图13中的第四芯片214可以称为底层芯片。该底层芯片可以与上述外部部件10(如图1所示)相耦接,从而使得芯片堆叠结构20能够通过上述外部部件10与PCB上其他芯片或者芯片堆叠结构实现信号传输。In the embodiment of the present application, for any chip stacking structure 20 described above, in the chip stacking structure 20, the chip in the second stacking component 22 that is farthest from the first stacking component 21 can be called the bottom chip, as shown in FIG. The fourth chip 214 in 13 may be referred to as a bottom chip. The underlying chip can be coupled with the external component 10 (as shown in FIG. 1 ), so that the chip stack structure 20 can realize signal transmission with other chips or chip stack structures on the PCB through the external component 10 .
在此情况下,为了使得底层芯片(例如,第四芯片214)能够与上述外部部件10相耦接,如图14所示,第二堆叠组件22还可以包括间隔设置的多个接口焊垫600。该接口焊垫600可以设置于底层芯片(例如,第四芯片214)的有源面一侧的介电层(例如,第五介电层305)内。这样一来,芯片堆叠结构20中的各个芯片可以通过上述接口焊垫600、第四重布线层504与上述外部部件10相耦接。In this case, in order to enable the bottom chip (for example, the fourth chip 214) to be coupled with the above-mentioned external component 10, as shown in FIG. . The interface pad 600 may be disposed in a dielectric layer (eg, the fifth dielectric layer 305 ) on the active side of the bottom chip (eg, the fourth chip 214 ). In this way, each chip in the chip stack structure 20 can be coupled to the external component 10 through the interface pad 600 and the fourth redistribution layer 504 .
在本申请的一些实施例中,上述底层芯片可以为逻辑芯片,该芯片堆叠结构20中除了底层芯片(例如,第四芯片214)以外的至少一个芯片(例如。第一芯片211、第二芯片212以及第三芯片213)可以为存储芯片。例如,动态随机访问存储芯片(dynamic random access memory,DRAM)。在此情况下,上述芯片堆叠结构20可以为高宽带存储器(high bandwidth memory,HBM)。In some embodiments of the present application, the above-mentioned bottom chip may be a logic chip, and at least one chip (for example, the first chip 211, the second chip 212 and the third chip 213) may be memory chips. For example, dynamic random access memory (DRAM). In this case, the chip stack structure 20 may be a high bandwidth memory (HBM).
或者,在本申请的另一些实施例中,上述底层芯片可以为存储芯片,该芯片堆叠结构20中除了底层芯片(例如,第四芯片214)以外的至少一个芯片为逻辑芯片。Alternatively, in some other embodiments of the present application, the above-mentioned bottom chip may be a memory chip, and at least one chip other than the bottom chip (for example, the fourth chip 214 ) in the chip stack structure 20 is a logic chip.
综上所述,在制作如图14所示的芯片堆叠结构20的过程中,先将第一堆叠组件21中的第一晶圆131、第二晶圆132通过熔融键合在一起。第二堆叠组件22中的第三晶圆133和第四晶圆134通过熔融键合在一起,然后再将第一堆叠组件21和第二堆叠组件22通过混合键合形成晶圆堆叠结构02。最后对晶圆堆叠结构02进行切割形成芯片堆叠结构20。在此情况下,芯片堆叠结构20中的第一堆叠组件21包括两个芯片,分别为第一芯片211和第二芯片212。第二堆叠组件22包括两个芯片,分别为第三芯片213和第四芯片214。To sum up, in the process of manufacturing the chip stack structure 20 shown in FIG. 14 , the first wafer 131 and the second wafer 132 in the first stack assembly 21 are bonded together by fusion. The third wafer 133 and the fourth wafer 134 in the second stacking assembly 22 are fusion bonded together, and then the first stacking assembly 21 and the second stacking assembly 22 are hybrid bonded to form the wafer stacking structure 02 . Finally, the wafer stack structure 02 is cut to form the chip stack structure 20 . In this case, the first stack assembly 21 in the chip stack structure 20 includes two chips, namely a first chip 211 and a second chip 212 . The second stack assembly 22 includes two chips, namely a third chip 213 and a fourth chip 214 .
在本申请的另一些实施例中,在制作芯片堆叠结构20的过程中,可以先将四个晶圆通过熔融键合在一起形成第一堆叠组件21。并且将另外四个晶圆通过熔融键合在一起形成第二堆叠组件22。然后通过混合键合工艺将第一堆叠组件21和第二堆叠组件 22相键合形成具有八个晶圆的圆堆叠结构02。最后对晶圆堆叠结构02进行切割形成芯片堆叠结构20。在此情况下,芯片堆叠结构20中的第一堆叠组件21包括四个芯片。第二堆叠组件22包括四个芯片。In other embodiments of the present application, in the process of manufacturing the chip stack structure 20 , four wafers may be firstly bonded together by fusion to form the first stack assembly 21 . And the other four wafers are bonded together by fusion to form the second stack assembly 22 . Then, the first stack assembly 21 and the second stack assembly 22 are bonded by a hybrid bonding process to form a round stack structure 02 with eight wafers. Finally, the wafer stack structure 02 is cut to form the chip stack structure 20 . In this case, the first stack assembly 21 in the chip stack structure 20 includes four chips. The second stack assembly 22 includes four chips.
或者,在本申请的另一些实施例中,在制作芯片堆叠结构20的过程中,可以先将八个晶圆通过熔融键合在一起形成第一堆叠组件21。并且将另外八个晶圆通过熔融键合在一起形成第二堆叠组件22。然后通过混合键合工艺将第一堆叠组件21和第二堆叠组件22相键合形成具有十六个晶圆的圆堆叠结构02。最后对晶圆堆叠结构02进行切割形成芯片堆叠结构20。在此情况下,芯片堆叠结构20中的第一堆叠组件21包括八个芯片。第二堆叠组件22包括八个芯片。Alternatively, in other embodiments of the present application, in the process of manufacturing the chip stack structure 20 , eight wafers may be firstly bonded together by fusion to form the first stack assembly 21 . And the other eight wafers are bonded together by fusion to form the second stack assembly 22 . Then, the first stack assembly 21 and the second stack assembly 22 are bonded by a hybrid bonding process to form a round stack structure 02 having sixteen wafers. Finally, the wafer stack structure 02 is cut to form the chip stack structure 20 . In this case, the first stack assembly 21 in the chip stack structure 20 includes eight chips. The second stack assembly 22 includes eight chips.
需要说明的是,上述是以第一堆叠组件21和第二堆叠组件22中晶圆或芯片的数量相同为例进行的上说明。在本申请的另一些实施例中,如图15所示,第一堆叠组件21包括第一芯片211和第二芯片212,第二堆叠组件22可以包括第三芯片213、第四芯片214以及第五芯片215(该第五芯片215的有源面一侧设置有第六介电层306)。在此情况下,第一堆叠组件21和第二堆叠组件22中芯片的数量可以不同。本申请对上述堆叠组件中芯片的数量不做限定。It should be noted that the above description is based on an example in which the number of wafers or chips in the first stacking assembly 21 and the second stacking assembly 22 are the same. In other embodiments of the present application, as shown in FIG. 15 , the first stack assembly 21 includes a first chip 211 and a second chip 212, and the second stack assembly 22 may include a third chip 213, a fourth chip 214, and a second chip 214. Five chips 215 (the active surface side of the fifth chip 215 is provided with a sixth dielectric layer 306 ). In this case, the number of chips in the first stack assembly 21 and the second stack assembly 22 may be different. The present application does not limit the number of chips in the above-mentioned stacked assembly.
此外,上述均是以晶圆堆叠结构02包括两个堆叠组件,例如第一堆叠组件21和第二堆叠组件22为例进行的说明。本申请中对晶圆堆叠结构02中个堆叠组件的数量不做限定,只要晶圆堆叠结构02只要具有两个堆叠组件即可。任意一个堆叠组件中晶圆之间的键合方式,以及任意两个堆叠组件的键合方式同上所述此处不再赘述。In addition, the above descriptions are based on the example that the wafer stack structure 02 includes two stacking components, such as the first stacking component 21 and the second stacking component 22 . In this application, the number of stacked components in the wafer stacked structure 02 is not limited, as long as the wafer stacked structure 02 only needs to have two stacked components. The bonding method between wafers in any one stacked assembly and the bonding method of any two stacked assemblies are the same as those described above and will not be repeated here.
示例二Example two
本示例中的芯片堆叠结构20中,同上所述,第一堆叠组件21同上所述可以包括第一芯片211和第二芯片212。第二堆叠组件22可以包括第三芯片213和第四芯片214。与示例一的不同之处在于,本示例中,贯穿第三芯片213的导通孔制作于第三晶圆133(切割后得到第三芯片213)的导通孔采用中段钻孔工艺,即该导通孔制作于第三晶圆133和第四晶圆(切割后得到第四芯片214)键合之前。In the chip stack structure 20 in this example, as mentioned above, the first stack component 21 may include a first chip 211 and a second chip 212 as mentioned above. The second stack assembly 22 may include a third chip 213 and a fourth chip 214 . The difference from Example 1 is that, in this example, the via holes penetrating through the third chip 213 are made on the third wafer 133 (obtaining the third chip 213 after dicing) using a mid-section drilling process, that is, the via holes The via holes are made before the bonding of the third wafer 133 and the fourth wafer (the fourth chip 214 is obtained after dicing).
在此情况下,上述晶圆堆叠结构02的制作方法中第一堆叠组件21的制作方法同上所述。不同之处为第二堆叠组件22的制作方法(即S102)包括:In this case, the manufacturing method of the first stacking component 21 in the manufacturing method of the above-mentioned wafer stack structure 02 is the same as that described above. The difference is that the manufacturing method (ie S102) of the second stacking assembly 22 includes:
首先,如图16a所示,在第三晶圆133的有源面F形成第四介电层304。在第三晶圆133内形成第二导通孔512,并在第四介电层304内形成第三重布线层503。该第三重布线层503与第二导通孔512的第二端(第二导通孔512靠近第三重布线层503的一端),以及第三晶圆133相耦接。First, as shown in FIG. 16 a , a fourth dielectric layer 304 is formed on the active surface F of the third wafer 133 . A second via hole 512 is formed in the third wafer 133 , and a third redistribution layer 503 is formed in the fourth dielectric layer 304 . The third redistribution layer 503 is coupled to the second end of the second via hole 512 (an end of the second via hole 512 close to the third redistribution layer 503 ), and the third wafer 133 .
接下来,如图12a所示,在第四晶圆134的有源面F形成第五介电层305。然后,将晶圆载板31键合于第五介电层305远离第四晶圆134的表面。Next, as shown in FIG. 12 a , a fifth dielectric layer 305 is formed on the active surface F of the fourth wafer 134 . Then, the wafer carrier 31 is bonded to the surface of the fifth dielectric layer 305 away from the fourth wafer 134 .
接下来,对第四晶圆134的无源面B进行减薄,并如图16b所示,通过第四介电层304将第四晶圆134的无源面与第三晶圆133的有源面F相键合。然后并去除晶圆载板31。Next, the passive surface B of the fourth wafer 134 is thinned, and as shown in FIG. The source face F is bonded. Then the wafer carrier 31 is removed.
接下来,如图16c所示,形成贯穿第四晶圆134的第三导通孔513,以及设置于第五介电层305内,且与第四晶圆134相耦接的第四重布线层504。第三导通孔513的第一端与第三重布线层503相耦接,第二端与第四重布线层504相耦接。上述第三 重布线层503、第三导通孔513以及第四重布线层504构成第三互连组件52。在此情况下,第四晶圆134可以通过第三互连组件52与第三晶圆133实现信号传输。Next, as shown in FIG. 16c, a third via hole 513 penetrating through the fourth wafer 134, and a fourth redistribution disposed in the fifth dielectric layer 305 and coupled to the fourth wafer 134 are formed. Layer 504. A first end of the third via hole 513 is coupled to the third redistribution layer 503 , and a second end is coupled to the fourth redistribution layer 504 . The third redistribution layer 503 , the third via hole 513 and the fourth redistribution layer 504 constitute the third interconnection component 52 . In this case, the fourth wafer 134 can realize signal transmission with the third wafer 133 through the third interconnection component 52 .
接下来,如图16d所示,将晶圆载板31键合于第五介电层305远离第四晶圆134的表面,并对第三晶圆133的无源面B进行减薄,以露出第二导通孔512的第一端(第二导通孔512远离第三重布线层503的一端)。Next, as shown in FIG. 16d, the wafer carrier 31 is bonded to the surface of the fifth dielectric layer 305 away from the fourth wafer 134, and the passive surface B of the third wafer 133 is thinned to The first end of the second via hole 512 (the end of the second via hole 512 away from the third redistribution layer 503 ) is exposed.
接下来,如图12d所示,在第三晶圆133的无源面B形成第三介电层303,并在第三介电层303内制作第二导通焊垫522以及第二虚设焊垫402。该第二导通焊垫522与第二导通孔512的第一端以及第二重布线层502相耦接。在此情况下,可以完成第二堆叠组件22的制作。Next, as shown in FIG. 12d, a third dielectric layer 303 is formed on the passive surface B of the third wafer 133, and a second conductive pad 522 and a second dummy solder pad are formed in the third dielectric layer 303. MAT 402. The second via pad 522 is coupled to the first end of the second via hole 512 and the second redistribution layer 502 . In this case, the fabrication of the second stack assembly 22 can be completed.
基于此,在执行上述S103,将第一堆叠组件21和第二堆叠组件22键合,并将上述晶圆载板31去除,形成的晶圆堆叠结构02的结构如图11所示。接下来,对如图11所示的晶圆堆叠结构02进行切割后,可以获得多个如图13所示的芯片堆叠组件20。Based on this, after performing the above S103, the first stacking assembly 21 and the second stacking assembly 22 are bonded, and the above-mentioned wafer carrier 31 is removed, and the structure of the formed wafer stacking structure 02 is shown in FIG. 11 . Next, after cutting the wafer stack structure 02 as shown in FIG. 11 , a plurality of chip stack assemblies 20 as shown in FIG. 13 can be obtained.
综上所述,图16d中贯穿第三晶圆133的第二导通孔512,如图16a和图16b所示,是在第三晶圆133和第四晶圆134堆叠键合之前形成的,该第二导通孔512采用中段钻孔工艺制备。而对于示例一中如图12d所示,第二导通孔512在第三晶圆133和第四晶圆134堆叠之后形成(后钻孔工艺)的方案而言,后钻孔工艺中需要先对第三晶圆133的一部分进行刻蚀,停在第三重布线层503的刻蚀阻挡层上,接着对该刻蚀阻挡层进行刻蚀,以露出第三重布线层503中的金属走线,从而形成贯穿第三晶圆133的孔。然后再在通孔中沉积隔离层用以隔离第二导通孔512和第三晶圆133。最后打开第三重布线层503表面的隔离层,在贯穿第三晶圆133的孔内形成金属导电材料,以形成与第三重布线层503相耦接的第二导通孔512。所以采用中段钻孔工艺制备上述第二导通孔512无需考虑形成刻蚀阻挡层,以及对第三晶圆和刻蚀阻挡层依次分步刻蚀等步骤,有利于降低工艺难度。In summary, the second via hole 512 penetrating the third wafer 133 in FIG. 16d, as shown in FIG. 16a and FIG. 16b, is formed before the third wafer 133 and the fourth wafer 134 are stacked and bonded. , the second via hole 512 is prepared by a mid-section drilling process. As for the solution shown in FIG. 12d in Example 1, the second via hole 512 is formed after the third wafer 133 and the fourth wafer 134 are stacked (post-drilling process), the post-drilling process needs to be A part of the third wafer 133 is etched to stop on the etch barrier layer of the third rewiring layer 503, and then the etch barrier layer is etched to expose the metal traces in the third rewiring layer 503. lines, thereby forming holes penetrating the third wafer 133 . Then an isolation layer is deposited in the via hole to isolate the second via hole 512 from the third wafer 133 . Finally, the isolation layer on the surface of the third redistribution layer 503 is opened, and metal conductive material is formed in the hole penetrating the third wafer 133 to form the second via hole 512 coupled with the third redistribution layer 503 . Therefore, the preparation of the second via hole 512 by using the mid-section drilling process does not need to consider steps such as forming an etch barrier layer and sequentially etching the third wafer and the etch barrier layer step by step, which is beneficial to reduce the difficulty of the process.
然而示例二中,当第二导通孔512采用中段钻孔工艺时,第二导通孔512如图16a所示形成于第三晶圆133与第四晶圆134键合之前,且如图16d所示,可以在对第三晶圆133的背部进行研磨的过程中,将第二导通孔512露出。接来下,在第三晶圆133的无源面制作第三介电层303,以及位于该第二介电层302内的第二导通焊盘522(如图11所示)时,该第二导通焊盘522可以与第二导通孔512露出的第一端相耦接。因此,中段钻孔工艺对第二导通孔512的制作工艺的精度要求较低,有利于简化制作工艺。However, in Example 2, when the second via hole 512 adopts the mid-section drilling process, the second via hole 512 is formed before the bonding of the third wafer 133 and the fourth wafer 134 as shown in FIG. 16 a , and as shown in FIG. As shown in 16d, the second via hole 512 can be exposed during the process of grinding the back of the third wafer 133 . Next, when making the third dielectric layer 303 on the passive surface of the third wafer 133, and the second conducting pad 522 (as shown in FIG. 11 ) located in the second dielectric layer 302, the The second via pad 522 may be coupled to the exposed first end of the second via hole 512 . Therefore, the mid-section drilling process has lower requirements on the manufacturing process precision of the second via hole 512 , which is beneficial to simplify the manufacturing process.
本示例中,晶圆堆叠结构02中堆叠组件的数量、相邻两个堆叠组件的键合方式,以及每个堆叠组件中晶圆数量、相邻两个晶圆的键合方式同上所述,此处不再赘述。In this example, the number of stacked components in the wafer stack structure 02, the bonding method of two adjacent stacked components, the number of wafers in each stacked component, and the bonding method of two adjacent wafers are the same as above, I won't repeat them here.
以上所述,仅为本申请的具体实施方式,但本申请的保护范围并不局限于此,任何熟悉本技术领域的技术人员在本申请揭露的技术范围内,可轻易想到变化或替换,都应涵盖在本申请的保护范围之内。因此,本申请的保护范围应以所述权利要求的保护范围为准。The above is only a specific implementation of the application, but the scope of protection of the application is not limited thereto. Anyone familiar with the technical field can easily think of changes or substitutions within the technical scope disclosed in the application. Should be covered within the protection scope of this application. Therefore, the protection scope of the present application should be determined by the protection scope of the claims.

Claims (20)

  1. 一种芯片堆叠结构,其特征在于,包括:A chip stack structure, characterized in that it comprises:
    第一芯片;first chip;
    第二芯片,设置于所述第一芯片的有源面所在的一侧,且所述第二芯片的无源面朝向所述第一芯片的有源面;所述第一芯片与所述第二芯片通过熔融键合工艺相键合;The second chip is arranged on the side where the active surface of the first chip is located, and the passive surface of the second chip faces the active surface of the first chip; the first chip and the first chip The two chips are bonded by fusion bonding process;
    第三芯片,设置于所述第二芯片的有源面所在的一侧;所述第三芯片的无源面朝向所述第二芯片的有源面;所述第三芯片与所述第二芯片通过混合键合工艺相键合。The third chip is arranged on the side where the active surface of the second chip is located; the passive surface of the third chip faces the active surface of the second chip; the third chip and the second chip Chips are bonded using a hybrid bonding process.
  2. 根据权利要求1所述的芯片堆叠结构,其特征在于,所述芯片堆叠结构还包括:The chip stack structure according to claim 1, wherein the chip stack structure further comprises:
    第一介电层,设置于所述第一芯片和所述第二芯片之间;所述第一芯片和所述第二芯片通过所述第一介电层相键合;a first dielectric layer disposed between the first chip and the second chip; the first chip and the second chip are bonded through the first dielectric layer;
    第二介电层,设置于所述第二芯片的有源面一侧;a second dielectric layer disposed on the active surface side of the second chip;
    间隔设置的多个第一虚设焊垫;所述多个第一虚设焊垫设置于所述第二介电层内;a plurality of first dummy pads arranged at intervals; the plurality of first dummy pads are arranged in the second dielectric layer;
    第三介电层,设置于所述第三芯片的无源面,且与所述第二介电层相键合;a third dielectric layer disposed on the passive surface of the third chip and bonded to the second dielectric layer;
    间隔设置的多个第二虚设焊垫;所述多个第二虚设焊垫设置于所述第三介电层内;所述多个第二虚设焊垫中的一个第二虚设焊垫与所述多个第一虚设焊垫中的一个第一虚设焊垫相键合。A plurality of second dummy pads arranged at intervals; the plurality of second dummy pads are disposed in the third dielectric layer; one second dummy pad in the plurality of second dummy pads is connected to the second dummy pad one of the first dummy pads among the plurality of first dummy pads.
  3. 根据权利要求2所述的芯片堆叠结构,其特征在于,所述芯片堆叠结构还包括第一互连组件;所述第一互连组件包括:The chip stack structure according to claim 2, wherein the chip stack structure further comprises a first interconnection component; the first interconnection component comprises:
    第一重布线层,设置于所述第一介电层内,且与所述第一芯片相耦接;a first redistribution layer disposed in the first dielectric layer and coupled to the first chip;
    第二重布线层,设置于所述第二介电层内,且与所述第二芯片相耦接;a second redistribution layer disposed in the second dielectric layer and coupled to the second chip;
    第一导通孔,贯穿所述第二芯片;所述第一导通孔的第一端与所述第一重布线层相耦接,所述第一导通孔的第二端与所述第二重布线层相耦接。The first via hole runs through the second chip; the first end of the first via hole is coupled to the first redistribution layer, and the second end of the first via hole is coupled to the first via hole. The second redistribution layer is coupled.
  4. 根据权利要求3所述的芯片堆叠结构,其特征在于,The chip stack structure according to claim 3, characterized in that,
    所述第一互连组件还包括第一导通焊垫,设置于所述第二重布线层远离所述第一重布线层的一侧表面,且与所述第二重布线层相耦接;The first interconnection component further includes a first conductive pad, disposed on a surface of the second redistribution layer away from the first redistribution layer, and coupled to the second redistribution layer ;
    所述芯片堆叠结构还包括第二互连组件;所述第二互连组件包括:The chip stack structure also includes a second interconnection assembly; the second interconnection assembly includes:
    第二导通焊垫,设置于所述第三介电层内,且与所述第一导通焊垫相键合;a second conducting pad, disposed in the third dielectric layer, and bonded to the first conducting pad;
    第二导通孔,贯穿所述第三芯片;所述第二导通孔的第一端与所述第二导通焊垫相耦接,所述第二导通孔的第二端与所述第三芯片相耦接。The second via hole runs through the third chip; the first end of the second via hole is coupled to the second via pad, and the second end of the second via hole is coupled to the second via hole. The third chip is coupled.
  5. 根据权利要求3或4所述的芯片堆叠结构,其特征在于,所述芯片堆叠结构还包括:The chip stack structure according to claim 3 or 4, wherein the chip stack structure further comprises:
    第四芯片;所述第四芯片设置于所述第三芯片远离所述第二芯片的一侧,且所述第四芯片的无源面朝向所述第三芯片的有源面;A fourth chip; the fourth chip is disposed on a side of the third chip away from the second chip, and the passive surface of the fourth chip faces the active surface of the third chip;
    第四介电层;设置于所述第三芯片和所述第四芯片之间;所述第三芯片和所述第四芯片通过所述第四介电层相键合。A fourth dielectric layer; disposed between the third chip and the fourth chip; the third chip and the fourth chip are bonded through the fourth dielectric layer.
  6. 根据权利要求5所述的芯片堆叠结构,其特征在于,所述芯片堆叠结构还包括第五介电层和第三互连组件;所述第五介电层设置于所述第四芯片的有源面;The chip stack structure according to claim 5, characterized in that, the chip stack structure further comprises a fifth dielectric layer and a third interconnection component; the fifth dielectric layer is disposed on the active part of the fourth chip source surface;
    所述第三互连组件包括:The third interconnection assembly includes:
    第三重布线层,设置于所述第四介电层内,且与所述第三芯片和所述第一互连组 件相耦接;The third redistribution layer is arranged in the fourth dielectric layer and coupled with the third chip and the first interconnection component;
    第四重布线层,设置于所述第五介电层内,且与所述第四芯片相耦接;a fourth rewiring layer disposed in the fifth dielectric layer and coupled to the fourth chip;
    第三导通孔,贯穿所述第四芯片;所述第三导通孔的第一端与所述第三重布线层相耦接,所述第三导通孔的第二端与所述第四重布线层相耦接。The third via hole runs through the fourth chip; the first end of the third via hole is coupled to the third redistribution layer, and the second end of the third via hole is coupled to the third via hole. The fourth redistribution layer is coupled.
  7. 根据权利要求2-6任一项所述的芯片堆叠结构,其特征在于,所述芯片堆叠结构还包括:The chip stack structure according to any one of claims 2-6, wherein the chip stack structure further comprises:
    间隔设置的多个第三导通焊垫;所述多个第三导通焊垫设置于所述第二介电层内,且与所述第二芯片相耦接;A plurality of third conducting pads arranged at intervals; the plurality of third conducting pads are disposed in the second dielectric layer and coupled with the second chip;
    间隔设置的多个第四导通焊垫;所述多个第四导通焊垫设置于所述第三介电层内,且与所述第三芯片相耦接;A plurality of fourth conducting pads arranged at intervals; the plurality of fourth conducting pads are disposed in the third dielectric layer and coupled to the third chip;
    其中,所述多个第三导通焊垫中的一个第三导通焊垫与所述多个第四导通焊垫中的一个第四导通焊垫相键合。Wherein, one third conductive pad of the plurality of third conductive pads is bonded to one fourth conductive pad of the plurality of fourth conductive pads.
  8. 根据权利要求1-7任一项所述的芯片堆叠结构,其特征在于,所述芯片堆叠结构中最远离所述第一芯片的芯片为底层芯片;The chip stack structure according to any one of claims 1-7, characterized in that the chip in the chip stack structure that is farthest from the first chip is the bottom chip;
    所述芯片堆叠结构还包括:The chip stack structure also includes:
    间隔设置的多个接口焊垫;所述多个接口焊垫设置于所述底层芯片的有源面一侧的介电层内;所述多个接口焊垫用于将所述底层芯片与外接部件相耦接。A plurality of interface welding pads arranged at intervals; the plurality of interface welding pads are arranged in the dielectric layer on one side of the active surface of the bottom chip; the plurality of interface welding pads are used to connect the bottom chip to the external components are coupled.
  9. 根据权利要求8所述的芯片堆叠结构,其特征在于,所述底层芯片为逻辑芯片,所述芯片堆叠结构中除了底层芯片以外的至少一个芯片为存储芯片。The chip stack structure according to claim 8, wherein the bottom chip is a logic chip, and at least one chip other than the bottom chip in the chip stack structure is a memory chip.
  10. 一种晶圆堆叠结构,其特征在于,包括:A wafer stack structure, characterized in that, comprising:
    第一晶圆;first wafer;
    第二晶圆;所述第二晶圆设置于所述第一晶圆的有源面所在的一侧,且所述第二晶圆的无源面朝向所述第一晶圆的有源面;所述第一晶圆与所述第二晶圆通过熔融键合工艺相键合;The second wafer; the second wafer is arranged on the side where the active surface of the first wafer is located, and the passive surface of the second wafer faces the active surface of the first wafer ; The first wafer is bonded to the second wafer by a fusion bonding process;
    第三晶圆,设置于所述第二晶圆远离所述第一晶圆的一侧;所述第三晶圆的无源面朝向所述第二晶圆的有源面;所述第三晶圆与所述第二晶圆通过混合键合工艺相键合。The third wafer is arranged on the side of the second wafer away from the first wafer; the passive surface of the third wafer faces the active surface of the second wafer; the third wafer The wafer is bonded to the second wafer by a hybrid bonding process.
  11. 一种电子设备,其特征在于,包括外接部件以及与所述外接部件相耦接的至少一个如权利要求1-9任一项所述的芯片堆叠结构。An electronic device, characterized by comprising an external component and at least one chip stack structure according to any one of claims 1-9 coupled to the external component.
  12. 根据权利要求11所述的电子设备,其特征在于,所述外接部件包括封装基板、转接板,或者,扇出型的至少一层重布线层。The electronic device according to claim 11, wherein the external component comprises a package substrate, an interposer, or at least one fan-out redistribution layer.
  13. 一种芯片堆叠结构的制作方法,其特征在于,所述方法包括:A method for manufacturing a chip stack structure, characterized in that the method comprises:
    在第一晶圆的有源面所在的一侧设置第二晶圆,所述第二晶圆的无源面朝向所述第一晶圆的有源面,并通过熔融键合工艺将所述第一晶圆和所述第二晶圆相键合;A second wafer is arranged on the side where the active surface of the first wafer is located, the passive surface of the second wafer faces the active surface of the first wafer, and the bonding the first wafer to the second wafer;
    在所述第二晶圆的有源面所在的一侧设置第三晶圆,所述第三晶圆的无源面朝向所述第二晶圆的有源面,并通过熔融键合工艺,或者,混合键合工艺将所述第三晶圆与所述第二晶圆相键合。A third wafer is arranged on the side where the active surface of the second wafer is located, the passive surface of the third wafer faces the active surface of the second wafer, and through a fusion bonding process, Alternatively, a hybrid bonding process bonds the third wafer to the second wafer.
  14. 根据权利要求13所述的芯片堆叠结构的制作方法,其特征在于,在所述第一晶圆的有源面所在的一侧设置所述第二晶圆,并通过熔融键合工艺将所述第一晶圆和 所述第二晶圆相键合的方法包括:The method for manufacturing a chip stack structure according to claim 13, wherein the second wafer is arranged on the side where the active surface of the first wafer is located, and the The method for bonding the first wafer to the second wafer includes:
    在所述第一晶圆的有源面形成第一介电层;forming a first dielectric layer on the active surface of the first wafer;
    在所述第二晶圆的有源面形成第二介电层,将晶圆载板键合于所述第二介电层远离所述第二晶圆的表面;对所述第二晶圆的无源面进行减薄;Forming a second dielectric layer on the active surface of the second wafer, bonding the wafer carrier to the surface of the second dielectric layer away from the second wafer; for the second wafer Thinning of the passive surface;
    通过所述第一介电层将第二晶圆的无源面与所述第一晶圆的有源面相键合,并去除所述晶圆载板;bonding the passive surface of the second wafer to the active surface of the first wafer through the first dielectric layer, and removing the wafer carrier;
    在所述第二晶圆的有源面所在的一侧设置所述第三晶圆,并通过熔融键合工艺,或者,混合键合工艺将所述第三晶圆与所述第二晶圆相键合的方法包括:The third wafer is arranged on the side where the active surface of the second wafer is located, and the third wafer is bonded to the second wafer through a fusion bonding process or a hybrid bonding process Methods of bonding include:
    在第三晶圆的无源面形成第三介电层;forming a third dielectric layer on the passive surface of the third wafer;
    至少通过所述第三介电层和所述第二介电层,将所述第三晶圆和所述第二晶圆键合。The third wafer is bonded to the second wafer through at least the third dielectric layer and the second dielectric layer.
  15. 根据权利要求14所述的芯片堆叠结构的制作方法,其特征在于,将所述第三晶圆和所述第二晶圆键合之后,所述方法还包括:沿切割线对所述第一晶圆、所述第二晶圆以及所述第三晶圆进行切割。The method for manufacturing a stacked chip structure according to claim 14, characterized in that, after bonding the third wafer to the second wafer, the method further comprises: aligning the first wafer along the dicing line Wafer, the second wafer and the third wafer are diced.
  16. 根据权利要求14或15所述的芯片堆叠结构的制作方法,其特征在于,The method for manufacturing a chip stack structure according to claim 14 or 15, characterized in that,
    在所述第二晶圆的有源面形成所述第二介电层之后,制作所述芯片堆叠结构的方法还包括:在所述第二介电层内制作间隔设置的多个第一虚设焊垫;After the second dielectric layer is formed on the active surface of the second wafer, the method for manufacturing the chip stack structure further includes: forming a plurality of first dummy layers arranged at intervals in the second dielectric layer welding pad;
    在所述第三晶圆的无源面形成所述第三介电层之后,制作所述芯片堆叠结构的方法还包括:在所述第三介电层内制作间隔设置的多个第二虚设焊垫;After the third dielectric layer is formed on the passive surface of the third wafer, the method for manufacturing the chip stack structure further includes: forming a plurality of second dummy layers arranged at intervals in the third dielectric layer welding pad;
    至少通过所述第三介电层和所述第二介电层,将所述第三晶圆和所述第二晶圆键合包括:将所述第三介电层和所述第二介电层相键合,并将所述多个第一虚设焊垫中的一个第一虚设焊垫与所述多个第二虚设焊垫中的一个第二虚设焊垫相键合。Bonding the third wafer to the second wafer through at least the third dielectric layer and the second dielectric layer includes: bonding the third dielectric layer to the second dielectric layer The electrical layers are bonded, and a first dummy pad among the plurality of first dummy pads is bonded to a second dummy pad among the plurality of second dummy pads.
  17. 根据权利要求16所述的芯片堆叠结构的制作方法,其特征在于,The method for manufacturing a chip stack structure according to claim 16, characterized in that,
    在所述第二晶圆的有源面形成所述第二介电层之后,制作所述芯片堆叠结构的方法还包括:在所述第二介电层内制作间隔设置的多个第三导通焊垫;所述多个第三导通焊垫与所述第二晶圆相耦接;After the second dielectric layer is formed on the active surface of the second wafer, the method for manufacturing the chip stack structure further includes: forming a plurality of third conductors arranged at intervals in the second dielectric layer through welding pads; the plurality of third conducting welding pads are coupled to the second wafer;
    在所述第三晶圆的无源面形成所述第三介电层之后,制作所述芯片堆叠结构的方法还包括:在所述第三介电层内制作间隔设置的多个第四导通焊垫;所述多个第四导通焊垫与所述第三晶圆相耦接;After the third dielectric layer is formed on the passive surface of the third wafer, the method for manufacturing the chip stack structure further includes: forming a plurality of fourth conductors arranged at intervals in the third dielectric layer through pads; the plurality of fourth through pads are coupled to the third wafer;
    至少通过所述第三介电层和所述第二介电层,将所述第三晶圆和所述第二晶圆键合还包括:将所述多个第三导通焊垫一个第三导通焊垫与所述多个第四导通焊垫中的一个第四导通焊垫相键合。Bonding the third wafer to the second wafer through at least the third dielectric layer and the second dielectric layer further includes: connecting the plurality of third conductive pads to a first The three conducting pads are bonded to one fourth conducting pad of the plurality of fourth conducting pads.
  18. 根据权利要求14-17任一项所述的芯片堆叠结构的制作方法,其特征在于,在所述第一晶圆的有源面形成第一介电层之后,通过所述第一介电层将第二晶圆的无源面与所述第一晶圆的有源面相键合之前,制作所述芯片堆叠结构的方法还包括:在所述第一介电层内形成与所述第一晶圆相耦接的第一重布线层;The method for manufacturing a chip stack structure according to any one of claims 14-17, characterized in that, after the first dielectric layer is formed on the active surface of the first wafer, through the first dielectric layer Before bonding the passive surface of the second wafer to the active surface of the first wafer, the method for manufacturing the chip stack structure further includes: forming a a first redistribution layer coupled to the wafer;
    通过所述第一介电层将第二晶圆的无源面与所述第一晶圆的有源面相键合之后,至少通过所述第三介电层和所述第二介电层,将所述第三晶圆和所述第二晶圆键合之前,制作所述芯片堆叠结构的方法还包括:After the passive surface of the second wafer is bonded to the active surface of the first wafer through the first dielectric layer, at least through the third dielectric layer and the second dielectric layer, Before bonding the third wafer and the second wafer, the method for manufacturing the chip stack structure further includes:
    形成贯穿所述第二晶圆的第一导通孔,以及设置于所述第二介电层内,且与所述第二晶圆相耦接的第二重布线层;所述第一导通孔的第一端与所述第一重布线层相耦接,第二端与所述第二重布线层相耦接。forming a first via hole penetrating through the second wafer, and a second redistribution layer disposed in the second dielectric layer and coupled to the second wafer; the first via A first end of the via hole is coupled to the first redistribution layer, and a second end is coupled to the second redistribution layer.
  19. 根据权利要求18所述的芯片堆叠结构的制作方法,其特征在于,The method for manufacturing a chip stack structure according to claim 18, characterized in that,
    在所述第三晶圆的无源面形成所述第三介电层之前,制作所述芯片堆叠结构的方法还包括:Before forming the third dielectric layer on the passive surface of the third wafer, the method for manufacturing the chip stack structure further includes:
    在所述第三晶圆的有源面形成第四介电层;在所述第三晶圆内形成第二导通孔,并在所述第四介电层内形成第三重布线层;所述第三重布线层与所述第二导通孔的第二端,以及所述第三晶圆相耦接;forming a fourth dielectric layer on the active surface of the third wafer; forming a second via hole in the third wafer, and forming a third redistribution layer in the fourth dielectric layer; The third redistribution layer is coupled to the second end of the second via hole and the third wafer;
    在第四晶圆的有源面形成第五介电层,将晶圆载板键合于所述第五介电层远离第四晶圆的表面;对所述第四晶圆的无源面进行减薄;A fifth dielectric layer is formed on the active surface of the fourth wafer, and the wafer carrier is bonded to the surface of the fifth dielectric layer away from the fourth wafer; for the passive surface of the fourth wafer carry out thinning;
    通过所述第四介电层将所述第四晶圆的无源面与所述第三晶圆的有源面相键合,并去除所述晶圆载板;bonding the passive surface of the fourth wafer to the active surface of the third wafer through the fourth dielectric layer, and removing the wafer carrier;
    形成贯穿所述第四晶圆的第三导通孔,以及设置于所述第五介电层内,且与所述第四晶圆相耦接的第四重布线层;所述第三导通孔的第一端与所述第三重布线层相耦接,第二端与所述第四重布线层相耦接;forming a third via hole penetrating through the fourth wafer, and a fourth redistribution layer disposed in the fifth dielectric layer and coupled to the fourth wafer; the third via The first end of the via hole is coupled to the third redistribution layer, and the second end is coupled to the fourth redistribution layer;
    将晶圆载板键合于所述第五介电层远离第四晶圆的表面;对所述第三晶圆的无源面进行减薄,以露出所述第二导通孔的第一端;Bonding the wafer carrier to the surface of the fifth dielectric layer away from the fourth wafer; thinning the passive surface of the third wafer to expose the first of the second via hole end;
    在所述第三晶圆的无源面形成所述第三介电层之后,制作所述芯片堆叠结构的方法还包括:在所述第三介电层内制作第二导通焊垫;所述第二导通焊垫与所述第二导通孔的第一端以及所述第二重布线层相耦接。After forming the third dielectric layer on the passive surface of the third wafer, the method for manufacturing the chip stack structure further includes: manufacturing a second conductive pad in the third dielectric layer; The second via pad is coupled to the first end of the second via hole and the second redistribution layer.
  20. 根据权利要求18所述的芯片堆叠结构的制作方法,其特征在于,The method for manufacturing a chip stack structure according to claim 18, characterized in that,
    在所述第三晶圆的无源面形成所述第三介电层之前,制作所述芯片堆叠结构的方法还包括:Before forming the third dielectric layer on the passive surface of the third wafer, the method for manufacturing the chip stack structure further includes:
    在所述第三晶圆的有源面形成第四介电层,并在所述第四介电层内形成第三重布线层;forming a fourth dielectric layer on the active surface of the third wafer, and forming a third redistribution layer in the fourth dielectric layer;
    在第四晶圆的有源面形成第五介电层,将晶圆载板键合于所述第五介电层远离第四晶圆的表面;对所述第四晶圆的无源面进行减薄;A fifth dielectric layer is formed on the active surface of the fourth wafer, and the wafer carrier is bonded to the surface of the fifth dielectric layer away from the fourth wafer; for the passive surface of the fourth wafer carry out thinning;
    通过所述第四介电层将所述第四晶圆的无源面与所述第三晶圆的有源面相键合,并去除所述晶圆载板;bonding the passive surface of the fourth wafer to the active surface of the third wafer through the fourth dielectric layer, and removing the wafer carrier;
    形成贯穿所述第四晶圆的第三导通孔,以及设置于所述第五介电层内,且与所述第四晶圆相耦接的第四重布线层;所述第三导通孔的第一端与所述第三重布线层相耦接,第二端与所述第四重布线层相耦接;forming a third via hole penetrating through the fourth wafer, and a fourth redistribution layer disposed in the fifth dielectric layer and coupled to the fourth wafer; the third via The first end of the via hole is coupled to the third redistribution layer, and the second end is coupled to the fourth redistribution layer;
    将晶圆载板键合于所述第五介电层远离第四晶圆的表面;对所述第三晶圆的无源面进行减薄;Bonding the wafer carrier to the surface of the fifth dielectric layer away from the fourth wafer; thinning the passive surface of the third wafer;
    在所述第三晶圆的无源面形成所述第三介电层之后,制作所述芯片堆叠结构的方法还包括:形成贯穿所述第三晶圆的第二导通孔,并在所述第三介电层内制作第二导通焊垫;所述第二导通焊垫与所述第二导通孔的第一端以及所述第二重布线层相耦接。After the third dielectric layer is formed on the passive surface of the third wafer, the method for manufacturing the chip stack structure further includes: forming a second via hole penetrating through the third wafer, and forming a second via hole on the third wafer. forming a second conducting pad in the third dielectric layer; the second conducting pad is coupled to the first end of the second via hole and the second redistribution layer.
PCT/CN2021/099953 2021-06-15 2021-06-15 Chip stacking structure and manufacturing method, wafer stacking structure, and electronic device WO2022261806A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN202180099447.7A CN117501443A (en) 2021-06-15 2021-06-15 Chip stacking structure, manufacturing method, wafer stacking structure and electronic equipment
PCT/CN2021/099953 WO2022261806A1 (en) 2021-06-15 2021-06-15 Chip stacking structure and manufacturing method, wafer stacking structure, and electronic device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CN2021/099953 WO2022261806A1 (en) 2021-06-15 2021-06-15 Chip stacking structure and manufacturing method, wafer stacking structure, and electronic device

Publications (1)

Publication Number Publication Date
WO2022261806A1 true WO2022261806A1 (en) 2022-12-22

Family

ID=84526758

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/CN2021/099953 WO2022261806A1 (en) 2021-06-15 2021-06-15 Chip stacking structure and manufacturing method, wafer stacking structure, and electronic device

Country Status (2)

Country Link
CN (1) CN117501443A (en)
WO (1) WO2022261806A1 (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190057950A1 (en) * 2016-03-31 2019-02-21 Intel Corporation Permanent functional carrier systems and methods
CN109891582A (en) * 2019-01-30 2019-06-14 长江存储科技有限责任公司 Use the hybrid bonded of illusory bonding contacts and illusory interconnection
CN112071827A (en) * 2019-06-11 2020-12-11 英特尔公司 Memory array with bonded and shared logic circuits
CN112151516A (en) * 2019-06-28 2020-12-29 台湾积体电路制造股份有限公司 Package with a metal layer
CN112530912A (en) * 2019-09-17 2021-03-19 台湾积体电路制造股份有限公司 Package with a metal layer

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190057950A1 (en) * 2016-03-31 2019-02-21 Intel Corporation Permanent functional carrier systems and methods
CN109891582A (en) * 2019-01-30 2019-06-14 长江存储科技有限责任公司 Use the hybrid bonded of illusory bonding contacts and illusory interconnection
CN112071827A (en) * 2019-06-11 2020-12-11 英特尔公司 Memory array with bonded and shared logic circuits
CN112151516A (en) * 2019-06-28 2020-12-29 台湾积体电路制造股份有限公司 Package with a metal layer
CN112530912A (en) * 2019-09-17 2021-03-19 台湾积体电路制造股份有限公司 Package with a metal layer

Also Published As

Publication number Publication date
CN117501443A (en) 2024-02-02

Similar Documents

Publication Publication Date Title
US11217563B2 (en) Fully interconnected heterogeneous multi-layer reconstructed silicon device
TWI717538B (en) Semicondcutor device and packaging method
KR102329567B1 (en) Semiconductor package and methods of forming the same
US8158456B2 (en) Method of forming stacked dies
US6867501B2 (en) Semiconductor device and method for manufacturing same
CN110112115B (en) Integrated circuit package and method of forming the same
TW201312663A (en) Packaged semiconductor device and package for semiconductor device and method of packaging semiconductor device
WO2021018014A1 (en) Tsv-based multi-chip package structure and method for manufacturing same
US20220293546A1 (en) Semiconductor packages and methods for forming the same
JP4028211B2 (en) Semiconductor device
US20230369274A1 (en) Integrated circuit package and method of forming same
WO2022261806A1 (en) Chip stacking structure and manufacturing method, wafer stacking structure, and electronic device
JP2001320015A (en) Semiconductor device and its manufacturing method
WO2022140972A1 (en) Chip stacked structure and method for manufacturing same, and chip packaging structure and electronic device
WO2022261815A1 (en) Chip stacking structure and manufacturing method, wafer stacking structure, and electronic device
KR20130077627A (en) Semicondcutor apparatus and method of manufacturing the same
JP5853759B2 (en) Semiconductor bare chip, semiconductor bare chip assembly, three-dimensional laminated semiconductor device, and manufacturing method thereof
CN111668120A (en) Fan-out type packaging structure of high-density chip and preparation method thereof
US12033982B2 (en) Fully interconnected heterogeneous multi-layer reconstructed silicon device
US11664315B2 (en) Structure with interconnection die and method of making same
CN113257786B (en) Multilayer wiring adapter plate for radio frequency transmission and preparation method thereof
WO2022160102A1 (en) Chip stacking structure and production method therefor, chip stacking package, and electronic device
CN220895506U (en) Semiconductor package
US11646269B2 (en) Recessed semiconductor devices, and associated systems and methods
US20220130781A1 (en) Circuit substrate structure and manufacturing method thereof

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 21945401

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 202180099447.7

Country of ref document: CN

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 21945401

Country of ref document: EP

Kind code of ref document: A1