WO2022064016A1 - Optimisation du débit de balayage et de la qualité d'imagerie pour un procédé de formation de motifs - Google Patents

Optimisation du débit de balayage et de la qualité d'imagerie pour un procédé de formation de motifs Download PDF

Info

Publication number
WO2022064016A1
WO2022064016A1 PCT/EP2021/076419 EP2021076419W WO2022064016A1 WO 2022064016 A1 WO2022064016 A1 WO 2022064016A1 EP 2021076419 W EP2021076419 W EP 2021076419W WO 2022064016 A1 WO2022064016 A1 WO 2022064016A1
Authority
WO
WIPO (PCT)
Prior art keywords
dose
cost function
throughput
substrate
function
Prior art date
Application number
PCT/EP2021/076419
Other languages
English (en)
Inventor
Xingyue Peng
Duan-Fu Stephen Hsu
Original Assignee
Asml Netherlands B.V.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands B.V. filed Critical Asml Netherlands B.V.
Priority to KR1020237010549A priority Critical patent/KR20230070230A/ko
Priority to CN202180066013.7A priority patent/CN116490824A/zh
Priority to US18/027,093 priority patent/US20230333483A1/en
Publication of WO2022064016A1 publication Critical patent/WO2022064016A1/fr

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions

Definitions

  • the present description relates generally to improving and optimizing lithography processes. More particularly, apparatus, methods, and computer programs for source mask optimization configured to optimize scanner throughput and imaging quality of patterning process are described.
  • a lithographic projection apparatus can be used, for example, in the manufacture of integrated circuits (ICs).
  • a patterning device e.g., a mask
  • a substrate e.g., silicon wafer
  • This pattern can be transferred onto a target portion (e.g. comprising one or more dies) on a substrate (e.g., silicon wafer) that has been coated with a layer of radiation-sensitive material (“resist”), by methods such as irradiating the target portion through the pattern on the patterning device.
  • a single substrate contains a plurality of adjacent target portions to which the pattern is transferred successively by the lithographic projection apparatus, one target portion at a time.
  • the pattern on the entire patterning device is transferred onto one target portion in one operation.
  • Such an apparatus is commonly referred to as a stepper.
  • a projection beam scans over the patterning device in a given reference direction (the “scanning” direction) while synchronously moving the substrate parallel or anti-parallel to this reference direction. Different portions of the pattern on the patterning device are transferred to one target portion progressively.
  • the lithographic projection apparatus will have a reduction ratio M (e.g., 4), and the reduction ratio can be different in x and y direction features the speed F at which the substrate is moved will be 1/M times that at which the projection beam scans the patterning device. More information with regard to lithographic devices as described herein can be gleaned, for example, from US 6,046,792, incorporated herein by reference.
  • the substrate Prior to transferring the pattern from the patterning device to the substrate, the substrate may undergo various procedures, such as priming, resist coating and a soft bake. After exposure, the substrate may be subjected to other procedures (“post-exposure procedures”), such as a post-exposure bake (PEB), development, a hard bake and measurement/inspection of the transferred pattern.
  • post-exposure procedures such as a post-exposure bake (PEB), development, a hard bake and measurement/inspection of the transferred pattern.
  • PEB post-exposure bake
  • This array of procedures is used as a basis to make an individual layer of a device, e.g., an IC.
  • the substrate may then undergo various processes such as etching, ion-implantation (doping), metallization, oxidation, chemo-mechanical polishing, etc., all intended to finish off the individual layer of the device.
  • manufacturing devices typically involves processing a substrate (e.g., a semiconductor wafer) using a number of fabrication processes to form various features and multiple layers of the devices.
  • a substrate e.g., a semiconductor wafer
  • Such layers and features are typically manufactured and processed using, e.g., deposition, lithography, etch, chemical-mechanical polishing, and ion implantation.
  • a patterning process involves a patterning step, such as optical and/or nanoimprint lithography using a patterning device in a lithographic apparatus, to transfer a pattern on the patterning device to a substrate and typically, but optionally, involves one or more related pattern processing steps, such as resist development by a development apparatus, baking of the substrate using a bake tool, etching using the pattern using an etch apparatus, etc.
  • lithography is a central step in the manufacturing of device such as ICs, where patterns formed on substrates define functional elements of the devices, such as microprocessors, memory chips, etc.
  • RET resolution enhancement techniques
  • projection optics may also include components operating according to any of these design types for directing, shaping or controlling the projection beam of radiation, collectively or singularly.
  • the term “projection optics” may include any optical component in the lithographic projection apparatus, no matter where the optical component is located on an optical path of the lithographic projection apparatus.
  • Projection optics may include optical components for shaping, adjusting and/or projecting radiation from the source before the radiation passes the patterning device, and/or optical components for shaping, adjusting and/or projecting the radiation after the radiation passes the patterning device.
  • the projection optics generally exclude the source and the patterning device.
  • a non-transitory computer readable medium having instructions that, when executed by a computer, cause the computer to execute a method for imaging portions of design layouts onto a substrate using a lithographic projection apparatus having an illumination source and projection optics.
  • the method includes receiving a physical dose, wherein the physical dose is indicative of a dose received from the illumination source at the substrate through an open-frame mask; inputting the physical does and a plurality of design variables that are representative of characteristics of a patterning process into a lithographic model, wherein the design variables includes (a) a pupil shape of an illumination source of a lithographic projection apparatus, and (b) a design layout to be printed on a substrate using the lithographic projection apparatus.
  • the lithographic model is configured to: compute, using the physical dose, a multi-variable cost function of the plurality of design variables, wherein the multi-variable cost function is a function of a throughput of the patterning process, and adjust the design variables until a predefined termination condition is satisfied.
  • the method further includes receiving an output from the lithographic model based on the physical dose, the output including an image of an adjusted design layout and an image of an adjusted pupil shape of the illumination source; and generating for display, on a user interface, the output.
  • a non-transitory computer readable medium having instructions that, when executed by a computer, cause the computer to execute a method for improving a patterning process for imaging a portion of a design layout onto a substrate using a lithographic projection apparatus having an illumination source and projection optics.
  • the method includes computing a multi-variable cost function of a plurality of design variables that are representative of characteristics of the patterning process, wherein the design variables includes (a) an illumination source variable that is characteristic of the illumination source, and (b) a design layout variable that is characteristic of the design layout, wherein the multi-variable cost function is a function of a throughput of the patterning process; and reconfiguring the characteristics of the patterning process by adjusting the design variables until a predefined termination condition is satisfied.
  • a non-transitory computer readable medium having instructions that, when executed by a computer, cause the computer to execute a method for improving a patterning process for imaging a portion of a design layout onto a substrate using a lithographic projection apparatus having an illumination source and projection optics.
  • the method includes obtaining a physical dose, wherein the physical dose is indicative of an energy density received from the illumination source at the substrate through an open-frame mask accumulated through a specified exposure time; and computing the throughput as a function of the physical dose and one or more lithographic projection apparatus parameters.
  • a method for improving a patterning process for imaging a portion of a design layout onto a substrate using a lithographic projection apparatus having an illumination source and projection optics includes computing, using a hardware computer system, a multi-variable cost function of a plurality of design variables that are representative of characteristics of the patterning process, wherein the design variables includes (a) an illumination source variable that is characteristic of the illumination source, and (b) a design layout variable that is characteristic of the design layout, wherein the multi-variable cost function is a function of a throughput of the patterning process.
  • the method further includes reconfiguring, using the hardware computer system, the characteristics of the patterning process by adjusting the design variables until a predefined termination condition is satisfied.
  • a method for determining a throughput of a patterning process for imaging a portion of a design layout onto a substrate using a lithographic projection apparatus having an illumination source and projection optics includes obtaining, using a hardware computer system, a physical dose, wherein the physical dose is indicative of an energy density received at the substrate through an open-frame mask accumulated through a specified exposure time; and computing, using the hardware computer system, the throughput as a function of the physical dose.
  • a computer program product comprising a non-transitory computer readable medium having instructions recorded thereon, the instructions when executed by a computer implementing the methods described above.
  • Figure 1 shows a block diagram of various subsystems of a lithography system.
  • Figure 2 is flow chart of a method for determining a patterning device pattern or a target pattern to be printed on a substrate, according to an embodiment.
  • Figure 3 is a block diagram illustrating generation of a physical dose-based lithographic model, in accordance with one or more embodiments
  • Figure 4A is a flow diagram of a process for determining a throughput of the patterning process based on the physical dose, in accordance with one or more embodiments.
  • FIG. 4B illustrates operation of a throughput (TPT) lithographic model, in accordance with one or more embodiments
  • Figure 5 shows examples of obscured and unobscured pupils, in accordance with one or more embodiments.
  • Figure 6A is a flow diagram of a process for determining a throughput of the patterning process based on a full transmission dose, in accordance with one or more embodiments.
  • Figure 6B illustrates another operation of the TPT lithographic model, in accordance with one or more embodiments.
  • Figure 7A is a flow diagram of a process for determining a throughput of the patterning process based on the full transmission dose, in accordance with one or more embodiments.
  • Figure 7B illustrates another operation of the TPT lithographic model, in accordance with one or more embodiments.
  • Figure 8A is a flow diagram of a process for optimizing a throughput and imaging quality of the patterning process, in accordance with one or more embodiments.
  • Figure 8B illustrates an operation of an optimizer, in accordance with one or more embodiments.
  • Figure 8C is a flow diagram of a process for optimizing a throughput and imaging quality of the patterning process, in accordance with one or more embodiments.
  • FIG. 9 schematically depicts an embodiment of a scanning electron microscope (SEM), according to an embodiment.
  • Figure 10 schematically depicts an embodiment of an electron beam inspection apparatus, according to an embodiment.
  • Figure 11 is a flow diagram illustrating aspects of an example methodology of joint optimization, according to an embodiment.
  • Figure 12 shows an embodiment of another optimization method, according to an embodiment.
  • Figures 13A, 13B and 14 show example flowcharts of various optimization processes, according to an embodiment.
  • Figure 15 is a block diagram of an example computer system, according to an embodiment.
  • Figure 16 is a schematic diagram of a lithographic projection apparatus, according to an embodiment.
  • Figure 17 is a schematic diagram of another lithographic projection apparatus, according to an embodiment.
  • Figure 18 is a more detailed view of the apparatus in Figure 17, according to an embodiment.
  • Figure 19 is a more detailed view of the source collector module SO of the apparatus of Figures 17 and 18, according to an embodiment.
  • the source exposure dose impacts scanner throughput for imaging operations related to extreme ultraviolet (EUV) patterning processes.
  • EUV extreme ultraviolet
  • a lower dose may correlate with lower scanner throughput as a wafer has to be exposed to the radiation for a longer time.
  • Scanner throughput is measured in units of wafers per hour, for example.
  • the exposure dose is an important factor to consider during source mask optimization (SMO) and/or other operations.
  • SMO is a process which optimizes the source and patterning device pattern in order to maximize imaging quality (e.g., image contrast, edge placement error, CD uniformity, resist contours, depth of focus, etc.) of the patterning process.
  • Traditional SMO methods while configured to optimize an imaging quality of the patterning process, they are not configured to optimize throughput, much less based on source exposure dose.
  • Some prior SMO methods discuss optimizing throughput of the patterning process.
  • these methods have drawbacks. For example, some SMO methods consider determining a relative throughput, such as a specified percentage above or below a desired throughput, and not absolute throughput, such as wafers per hour.
  • the prior methods consider a relative dose, and not a physical dose of the source, thereby being incapable of providing an absolute throughput.
  • these methods besides consuming a significant amount of computing resources in determining the relative throughput, they do not factor in resist model, any significant changes in source shape, or obscurations in the optical system of the source in determining the relative throughput.
  • the prior methods may consider resist models in determining throughput, but they are complex, time consuming, resource intensive, and difficult to integrate with traditional SMO methods.
  • the embodiments of the present disclosure discuss an improved SMO process that optimizes a throughput and imaging quality of the patterning process.
  • the improved SMO process determines the throughput (e.g., absolute throughput in wafers per hour (wph)) based on a physical dose of a source of a lithographic apparatus, and may determine the throughput for any source shape (e.g., pupil shape of the source) and patterning device pattern (e.g., which corresponds to a design layout to be printed on the wafer).
  • the disclosed embodiments are applicable to any type of lithographic apparatus, e.g., EUV or deep ultraviolet (DUV), or transmissive or reflective; obscured or unobscured.
  • the disclosed embodiments are applicable to aerial images and resist models, for example.
  • the disclosed embodiments are applicable for any numerical aperture (NA) and/or wavelength.
  • the disclosed embodiments are applicable to any chip design (e.g., memory chips, logic chips, microprocessor chips, and/or other chips).
  • the terms “radiation” and “beam” are used to encompass EUV (extreme ultra-violet radiation, e.g. having a wavelength in the range of about 3-100 nm) radiation.
  • EUV extreme ultra-violet radiation
  • a patterning device can comprise, or can form, one or more design layouts.
  • the design layout can be generated utilizing CAD (computer-aided design) programs. This process is often referred to as EDA (electronic design automation).
  • EDA electronic design automation
  • Most CAD programs follow a set of predetermined design rules in order to create functional design layouts/patterning devices. These rules are set based processing and design limitations. For example, design rules define the space tolerance between devices (such as gates, capacitors, etc.) or interconnect lines, to ensure that the devices or lines do not interact with one another in an undesirable way.
  • One or more of the design rule limitations may be referred to as a “critical dimension” (CD).
  • a critical dimension of a device can be defined as the smallest width of a line or hole, or the smallest space between two lines or two holes.
  • the CD regulates the overall size and density of the designed device.
  • One of the goals in device fabrication is to faithfully reproduce the original design intent on the substrate (via the patterning device).
  • mask may be broadly interpreted as referring to a generic patterning device that can be used to endow an incoming radiation beam with a patterned cross-section, corresponding to a pattern that is to be created in a target portion of the substrate.
  • the term “light valve” can also be used in this context.
  • examples of other such patterning devices include a programmable mirror array.
  • An example of such a device is a matrix-addressable surface having a viscoelastic control layer and a reflective surface.
  • Fig. 1 illustrates an exemplary lithographic projection apparatus 10A.
  • Major components are a radiation source 12A, which may be an extreme ultra violet (EUV) source or another type of source (as discussed above, the lithographic projection apparatus itself need not have the radiation source), illumination optics which, for example, define the partial coherence (denoted as sigma) and which may include optics 14A, 16Aa and 16 Ab that shape radiation from the source 12A; a patterning device (or mask) 18A; and transmission optics 16Ac that project an image of the patterning device pattern onto a substrate plane 22A.
  • EUV extreme ultra violet
  • a pupil 20A can be included with transmission optics 16Ac. In some embodiments, there can be one or more pupils before and/or after mask 18A. As described in further detail herein, pupil 20A can provide patterning of the light that ultimately reaches substrate plane 22A.
  • a source provides illumination (i.e. radiation) to a patterning device and projection optics direct and shape the illumination, via the patterning device, onto a substrate.
  • the projection optics may include at least some of the components 14A, 16Aa, 16 Ab and 16 Ac.
  • An aerial image (AI) is the radiation intensity distribution at substrate level.
  • a resist model can be used to calculate the resist image from the aerial image, an example of which can be found in U.S. Patent Application Publication No. US 2009-0157630, the disclosure of which is hereby incorporated by reference in its entirety.
  • the resist model is related only to properties of the resist layer (e.g., effects of chemical processes that occur during exposure, post-exposure bake (PEB) and development).
  • Optical properties of the lithographic projection apparatus dictate the aerial image and can be defined in an optical model. Since the patterning device used in the lithographic projection apparatus can be changed, it is desirable to separate the optical properties of the patterning device from the optical properties of the rest of the lithographic projection apparatus including at least the source and the projection optics. Details of techniques and models used to transform a design layout into various lithographic images (e.g., an aerial image, a resist image, etc.), applying OPC using those techniques and models, and evaluating performance (e.g., in terms of process window) are described in U.S. Patent Application Publication Nos. US 2008-0301620, 2007-0050749, 2007-0031745, 2008- 0309897, 2010-0162197, and 2010-0180251, the disclosure of each being hereby incorporated by reference in its entirety.
  • FIG. 2 An exemplary flow chart for simulating lithography in a lithographic projection apparatus is illustrated in Figure 2.
  • Simulating lithography for a lithographic projection apparatus may utilize a source model 31 that represents optical characteristics (including radiation intensity distribution and/or phase distribution) of the source.
  • a projection optics model 32 represents optical characteristics (including changes to the radiation intensity distribution and/or the phase distribution caused by the projection optics) of the projection optics.
  • a design layout model 35 represents optical characteristics (including changes to the radiation intensity distribution and/or the phase distribution caused by a given design layout) of a design layout, which is the representation of an arrangement of features on or formed by a patterning device.
  • An aerial image 36 can be simulated from the design layout model 35, the projection optics model 32 and the design layout model 35.
  • a resist image 38 can be simulated from the aerial image 36 using a resist model 37. Simulation of lithography can, for example, predict contours and CDs in the resist image.
  • the source model 31 can represent the optical characteristics of the source that include, but not limited to, NA-sigma (o) settings as well as any particular illumination source shape (e.g. off-axis radiation sources such as annular, quadrupole, and dipole, etc.).
  • the projection optics model 32 can represent the optical characteristics of the of the projection optics that include aberration, distortion, refractive indexes, physical sizes, physical dimensions, etc.
  • the design layout model 35 can also represent physical properties of a physical patterning device, as described, for example, in U.S. Patent No. 7,587,704, which is incorporated by reference in its entirety.
  • the objective of the simulation is to accurately predict imaging quality, for example, edge placement errors, aerial image intensity slopes, CDs, depth of focus, image contrast, resist contours, etc., which can then be compared against an intended design.
  • the intended design is generally defined as a pre-OPC design layout which can be provided in a standardized digital file format such as GDSII or OASIS or other file format.
  • a lithographic simulation model may predict the imaging quality of a patterning process as a function of a number of design variables of the patterning process, e.g., using the simulation method described at least with reference to FIG. 2 above and FIGS. 11-14 below.
  • the design variables may include one or more adjustable parameters, such as adjustable parameters of an illumination source (1200A) (e.g., pupil shape, pupil fill ratio, namely percentage of radiation of the source that passes through a pupil or aperture, illumination efficiency), characteristics of the projection optics (1200B) and characteristics of the design layout (1200C), etc.
  • the imaging quality may be indicative of one or more of edge placement errors, aerial image intensity slopes, CDs, depth of focus, image contrast, resist contours, etc.
  • a model is a function that receives one or more input parameters, performs one or more operations on the input parameters, and generates an output based on the operations.
  • the lithographic model is a function that receives one or more design variables of the patterning process as input parameters, performs one or more operations on the design variables to predict or determine the imaging quality as output.
  • the disclosed embodiments may improve the lithographic model to generate a throughput-aware lithographic model (referred to as “TPT lithographic model”) that may optimize a throughput of the patterning process along with the imaging quality.
  • TPT lithographic model may determine a throughput of the patterning process based on a physical dose of the source.
  • FIG. 3 is a block diagram illustrating generation of a physical dose-based lithographic model 310, in accordance with one or more embodiments.
  • a physical dose is an energy density received at the substrate plane 22A accumulated through a specified exposure time (e.g., dose sensed by a sensor placed in the substrate plane 22A) when the patterning device 18A is replaced with an open-frame (e.g., no mask or a blank glass mask).
  • an aerial image (AI) threshold 311 and white mask intensity (wmi) 312 values are obtained from a lithographic model 305.
  • AI threshold 311 is indicative of a minimum AI intensity required to predict a resist development in the substrate for a mask corresponding to a design layout to be printed on the substrate
  • the wmi 312 is AI intensity corresponding to an open-frame mask
  • An exposure margin 313, ⁇ is computed as a function of the AI threshold 311 and the wmi 312.
  • the exposure margin 313 may be computed as a ratio of the wmi 312 to the AI threshold 311.
  • the exposure margin 313 may be represented as:
  • a dose-to-size 314, d2s, which is indicative of the physical dose is obtained (e.g., as user input).
  • dose-to-size 314 is also defined as the physical dose required to print an anchor feature on the wafer.
  • the anchor feature is the most dense and critical pattern in the design layout or a feature that may be specified by a user.
  • a dose-to-clear 315 is the minimum physical dose required to cause a uniform chunk of resist to develop.
  • the dc2 315 may be computed as a function of the exposure margin 313 and the dose-to-size 314.
  • the dose-to-clear 315 may be computed as a ratio of the dose-to-size 314 to the exposure margin 313.
  • the dose-to-clear 315 may be represented as:
  • the exposure margin 313 may be computed as a ratio between the dose2size 314 and the dose2clear 315. However, when the lithographic model 305 is well calibrated (e.g., the physical dose is adjusted such that the anchor feature is printed on the substrate), the exposure margin 313 may also be equal to the ratio between the wmi 312 and the AI threshold 311. [0058] After determining the dose-to-clear 315, the dose-to-clear 315 is added to the lithographic model 305 to generate the physical dose-based lithographic model 310, which may then be used to determine a throughput of the patterning process, as described in the following paragraphs.
  • Figure 4A is a flow diagram of a process 400 for determining a throughput of the patterning process based on the physical dose, in accordance with one or more embodiments.
  • the process 400 is described in relation to Figure 4B, which illustrates operation of a TPT lithographic model 450, in accordance with one or more embodiments.
  • design variables that are characteristics of the patterning process such as a pupil shape 405 and mask pattern 406 are obtained (e.g., as user input).
  • the pupil shape 405 is the illumination shape (that is generally the shape of an illumination source) as described in FIG. 1
  • mask pattern 406 may be a pattern corresponding to the design layout to be printed on the wafer.
  • the mask pattern 406 may be a GDS file.
  • a physical dose 410 is obtained from the physical dose-based lithographic model 310, which is generated as described at least with reference to FIG. 3.
  • the physical dose 410 is obtained as a function of the dose2clear 315 and the exposure margin 313 values from the physical dose-based lithographic model 310.
  • the physical dose 410, dose is obtained as a product of the dose2clear 315 and the exposure margin 313 values, which may be represented as:
  • a throughput 412 of the patterning process is determined based on the physical dose 410.
  • the throughput of the patterning process may be determined as a function of the physical dose 410 and lithographic apparatus properties 411, which are independent of the lithographic model 305. Examples of lithographic apparatus properties include source power, field size, illumination efficiency, or other such properties.
  • the throughput, TPT may be expressed as:
  • the throughput model 425 along with the physical dose-based lithographic model 310, is configured to determine the throughput 412 for one or more design variables, such as the pupil shape 405 and mask pattern 406.
  • the throughput model 425 also considers properties 411 of the lithographic apparatus, such as source power, illumination efficiency, etc., in determining the throughput 412.
  • the physical dose-based lithographic model 310 and the throughput model 425 are collectively referred to as the TPT lithographic model 450.
  • the TPT lithographic model 450 since the TPT lithographic model 450 includes both the physical dose-based lithographic model 310 and the throughput model 425, it can be used to optimize the patterning process for both the imaging quality and throughput, as described at least with reference to FIGs. 7A and 7B below.
  • Figure 5 shows examples of obscured and unobscured pupils, in accordance with one or more embodiments.
  • the example 505 shows a pupil 515, which may be similar to pupil 20 A of FIG. 1, without any obscuration.
  • the example 510 shows the pupil 515 with obscuration.
  • the dose received at the wafer decreases as the radiation passes through the obscured pupil 515, which necessitates the wafer to be exposed for a longer time, thereby resulting in a reduced throughput 412. Accordingly, when there is obscuration, a throughput 412 determined based on the physical dose 410 may not be accurate.
  • the TPT lithographic model 450 may use a full transmission dose to determine the throughput when there is pupil obscuration.
  • the throughput, TPT, determined based on the full transmission dose, ftd, may be expressed as:
  • the full transmission dose may be determined as a function of the physical dose and a projection efficiency, which is a function of a source map and pupil transmission associated with the illumination source.
  • the full transmission dose may be computed as follows. According to energy conservation:
  • Figure 6A is a flow diagram of a process 600 for determining a throughput of the patterning process based on the full transmission dose, in accordance with one or more embodiments.
  • the process 600 is described in relation to Figure 6B, which illustrates operation of the TPT lithographic model 450, in accordance with one or more embodiments.
  • some of the operations are similar to the process 400 of FIG. 4A and therefore the description of such operations is kept brief for the sake of convenience.
  • design variables of the patterning process such as the pupil shape 405 and mask pattern 406 are obtained (e.g., as user input).
  • a physical dose 410 is obtained from the physical dose-based lithographic model 310, e.g., as described at least with reference to process 400.
  • a full transmission dose 611 is computed as a function of the physical dose 410.
  • the full transmission dose 611 is computed from the physical dose 410 using the equations (1H) and (1I) mentioned above.
  • the throughput 412 of the patterning process is determined based on the full transmission dose 611.
  • the throughput model 425 along with the physical dose-based lithographic model 310, is configured to determine the throughput 412 for the pupil shape 405 and mask pattern 406.
  • the throughput model 425 also considers properties 411 of the lithographic apparatus, such as source power, illumination efficiency, etc., in determining the throughput 412.
  • the full transmission dose 611 may be computed in various ways. For example, the full transmission dose 611 may be computed as a function of the physical dose 410 and the projection efficiency as described above. In another example, the full transmission dose 611 may be determined by removing obscuration or apodization of the pupil 515 from the physical dose-based lithographic model 310 and then determining it as a function of the dose received at the wafer through an open- frame mask, which is described below at least with reference to FIGs. 7A and 7B.
  • Figure 7A is a flow diagram of a process 700 for determining a throughput of the patterning process based on the full transmission dose, in accordance with one or more embodiments.
  • the process 600 is described in relation to Figure 7B, which illustrates operation of the TPT lithographic model 450, in accordance with one or more embodiments.
  • some of the operations are similar to the processes 400 or 600 of FIGs. 4A or 6A and therefore the description of such operations is kept brief for the sake of convenience.
  • design variables of the patterning process such as the pupil shape 405 and mask pattern 406 are obtained (e.g., as user input).
  • the physical dose-based lithographic model 310 is obtained.
  • the obscuration and apodization is removed from the physical dose- based lithographic model 310 to generate an unobscured physical dose-based lithographic model 710.
  • removing the obscuration or apodization may involve replacing the realistic pupil function P ( ⁇ ) with (a) a constant magnitude of 1 within the numerical aperture (NA), and (b) 0 outside the NA.
  • the full transmission dose 611 is obtained from the unobscured physical dose-based lithographic model 710.
  • the full transmission dose 611 is obtained as a function of the dose2clear 315 and the exposure margin 313 values from the unobscured physical dose-based lithographic model 710.
  • the throughput 412 of the patterning process is determined based on the full transmission dose 611.
  • the throughput model 425 along with the unobscured physical dose-based lithographic model 710, determines the throughput 412 for a given pupil shape 405 and mask pattern 406.
  • the throughput model 425 also considers properties 411 of the lithographic apparatus, such as source power, illumination efficiency, etc., in determining the throughput 412.
  • the TPT lithographic model 450 may be used to determine the throughput 412 of a patterning process.
  • the TPT lithographic model 450 may also be used to optimize the throughput 412 and imaging quality of the patterning process.
  • Figure 8A is a flow diagram of a process 800 for optimizing a throughput and imaging quality of the patterning process, in accordance with one or more embodiments.
  • the process 800 is described in relation to Figure 8B, which illustrates an operation of an optimizer 825, in accordance with one or more embodiments.
  • an imaging quality 801 and the throughput 412 are determined using the TPT lithographic model 450 for a given pupil shape 405 and mask pattern 406.
  • the throughput 412 is determined based on the physical dose 410 or the full transmission dose 611 as described at least with reference to FIGS. 4A-7B.
  • the imaging quality 801 may be determined using lithographic model 305 (or the physical dose-based lithographic model 310 or the unobscured physical dose-based lithographic model 710), as described at least with reference to FIG. 2 above and FIGS. 11-14 below.
  • the imaging quality may be quantified using various metrics, such as edge placement errors, aerial image intensity slopes, CDs, depth of focus, image contrast, resist contours, etc.
  • edge placement error EPE can simply be a distance between a point in the resist image to an intended position of that point.
  • a multi-variable cost function 815 is computed.
  • optimizing both the throughput 412 and the imaging quality 801 may include optimizing the multi- variable cost function 815.
  • the multi-variable cost function 815, S may include imaging quality-based cost function 811, S imaging , and a throughput-based cost function 812, S TPT .
  • the multi- variable cost function 815 may be expressed as:
  • the throughput-based cost function 812 may be expressed in various ways.
  • One such throughput-based cost function 812 may be indicative of a difference between a desired throughput and a determined throughput, which may be expressed as follows:
  • the weight factor may be used to balance emphasis on optimizing one factor over the other. For example, the higher the value of w, the higher the emphasis on optimizing throughput 412 over imaging quality 801.
  • the imaging quality-based cost function 811 may depend on the metric considered for optimization. For example, if the metric is EPE, then the imaging quality-based cost function 811 may be expressed as follows:
  • an optimizer 825 determines whether the multi-variable cost function 815 is optimized (e.g., minimized). If the optimizer 825 determines that the multi-variable cost function 815 is optimized, at operation P807, the TPT lithographic model 450 may output the values of the design variables, e.g., the updated pupil shape 405 and mask pattern 406, to be used in a patterning process for printing a design layout onto a substrate for obtaining the optimized throughput 412 and imaging quality 801. If the optimizer 825 determines that the multi-variable cost function 815 is not optimized, the process 800 proceeds with operation P809 to continue with optimizing the multi-variable cost function 815.
  • the process 800 proceeds with operation P809 to continue with optimizing the multi-variable cost function 815.
  • the optimizer 825 may reconfigure the characteristics of the patterning process by updating one or more of the design variables, such as the pupil shape 405 or mask pattern 406, to optimize the multi-variable cost function 815.
  • optimizing the throughput 412 may mean increasing the throughput 412, that is, increasing the wafers printed per hour. Such on optimization of the throughput 412 may be realized by minimizing the throughput-based cost function 812.
  • optimizing the imaging quality 801 may be dependent on the imaging quality metric being optimized.
  • optimizing the imaging quality 801 may mean minimizing the EPE.
  • Such on optimization of the throughput 412 may be realized by minimizing the imaging quality-based cost function 811.
  • the optimizer 825 may update the pupil shape 405 or mask pattern 406 to minimize the multi-variable cost function 815, which includes minimizing the imaging quality-based cost function 811 and minimizing the throughput-based cost function 812.
  • updating the pupil shape 405 may include updating one or more pixels of the pupil shape 405.
  • updating the mask pattern 406 may include adjusting a pattern (e.g., updating the structure of pattern such as the position of one or more polygon edges).
  • the process 800 proceeds to operation P801 and may continue until the multi-variable cost function 815 is minimized (e.g., a predefined number of iterations, the multi-variable cost function 815 is less than a specified threshold, or such other conditions).
  • the multi-variable cost function 815 is minimized (e.g., a predefined number of iterations, the multi-variable cost function 815 is less than a specified threshold, or such other conditions).
  • optimizing the multi-variable cost function 815 may include maximizing the multi-variable cost function 815.
  • Figure 8C is a flow diagram of a process 850 for optimizing a throughput and imaging quality of the patterning process, in accordance with one or more embodiments.
  • a physical dose of a lithographic apparatus is obtained.
  • the physical dose 410 is obtained as described at least with reference to FIGS. 3-4B.
  • the physical dose is input to a lithographic model such as the TPT lithographic model 450.
  • design variables of the lithographic apparatus such as the pupil shape 405 and mask pattern 406 are also input to the TPT lithographic model 450 along with the physical dose 410.
  • a multi-variable cost function 815 is computed using the physical dose and the other design variables input.
  • the multi-variable cost function 815 is computed using the Eq. 1K-1M mentioned above.
  • the multi-variable cost function, S may include imaging quality-based cost function 811, Staging, and a throughput-based cost function 812, S TPT .
  • the throughput-based cost function 812 which is computed using Eq. IL, is determined based on the throughput, which is determined using Eq. (1D) or (1E) as described at least with reference to FIG. 4A-6B.
  • the imaging quality-based cost function 811 may depend on the metric considered for optimization, which is computed using Eq. (1M).
  • the design variables such as the pupil shape 405 and the mask pattern 406 are adjusted, until a predefined termination condition is satisfied.
  • the termination condition is satisfied when the multi-variable cost function 815 is optimized (e.g., minimized or maximized as described at least with reference to FIG. 8A).
  • the TPT lithographic model 450 may output the adjusted values of the design variables, e.g., the updated pupil shape 405 and the updated mask pattern 406, to be used in a patterning process for printing a design layout onto a substrate for obtaining the optimized throughput 412 and imaging quality 801.
  • the design variables e.g., the updated pupil shape 405 and the updated mask pattern 406, to be used in a patterning process for printing a design layout onto a substrate for obtaining the optimized throughput 412 and imaging quality 801.
  • the TPT lithographic model 450 may display the output on a user interface, e.g., in a graphical user interface of a computer system.
  • the inspection apparatus may be a scanning electron microscope (SEM) that yields an image of a structure (e.g., some or all the structure of a device) exposed or transferred on the substrate.
  • SEM scanning electron microscope
  • Figure 9 depicts an embodiment of a SEM tool.
  • a primary electron beam EBP emitted from an electron source ESO is converged by condenser lens CL and then passes through a beam deflector EBD1, an E x B deflector EBD2, and an objective lens OL to irradiate a substrate PSub on a substrate table ST at a focus.
  • a two-dimensional electron beam image can be obtained by detecting the electrons generated from the sample in synchronization with, e.g., two dimensional scanning of the electron beam by beam deflector EBD1 or with repetitive scanning of electron beam EBP by beam deflector EBD1 in an X or Y direction, together with continuous movement of the substrate PSub by the substrate table ST in the other of the X or Y direction.
  • a signal detected by secondary electron detector SED is converted to a digital signal by an analog/digital (A/D) converter ADC, and the digital signal is sent to an image processing system IPU.
  • the image processing system IPU may have memory MEM to store all or part of digital images for processing by a processing unit PU.
  • the processing unit PU e.g., specially designed hardware or a combination of hardware and software
  • image processing system IPU may have a storage medium STOR configured to store the digital images and corresponding datasets in a reference database.
  • a display device DIS may be connected with the image processing system IPU, so that an operator can conduct necessary operation of the equipment with the help of a graphical user interface.
  • FIG. 10 schematically illustrates a further embodiment of an inspection apparatus.
  • the system is used to inspect a sample 90 (such as a substrate) on a sample stage 89 and comprises a charged particle beam generator 81, a condenser lens module 82, a probe forming objective lens module 83, a charged particle beam deflection module 84, a secondary charged particle detector module 85, and an image forming module 86.
  • the charged particle beam generator 81 generates a primary charged particle beam 91.
  • the condenser lens module 82 condenses the generated primary charged particle beam 91.
  • the probe forming objective lens module 83 focuses the condensed primary charged particle beam into a charged particle beam probe 92.
  • the charged particle beam deflection module 84 scans the formed charged particle beam probe 92 across the surface of an area of interest on the sample 90 secured on the sample stage 89.
  • the charged particle beam generator 81, the condenser lens module 82 and the probe forming objective lens module 83, or their equivalent designs, alternatives or any combination thereof, together form a charged particle beam probe generator which generates the scanning charged particle beam probe 92.
  • the secondary charged particle detector module 85 detects secondary charged particles 93 emitted from the sample surface (maybe also along with other reflected or scattered charged particles from the sample surface) upon being bombarded by the charged particle beam probe 92 to generate a secondary charged particle detection signal 94.
  • the image forming module 86 e.g., a computing device
  • the image forming module 86 is coupled with the secondary charged particle detector module 85 to receive the secondary charged particle detection signal 94 from the secondary charged particle detector module 85 and accordingly forming at least one scanned image.
  • the secondary charged particle detector module 85 and image forming module 86, or their equivalent designs, alternatives or any combination thereof, together form an image forming apparatus which forms a scanned image from detected secondary charged particles emitted from sample 90 being bombarded by the charged particle beam probe 92.
  • SEM images may be processed to extract contours that describe the edges of objects, representing device structures, in the image. These contours are then quantified via metrics, such as CD.
  • metrics such as CD.
  • the images of device structures are compared and quantified via simplistic metrics, such as an edge-to-edge distance (CD) or simple pixel differences between images.
  • Typical contour models that detect the edges of the objects in an image in order to measure CD use image gradients. Indeed, those models rely on strong image gradients. But, in practice, the image typically is noisy and has discontinuous boundaries.
  • Techniques such as smoothing, adaptive thresholding, edge-detection, erosion, and dilation, may be used to process the results of the image gradient contour models to address noisy and discontinuous images, but will ultimately result in a low-resolution quantification of a high-resolution image.
  • mathematical manipulation of images of device structures to reduce noise and automate edge detection results in loss of resolution of the image, thereby resulting in loss of information. Consequently, the result is a low-resolution quantification that amounts to a simplistic representation of a complicated, high- resolution structure.
  • the structure may be a device or a portion thereof that is being manufactured and the images may be SEM images of the structure.
  • the structure may be a feature of semiconductor device, e.g., integrated circuit.
  • the structure may be an alignment mark, or a portion thereof (e.g., a grating of the alignment mark), that is used in an alignment measurement process to determine alignment of an object (e.g., a substrate) with another object (e.g., a patterning device) or a metrology target, or a portion thereof (e.g., a grating of the metrology target), that is used to measure a parameter (e.g., overlay, focus, dose, etc.) of the patterning process.
  • the metrology target is a diffractive grating used to measure, e.g., overlay.
  • the measurement data (e.g., stochastic variations) related to the printed pattern, determined according to the method of Figure 3, may be employed in optimization of patterning process or adjusting parameters of the patterning process.
  • OPC addresses the fact that the final size and placement of an image of the design layout projected on the substrate will not be identical to, or simply depend only on the size and placement of the design layout on the patterning device.
  • mask reticle
  • patterning device are utilized interchangeably herein.
  • lithography simulation/optimization a physical patterning device is not necessarily used but a design layout can be used to represent a physical patterning device.
  • a design layout can be used to represent a physical patterning device.
  • the position of a particular edge of a given feature will be influenced to a certain extent by the presence or absence of other adjacent features.
  • proximity effects arise from minute amounts of radiation coupled from one feature to another and/or non-geometrical optical effects such as diffraction and interference.
  • proximity effects may arise from diffusion and other chemical effects during post-exposure bake (PEB), resist development, and etching that generally follow lithography.
  • PEB post-exposure bake
  • Both OPC and full-chip RET verification may be based on numerical modeling systems and methods as described, for example in, U.S. Patent App. No. 10/815 ,573 and an article titled “Optimized Hardware and Software For Fast, Full Chip Simulation”, by Y. Cao et al., Proc. SPIE, Vol. 5754, 405 (2005).
  • One RET is related to adjustment of the global bias of the design layout.
  • the global bias is the difference between the patterns in the design layout and the patterns intended to print on the substrate. For example, a circular pattern of 25 nm diameter may be printed on the substrate by a 50 nm diameter pattern in the design layout or by a 20 nm diameter pattern in the design layout but with high dose.
  • the illumination source can also be optimized, either jointly with patterning device optimization or separately, in an effort to improve the overall lithography fidelity.
  • the terms “illumination source” and “source” are used interchangeably in this document. Since the 1990s, many off-axis illumination sources, such as annular, quadrupole, and dipole, have been introduced, and have provided more freedom for OPC design, thereby improving the imaging results, As is known, off-axis illumination is a proven way to resolve fine structures (i.e., target features) contained in the patterning device. However, when compared to a traditional illumination source, an off-axis illumination source usually provides less radiation intensity for the aerial image (AI). Thus, it becomes desirable to attempt to optimize the illumination source to achieve the optimal balance between finer resolution and reduced radiation intensity.
  • AI aerial image
  • design variables comprises a set of parameters of a lithographic projection apparatus or a patterning process, for example, parameters a user of the lithographic projection apparatus can adjust, or image characteristics a user can adjust by adjusting those parameters. It should be appreciated that any characteristics of a lithographic projection process, including those of the source, the patterning device, the projection optics, and/or resist characteristics can be among the design variables in the optimization.
  • the cost function is often a non-linear function of the design variables. Then standard optimization techniques are used to minimize the cost function.
  • a source and patterning device (design layout) optimization method and system that allows for simultaneous optimization of the source and patterning device using a cost function without constraints and within a practicable amount of time is described in a commonly assigned International Patent Application No. PCT/US2009/065359, filed on November 20, 2009, and published as WO2010/059954, titled “Fast Freeform Source and Mask Co-Optimization Method”, which is hereby incorporated by reference in its entirety.
  • f p (z 1 ,z 2 ,...,z N ) can be a function of the design variables (z 1 ,z 2 ,...,z N ) such as a difference between an actual value and an intended0 value of a characteristic at an evaluation point for a set of values of the design variables of (z 1 ,z 2 ,...,z N ) .
  • w p is a weight constant associated with f p (z 1 ,z 2 ,...,z N ) .
  • An evaluation point or pattern more critical than others can be assigned a higher w p value. Patterns and/or evaluation points with larger number of occurrences may be assigned a higher w p value, too.
  • Examples of the evaluation points can be any physical point or pattern on the substrate, any point on a virtual design5 layout, or resist image, or aerial image, or a combination thereof.
  • f p (z 1 ,z 2 ,...,z N ) can also be a function of one or more stochastic effects such as the LWR, which are functions of the design variables (z 1 ,z 2 ,...,z N ) .
  • the cost function may represent any suitable characteristics of the lithographic projection apparatus or the substrate, for instance, failure rate of a feature, focus, CD, image shift, image distortion, image rotation, stochastic effects, throughput, CDU, or a combination0 thereof.
  • CDU is local CD variation (e.g., three times of the standard deviation of the local CD distribution).
  • CDU may be interchangeably referred to as LCDU.
  • the cost function represents (i.e., is a function of) CDU, throughput, and the stochastic effects.
  • the cost function represents (i.e., is a function of) EPE, throughput, and the stochastic effects.
  • the design variables (z 1 ,z 2 ,...,z N ) comprise dose, global bias of the5 patterning device, shape of illumination from the source, or a combination thereof. Since it is the resist image that often dictates the circuit pattern on a substrate, the cost function often includes functions that represent some characteristics of the resist image.
  • f p (z 1 ,z 2 ,...,z N ) of such an evaluation point can be simply a distance between a point in the resist image to an intended position of that point (i.e., edge placement error EPE p (z 1 ,z 2 ,..., z N ) ).
  • the design variables can be0 any adjustable parameters such as adjustable parameters of the source, the patterning device, the projection optics, dose, focus, etc.
  • the projection optics may include components collectively called as “wavefront manipulator” that can be used to adjust shapes of a wavefront and intensity distribution and/or phase shift of the irradiation beam.
  • the projection optics preferably can adjust a wavefront and intensity distribution at any location along an optical path of the lithographic projection apparatus, such as before the patterning device, near a pupil plane, near an image plane, near a focal plane.
  • the projection optics can be used to correct or compensate for certain distortions of the wavefront and intensity distribution caused by, for example, the source, the patterning device, temperature variation in the lithographic projection apparatus, thermal expansion of components of the lithographic projection apparatus. Adjusting the wavefront and intensity distribution can change values of the evaluation points and the cost function. Such changes can be simulated from a model or actually measured.
  • CF(z 1 ,z 2 ,...,z N ) is not limited the form in Eq.1.
  • CF(z 1 ,z 2 ,...,z N ) can be in any other suitable form.
  • the normal weighted root mean square (RMS) of f p (z 1 ,z 2 ,...,z N ) is defined , therefore, minimizing the weighted RMS of f p (z 1 ,z 2 , ...,z N ) s equ va e t to mizing the cost function , defined in Eq.1.
  • the weighted RMS of f p (z 1 ,z 2 ,...,z N ) and Eq.1 may be utilized interchangeably for notational simplicity herein.
  • f p (z 1 ,z 2 ,...,z N ) is the EPE
  • minimizing the above cost function is equivalent to minimizing the edge shift under various PW conditions, thus this leads to maximizing the PW.
  • the PW also consists of different mask bias
  • minimizing the above cost function also includes the minimization of MEEF (Mask Error Enhancement Factor), which is defined as the ratio between the substrate EPE and the induced mask edge bias.
  • MEEF Mesk Error Enhancement Factor
  • the design variables may have constraints, which can be expressed as (z 1 ,z 2 ,...,z N ) ⁇ Z , where Z is a set of possible values of the design variables.
  • One possible constraint on the design variables may be imposed by yield or a desired throughput of the lithographic projection apparatus.
  • the desired yield or throughput may limit the dose and thus has implications for the stochastic effects (e.g., imposing a lower bound on the stochastic effects).
  • Higher throughput generally leads to lower dose, shorter longer exposure time and greater stochastic effects
  • Higher yield generally leads to a restricted design which may be sensitive to stochastic risk.
  • Consideration of substrate throughput, yield and minimization of the stochastic effects may constrain the possible values of the design variables because the stochastic effects are function of the design variables. Without such a constraint imposed by the desired throughput, the optimization may yield a set of values of the design variables that are unrealistic.
  • the optimization may yield a dose value that makes the throughput economically impossible.
  • the throughput may be affected by the failure rate based adjustment to parameters of the patterning process. It is desirable to have lower failure rate of the feature while maintaining a high throughput. Throughput may also be affected by the resist chemistry. Slower resist (e.g., a resist that requires higher amount of light to be properly exposed) leads to lower throughput. Thus, based on the optimization process involving failure rate of a feature due to resist chemistry or fluctuations, and dose requirements for higher throughput, appropriate parameters of the patterning process may be determined.
  • the optimization process therefore is to find a set of values of the design variables, under the constraints (z 1 , z 2 ,...,z N ) e Z , that minimize the cost function, i.e., to find
  • FIG. 11 A general method of optimizing the lithography projection apparatus, according to an embodiment, is illustrated in Figure 11.
  • This method comprises a step S1202 of defining a multi-variable cost function of a plurality of design variables.
  • the design variables may comprise any suitable combination selected from characteristics of the illumination source (1200A) (e.g., pupil fill ratio, namely percentage of radiation of the source that passes through a pupil or aperture), characteristics of die projection optics (1200B) and characteristics of the design layout (1200C).
  • the design variables may include characteristics of the illumination source (1200A) and characteristics of the design layout (1200C) (e.g., global bias) but not characteristics of the projection optics (1200B), which leads to an SMO.
  • the design variables may include characteristics of the illumination source (1200A), characteristics of the projection optics (1200B) and characteristics of the design layout (1200C), which leads to a source-mask-lens optimization (SMLO).
  • the design variables are simultaneously adjusted so that the cost function is moved towards convergence.
  • the predetermined termination condition may include various possibilities, i.e. the cost function may be minimized or maximized, as required by the numerical technique used, the value of the cost function has been equal to a threshold value or has crossed the threshold value, the value of the cost function has reached within a preset error limit, or a preset number of iteration is reached.
  • step S1206 If either of the conditions in step S1206 is satisfied, the method ends. If none of the conditions in step S1206 is satisfied, the step S1204 and S1206 are iteratively repeated until a desired result is obtained.
  • the optimization does not necessarily lead to a single set of values for the design variables because there may be physical restraints caused by factors such as the failure rates, the pupil fill factor, the resist chemistry, the throughput, etc.
  • the optimization may provide multiple sets of values for the design variables and associated performance characteristics (e.g., the throughput) and allows a user of the lithographic apparatus to pick one or more sets.
  • the source, patterning device and projection optics can be optimized alternatively (referred to as Alternative Optimization) or optimized simultaneously (referred to as Simultaneous Optimization).
  • Alternative Optimization Alternative Optimization
  • Simultaneous Optimization the terms “simultaneous”, “simultaneously”, “joint” and “jointly” as used herein mean that the design variables of the characteristics of the source, patterning device, projection optics and/or any other design variables, are allowed to change at the same time.
  • the term “alternative” and “alternatively” as used herein mean that not all of the design variables are allowed to change at the same time.
  • the optimization of all the design variables is executed simultaneously. Such flow may be called the simultaneous flow or co-optimization flow. Alternatively, the optimization of all the design variables is executed alternatively, as illustrated in Figure 12. In this flow, in each step, some design variables are fixed while the other design variables are optimized to minimize the cost function; then in the next step, a different set of variables are fixed while the others are optimized to minimize the cost function. These steps are executed alternatively until convergence or certain terminating conditions are met.
  • step S1302 a design layout (step S1302) is obtained, then a step of source optimization is executed in step S1304, where all the design variables of the illumination source are optimized (SO) to minimize the cost function while all the other design variables are fixed. Then in the next step S1306, a mask optimization (MO) is performed, where all the design variables of the patterning device are optimized to minimize the cost function while all the other design variables are fixed. These two steps are executed alternatively, until certain terminating conditions are met in step S1308.
  • SO-MO- Alternative-Optimization is used as an example for the alternative flow.
  • the alternative flow can take many different forms, such as SO-LO-MO- Alternative-Optimization, where SO, LO (Lens Optimization) is executed, and MO alternatively and iteratively; or first SMO can be executed once, then execute LO and MO alternatively and iteratively; and so on. Finally, the output of the optimization result is obtained in step S1310, and the process stops.
  • the pattern selection algorithm may be integrated with the simultaneous or alternative optimization. For example, when an alternative optimization is adopted, first a full-chip SO can be performed, the ‘hot spots’ and/or ‘warm spots’ are identified, then an MO is performed. In view of the present disclosure numerous permutations and combinations of sub- optimizations are possible in order to achieve the desired optimization results.
  • Figure 13A shows one exemplary method of optimization, where a cost function is minimized.
  • step S502 initial values of design variables are obtained, including their tuning ranges, if any.
  • the multi-variable cost function is set up.
  • step S508 standard multi-variable optimization techniques are applied to minimize the cost function. Note that the optimization problem can apply constraints, such as tuning ranges, during the optimization process in S508 or at a later stage in the optimization process.
  • Step S520 indicates that each iteration is done for the given test patterns (also known as “gauges”) for the identified evaluation points that have been selected to optimize the lithographic process.
  • step S510 a lithographic response is predicted.
  • step S512 the result of step S510 is compared with a desired or ideal lithographic response value obtained in step S522.
  • step S518 the final value of the design variables is outputted in step S518.
  • the output step may also include outputting other functions using the final values of the design variables, such as outputting a wavefront aberration-adjusted map at the pupil plane (or other planes), an optimized source map, and optimized design layout etc.
  • step S516 the values of the design variables is updated with the result of the i-th iteration, and the process goes back to step S506.
  • the process of Figure 13A is elaborated in details below.
  • the Gauss–Newton algorithm is an iterative method applicable to a general non-linear multi-variable optimization problem.
  • the design variables (z 1 ,z 2 ,...,z N ) take values of (z 1i , z 2j , .. . , z Ni )
  • the Gauss- Newton algorithm linearizes f p (z 1 ,z 2 ,...,z N ) in the vicinity of (z 1i , z 2i , ...
  • the cost function becomes: which is a quadratic function of the design variables (z 1 ,z 2 ,...,z N ) . Every term is constant except the design variables (z 2 , z, , . . . , z N ) .
  • K K process becomes a classic quadratic programming problem, wherein A nj , B j , C nk , D k are constants. Additional constraints can be imposed for each iteration. For example, a “damping factor” ⁇ D can be introduced to limit the difference between (z 1(i+1) ,z 2(i+1) , K, z N ( i +1 ) ) and (z 1i ,z 2i , K, z Ni ) , so that the approximation of Eq.3 holds.
  • Such constraints can be expressed as z ni ⁇ D ⁇ z n ⁇ z ni + ⁇ D .
  • (z 1(i+1) ,z 2(i+1) , K, z N( i +1 ) ) can be derived using, for example, methods described in Numerical Optimization (2 nd ed.) by Jorge Nocedal and Stephen J. Wright (Berlin New York: Vandenberghe. Cambridge University Press). [00134] Instead of minimizing the RMS of f p (z 1 ,z 2 , , z N ) , the optimizat,io.n. p.r,ocess can minimize magnitude of the largest deviation (the worst defect) among the evaluation points to their intended values.
  • the cost function can alternatively be expressed as whereinCL p is the maximum allowed value for f p (z 1 ,z 2 ... z, N ) .
  • This cost function represents the worst defect among the evaluation points. Optimization using this cost function minimizes magnitude of the worst defect.
  • An iterative greedy algorithm can be used for this optimization.
  • the cost function of Eq.5 can be approximated as: wherein q is an even positive integer such as at least 4, preferably at least 10.
  • Eq.6 mimics the behavior of Eq.5, while allowing the optimization to be executed analytically and accelerated by using methods such as the deepest descent method, the conjugate gradient method, etc.
  • Minimizing the worst defect size can also be combined with linearizing of f p (z 1 ,z 2 ,... z N ) .
  • f p (z 1 ,z 2 ,.., z N , ) is approximated as in Eq.3.
  • Eq. 3 is generally valid only in the vicinity of (z 1i , z 2j , .. . , z Ni ) , in case the desired constraints E Lp ⁇ f p ⁇ z 1 , z 2 ,..., z N ) ⁇ E Up cannot be achieved in such vicinity, which can be determined by any conflict among the inequalities, the constants E Lp and E Up can be relaxed until the constraints are achievable.
  • This optimization process minimizes the worst defect size in the vicinity of (z 1i , z 2j , .. . , z Ni ) . Then each step reduces the worst defect size gradually, and each step is executed iteratively until certain terminating conditions are met. This will lead to optimal reduction of the worst defect size.
  • Another way to minimize the worst defect is to adjust the weight w p in each iteration. For example, after the i-th iteration, if the r-th evaluation point is the worst defect, w r can be increased in the (/+ l)-th iteration so that the reduction of that evaluation point’s defect size is given higher priority.
  • the cost functions in Eq.4 and Eq.5 can be modified by introducing a Lagrange multiplier to achieve compromise between the optimization on RMS of the defect size and the optimization on the worst defect size, i.e., w here 2 is a preset constant that specifies the trade-off between the optimization on RMS of the defect size and the optimization on the worst defect size.
  • the bounds on the worst defect size can be relaxed incrementally or increase the weight for the worst defect size incrementally, compute the cost function value for every achievable worst defect size, and choose the design variable values that minimize the total cost function as the initial point for the next step. By doing this iteratively, the minimization of this new cost function can be achieved.
  • Optimizing a lithographic projection apparatus can expand the process window.
  • a larger process window provides more flexibility in process design and chip design.
  • the process window can be defined as a set of focus and dose values for which the resist image are within a certain limit of the design target of the resist image. Note that all the methods discussed here may also be extended to a generalized process window definition that can be established by different or additional base parameters in addition to exposure dose and defocus. These may include, but are not limited to, optical settings such as NA, sigma, aberrations, polarization, or optical constants of the resist layer.
  • the optimization includes the minimization of MEEF (Mask Error Enhancement Factor), which is defined as the ratio between the substrate EPE and the induced mask edge bias.
  • MEEF Mesk Error Enhancement Factor
  • a first step starting from a known condition (f 0 , ⁇ 0 ) in the process window, wherein f 0 is a nominal focus and so is a nominal dose, minimizing one of the cost functions below in the vicinity (f o ⁇ ⁇ f, ⁇ 0 ⁇ ⁇ ) :
  • the nominal focus f o and nominal dose ⁇ 0 are allowed to shift, they can be optimized jointly with the design variables ((z 1 ,z 2 ,...,z) N .)
  • (f 0 ⁇ ⁇ f, ⁇ 0 ⁇ ⁇ ) is accepted as part of the process window, if a set of values of (z 1 , z 1 ,..., z N , f , ⁇ ) can be found such that the cost function is within a preset limit.
  • the design variables (z 1 ,z 2 ,...,z N ) are optimized with the focus and dose fixed at the nominal focus fi> and nominal dose eo-
  • (f 0 + ⁇ f , ⁇ 0 ⁇ ⁇ ) is accepted as part of the process window, if a set of values of (z 1 ,z 2 ,...,z N ) can be found such that the cost function is within a preset limit.
  • Eqs. 7, 7’, or 7 leads to process window maximization based on SMO.
  • the cost functions of Eqs. 7, 7’, or 7” can also include at least one f p (z 1 ,z 2 ,...,z N ) such as that in Eq. 7 or Eq. 8, that is a function of one or more stochastic effects such as the LWR or local CD variation of 2D features, and throughput.
  • FIG 14 shows one specific example of how a simultaneous SMLO process can use a Gauss Newton Algorithm for optimization.
  • step S702 starting values of design variables are identified. Tuning ranges for each variable may also be identified.
  • step S704 the cost function is defined using the design variables.
  • step S706 cost function is expanded around the starting values for all evaluation points in the design layout.
  • step S710 a full-chip simulation is executed to cover all critical patterns in a full-chip design layout. Desired lithographic response metric (such as CD or EPE) is obtained in step S714, and compared with predicted values of those quantities in step S712.
  • step S716, a process window is determined.
  • Steps S718, S720, and S722 are similar to corresponding steps S514, S516 and S518, as described with respect to Figure 13 A.
  • the final output may be a wavefront aberration map in the pupil plane, optimized to produce the desired imaging performance.
  • the final output may also be an optimized source map and/or an optimized design layout.
  • Figure 13B shows an exemplary method to optimize the cost function where the design variables (z 1 ,z 2 ,...,z N ) include design variables that may only assume discrete values.
  • the method starts by defining the pixel groups of the illumination source and the patterning device tiles of the patterning device (step S802).
  • a pixel group or a patterning device tile may also be referred to as a division of a lithographic process component.
  • the illumination source is divided into “117” pixel groups, and “94” patterning device tiles are defined for the patterning device, substantially as described above, resulting in a total of “211” divisions.
  • step S804 a lithographic model is selected as the basis for photolithographic simulation. Photolithographic simulations produce results that are used in calculations of photolithographic metrics, or responses.
  • a particular photolithographic metric is defined to be the performance metric that is to be optimized (step S806).
  • step S8O8 the initial (pre-optimization) conditions for the illumination source and the patterning device are set up. Initial conditions include initial states for the pixel groups of the illumination source and the patterning device tiles of the patterning device such that references may be made to an initial illumination shape and an initial patterning device pattern. Initial conditions may also include mask bias, NA, and focus ramp range.
  • step S810 the pixel groups and patterning device tiles are ranked. Pixel groups and patterning device tiles may be interleaved in the ranking. Various ways of ranking may be employed, including: sequentially (e.g., from pixel group 1 to pixel group 117 and from patterning device tile “1” to patterning device tile “94”), randomly, according to the physical locations of the pixel groups and patterning device tiles (e.g., ranking pixel groups closer to the center of the illumination source higher), and according to how an alteration of the pixel group or patterning device tile affects the performance metric.
  • step S812 each of the pixel groups and patterning device tiles are analyzed, in order of ranking, to determine whether an alteration of the pixel group or patterning device tile will result in an improved performance metric. If it is determined that the performance metric will be improved, then the pixel group or patterning device tile is accordingly altered, and the resulting improved performance metric and modified illumination shape or modified patterning device pattern form the baseline for comparison for subsequent analyses of lower-ranked pixel groups and patterning device tiles. In other words, alterations that improve the performance metric are retained. As alterations to the states of pixel groups and patterning device tiles are made and retained, the initial illumination shape and initial patterning device pattern changes accordingly, so that a modified illumination shape and a modified patterning device pattern result from the optimization process in step S812.
  • patterning device polygon shape adjustments and pairwise polling of pixel groups and/or patterning device tiles are also performed within the optimization process of S812.
  • the interleaved simultaneous optimization procedure may include to alter a pixel group of the illumination source and if an improvement of the performance metric is found, the dose is stepped up and down to look for further improvement.
  • the stepping up and down of the dose or intensity may be replaced by a bias change of the patterning device pattern to look for further improvement in the simultaneous optimization procedure.
  • step S814 a determination is made as to whether the performance metric has converged. The performance metric may be considered to have converged, for example, if little or no improvement to the performance metric has been witnessed in the last several iterations of steps S810 and S812.
  • step S810 and S812 are repeated in the next iteration, where the modified illumination shape and modified patterning device from the current iteration are used as the initial illumination shape and initial patterning device for the next iteration (step S816).
  • the cost function may include an f p (z 1 ,z 2 ,...,z N ) that is a function of the exposure time. Optimization of such a cost function is preferably constrained or influenced by a measure of the stochastic effects or other metrics.
  • a computer- implemented method for increasing a throughput of a lithographic process may include optimizing a cost function that is a function of one or more stochastic effects of the lithographic process and a function of an exposure time of the substrate, in order to minimize the exposure time.
  • the cost function includes at least one f p (z 1 ,z 2 ,...,z N ) that is a function of one or more stochastic effects.
  • the stochastic effects may include the failure of a feature, measurement data (e.g., SEPE) determined as in method of Figure 3, LWR or local CD variation of 2D features.
  • the stochastic effects include stochastic variations of characteristics of a resist image. For example, such stochastic variations may include failure rate of a feature, line edge roughness (LER), line width roughness (LWR) and critical dimension uniformity (CDU). Including stochastic variations in the cost function allows finding values of design variables that minimize the stochastic variations, thereby reducing risk of defects due to stochastic effects.
  • FIG. 15 is a block diagram that illustrates a computer system 100 that can assist in implementing the methods, flows, or the apparatus disclosed herein.
  • Computer system 100 includes a bus 102 or other communication mechanism for communicating information, and a processor 104 (or multiple processors 104 and 105) coupled with bus 102 for processing information.
  • Computer system 100 also includes a main memory 106, such as a random access memory (RAM) or other dynamic storage device, coupled to bus 102 for storing information and instructions to be executed by processor 104.
  • Main memory 106 also may be used for storing temporary variables or other intermediate information during execution of instructions to be executed by processor 104.
  • Computer system 100 further includes a read only memory (ROM) 108 or other static storage device coupled to bus 102 for storing static information and instructions for processor 104.
  • ROM read only memory
  • a storage device 110 such as a magnetic disk or optical disk, is provided and coupled to bus 102 for storing information and instructions.
  • Computer system 100 may be coupled via bus 102 to a display 112, such as a cathode ray tube (CRT) or flat panel or touch panel display for displaying information to a computer user.
  • a display 112 such as a cathode ray tube (CRT) or flat panel or touch panel display for displaying information to a computer user.
  • An input device 114 is coupled to bus 102 for communicating information and command selections to processor 104.
  • cursor control 116 is Another type of user input device, such as a mouse, a trackball, or cursor direction keys for communicating direction information and command selections to processor 104 and for controlling cursor movement on display 112.
  • This input device typically has two degrees of freedom in two axes, a first axis (e.g., x) and a second axis (e.g., y), that allows the device to specify positions in a plane.
  • a touch panel (screen) display may also be used as an input device.
  • portions of one or more methods described herein may be performed by computer system 100 in response to processor 104 executing one or more sequences of one or more instructions contained in main memory 106. Such instructions may be read into main memory 106 from another computer-readable medium, such as storage device 110. Execution of the sequences of instructions contained in main memory 106 causes processor 104 to perform the process steps described herein. One or more processors in a multi-processing arrangement may also be employed to execute the sequences of instructions contained in main memory 106. In an alternative embodiment, hard-wired circuitry may be used in place of or in combination with software instructions. Thus, the description herein is not limited to any specific combination of hardware circuitry and software.
  • Non- volatile media include, for example, optical or magnetic disks, such as storage device 110.
  • Volatile media include dynamic memory, such as main memory 106.
  • Transmission media include coaxial cables, copper wire and fiber optics, including the wires that comprise bus 102. Transmission media can also take the form of acoustic or light waves, such as those generated during radio frequency (RF) and infrared (IR) data communications.
  • RF radio frequency
  • IR infrared
  • Computer-readable media include, for example, a floppy disk, a flexible disk, hard disk, magnetic tape, any other magnetic medium, a CD- ROM, DVD, any other optical medium, punch cards, paper tape, any other physical medium with patterns of holes, a RAM, a PROM, and EPROM, a FLASH-EPROM, any other memory chip or cartridge, a carrier wave as described hereinafter, or any other medium from which a computer can read.
  • Various forms of computer readable media may be involved in carrying one or more sequences of one or more instructions to processor 104 for execution.
  • the instructions may initially be borne on a magnetic disk of a remote computer (e.g., a server and/or other computing devices), a solid-state storage device, and/or in other locations.
  • the remote computer can load the instructions into its dynamic memory and send the instructions over a wireless communication network (e.g., the internet, a cellular communications network, etc.), through a telephone line using a modem, and/or by other methods.
  • a wireless communication network e.g., the internet, a cellular communications network, etc.
  • a modem and/or other data receiving components local to computer system 100 can receive the data via the wireless communication network, on the telephone line, etc., and use an infrared transmitter to convert the data to an infrared signal.
  • An infrared detector coupled to bus 102 can receive the data carried in the infrared signal and place the data on bus 102.
  • Bus 102 carries the data to main memory 106, from which processor 104 retrieves and executes the instructions.
  • the instructions received by main memory 106 may optionally be stored on storage device 110 either before or after execution by processor 104.
  • Computer system 100 may also include a communication interface 118 coupled to bus 102.
  • Communication interface 118 provides a two-way data communication coupling to a network link 120 that is connected to a local network 122.
  • communication interface 118 may be an integrated services digital network (ISDN) card or a modem to provide a data communication connection to a corresponding type of telephone line.
  • ISDN integrated services digital network
  • communication interface 118 may be a local area network (LAN) card to provide a data communication connection to a compatible LAN.
  • LAN local area network
  • Wireless links may also be implemented.
  • communication interface 118 sends and receives electrical, electromagnetic or optical signals that carry digital data streams representing various types of information.
  • Network link 120 typically provides data communication through one or more networks to other data devices.
  • network link 120 may provide a connection through local network 122 to a host computer 124 or to data equipment operated by an Internet Service Provider (ISP) 126.
  • ISP 126 in turn provides data communication services through the worldwide packet data communication network, now commonly referred to as the “Internet” 128.
  • Internet 128 uses electrical, electromagnetic or optical signals that carry digital data streams.
  • the signals through the various networks and the signals on network link 120 and through communication interface 118, which carry the digital data to and from computer system 100, are exemplary forms of carrier waves transporting the information.
  • Computer system 100 can send messages and receive data, including program code, through the network(s), network link 120, and communication interface 118.
  • a server 130 might transmit a requested code for an application program through Internet 128, ISP 126, local network 122 and communication interface 118.
  • One such downloaded application may provide all or part of a method described herein, for example.
  • the received code may be executed by processor 104 as it is received, and/or stored in storage device 110, or other non-volatile storage for later execution. In this manner, computer system 100 may obtain application code in the form of a carrier wave.
  • Figure 16 schematically depicts an exemplary lithographic projection apparatus whose illumination source could be optimized utilizing the methods described herein.
  • the apparatus comprises:
  • the illumination system also comprises a radiation source SO;
  • a first object table e.g., mask table
  • a patterning device holder to hold a patterning device MA (e.g., a reticle), and connected to a first positioner to accurately position the patterning device with respect to item PS;
  • a patterning device MA e.g., a reticle
  • a second object table (substrate table) WT provided with a substrate holder to hold a substrate W (e.g., a resist-coated silicon wafer), and connected to a second positioner to accurately position the substrate with respect to item PS;
  • a substrate W e.g., a resist-coated silicon wafer
  • a projection system e.g., a refractive, catoptric or catadioptric optical system
  • a target portion C e.g., comprising one or more dies
  • the apparatus is of a transmissive type (i.e., has a transmissive mask). However, in general, it may also be of a reflective type, for example (with a reflective mask). Alternatively, the apparatus may employ another kind of patterning device as an alternative to the use of a classic mask; examples include a programmable mirror array or LCD matrix.
  • the source SO e.g., a mercury lamp or excimer laser
  • This beam is fed into an illumination system (illuminator) IL, either directly or after having traversed conditioning means, such as a beam expander Ex, for example.
  • the illuminator IL may comprise adjusting means AD for setting the outer and/or inner radial extent (commonly referred to as ⁇ -outer and ⁇ -inner, respectively) of the intensity distribution in the beam.
  • ⁇ -outer and ⁇ -inner commonly a beam expander
  • it will generally comprise various other components, such as an integrator IN and a condenser CO. In this way, the beam B impinging on the patterning device MA has a desired uniformity and intensity distribution in its cross-section.
  • the source SO may be within the housing of the lithographic projection apparatus (as is often the case when the source SO is a mercury lamp, for example), but that it may also be remote from the lithographic projection apparatus, the radiation beam that it produces being led into the apparatus (e.g., with the aid of suitable directing mirrors); this latter scenario is often the case when the source SO is an excimer laser (e.g., based on KrF, ArF or F 2 lasing).
  • the beam PB subsequently intercepts the patterning device MA, which is held on a patterning device table MT. Having traversed the patterning device MA, the beam B passes through the lens PL, which focuses the beam B onto a target portion C of the substrate W. With the aid of the second positioning means (and interferometric measuring means IF), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the beam PB. Similarly, the first positioning means can be used to accurately position the patterning device MA with respect to the path of the beam B, e.g., after mechanical retrieval of the patterning device MA from a patterning device library, or during a scan.
  • the patterning device table MT may just be connected to a short stroke actuator, or may be fixed.
  • the depicted tool can be used in two different modes:
  • the patterning device table MT is kept essentially stationary, and an entire patterning device image is projected in one go (i.e., a single “flash”) onto a target portion C.
  • the substrate table WT is then shifted in the x and/or y directions so that a different target portion C can be irradiated by the beam PB;
  • Figure 17 schematically depicts another exemplary lithographic projection apparatus LA whose illumination source could be optimized utilizing the methods described herein.
  • the lithographic projection apparatus LA includes:
  • a source collector module SO an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. EUV radiation).
  • a radiation beam B e.g. EUV radiation
  • a support structure e.g. a mask table
  • MT constructed to support a patterning device (e.g. a mask or a reticle) MA and connected to a first positioner PM configured to accurately position the patterning device;
  • a substrate table e.g. a wafer table
  • WT constructed to hold a substrate (e.g. a resist coated wafer) W and connected to a second positioner PW configured to accurately position the substrate
  • PW a substrate positioner
  • a projection system e.g. a reflective projection system
  • PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W.
  • the apparatus LA is of a reflective type (e.g. employing a reflective mask).
  • the mask may have multilayer reflectors comprising, for example, a multi-stack of Molybdenum and Silicon.
  • the multi-stack reflector has a 40 layer pairs of Molybdenum and Silicon where the thickness of each layer is a quarter wavelength. Even smaller wavelengths may be produced with X-ray lithography.
  • a thin piece of patterned absorbing material on the patterning device topography defines where features would print (positive resist) or not print (negative resist).
  • the illuminator IL receives an extreme ultra violet radiation beam from the source collector module SO.
  • Methods to produce EUV radiation include, but are not necessarily limited to, converting a material into a plasma state that has at least one element, e.g., xenon, lithium or tin, with one or more emission lines in the EUV range.
  • the plasma can be produced by irradiating a fuel, such as a droplet, stream or cluster of material having the line-emitting element, with a laser beam.
  • the source collector module SO may be part of an EUV radiation system including a laser, not shown in Figure 17, for providing the laser beam exciting the fuel.
  • the resulting plasma emits output radiation, e.g., EUV radiation, which is collected using a radiation collector, disposed in the source collector module.
  • output radiation e.g., EUV radiation
  • the laser and the source collector module may be separate entities, for example when a CO2 laser is used to provide the laser beam for fuel excitation.
  • the laser is not considered to form part of the lithographic apparatus and the radiation beam is passed from the laser to the source collector module with the aid of a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander.
  • the source may be an integral part of the source collector module, for example when the source is a discharge produced plasma EUV generator, often termed as a DPP source.
  • the illuminator IL may comprise an adjuster for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as ⁇ -outer and ⁇ -inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted.
  • the illuminator IL may comprise various other components, such as facetted field and pupil mirror devices. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross section.
  • the radiation beam B is incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., mask table) MT, and is patterned by the patterning device. After being reflected from the patterning device (e.g. mask) MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor PS2 (e.g. an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B.
  • the second positioner PW and position sensor PS2 e.g. an interferometric device, linear encoder or capacitive sensor
  • the first positioner PM and another position sensor PS1 can be used to accurately position the patterning device (e.g. mask) MA with respect to the path of the radiation beam B.
  • Patterning device (e.g. mask) MA and substrate W may be aligned using patterning device alignment marks M1, M2 and substrate alignment marks Pl, P2.
  • the depicted apparatus LA could be used in at least one of the following modes:
  • step mode the support structure (e.g. mask table) MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure).
  • the substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed.
  • the support structure (e.g. mask table) MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure).
  • the velocity and direction of the substrate table WT relative to the support structure (e.g. mask table) MT may be determined by the (de-)magnification and image reversal characteristics of the projection system PS.
  • the support structure (e.g. mask table) MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C.
  • a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan.
  • This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above.
  • Figure 18 shows the apparatus LA in more detail, including the source collector module SO, the illumination system IL, and the projection system PS.
  • the source collector module SO is constructed and arranged such that a vacuum environment can be maintained in an enclosing structure 220 of the source collector module SO.
  • An EUV radiation emitting plasma 210 may be formed by a discharge produced plasma source. EUV radiation may be produced by a gas or vapor, for example Xe gas, Li vapor or Sn vapor in which the very hot plasma 210 is created to emit radiation in the EUV range of the electromagnetic spectrum.
  • the very hot plasma 210 is created by, for example, an electrical discharge causing an at least partially ionized plasma. Partial pressures of, for example, 10 Pa of Xe, Li, Sn vapor or any other suitable gas or vapor may be required for efficient generation of the radiation.
  • a plasma of excited tin (Sn) is provided to produce EUV radiation.
  • the radiation emitted by the hot plasma 210 is passed from a source chamber 211 into a collector chamber 212 via an optional gas barrier or contaminant trap 230 (in some cases also referred to as contaminant barrier or foil trap) which is positioned in or behind an opening in source chamber 211.
  • the contaminant trap 230 may include a channel structure.
  • Contamination trap 230 may also include a gas barrier or a combination of a gas barrier and a channel structure.
  • the contaminant trap or contaminant barrier 230 further indicated herein at least includes a channel structure, as known in the art.
  • the collector chamber 211 may include a radiation collector CO which may be a so-called grazing incidence collector.
  • Radiation collector CO has an upstream radiation collector side 251 and a downstream radiation collector side 252. Radiation that traverses collector CO can be reflected off a grating spectral filter 240 to be focused in a virtual source point IF along the optical axis indicated by the dot-dashed line ‘O’.
  • the virtual source point IF is commonly referred to as the intermediate focus, and the source collector module is arranged such that the intermediate focus IF is located at or near an opening 221 in the enclosing structure 220.
  • the virtual source point IF is an image of the radiation emitting plasma 210.
  • the radiation traverses the illumination system IL, which may include a facetted field mirror device 22 and a facetted pupil mirror device 24 arranged to provide a desired angular distribution of the radiation beam 21, at the patterning device MA, as well as a desired uniformity of radiation intensity at the patterning device MA.
  • the illumination system IL may include a facetted field mirror device 22 and a facetted pupil mirror device 24 arranged to provide a desired angular distribution of the radiation beam 21, at the patterning device MA, as well as a desired uniformity of radiation intensity at the patterning device MA.
  • the grating spectral filter 240 may optionally be present, depending upon the type of lithographic apparatus. Further, there may be more mirrors present than those shown in the figures, for example there may be 1- 6 additional reflective elements present in the projection system PS than shown in Figure 18.
  • Collector optic CO is depicted as a nested collector with grazing incidence reflectors 253, 254 and 255, just as an example of a collector (or collector mirror).
  • the grazing incidence reflectors 253, 254 and 255 are disposed axially symmetric around the optical axis O and a collector optic CO of this type is preferably used in combination with a discharge produced plasma source, often called a DPP source.
  • the source collector module SO may be part of an LPP radiation system as shown in Figure 19.
  • a laser LA is arranged to deposit laser energy into a fuel, such as xenon (Xe), tin (Sn) or lithium (Li), creating the highly ionized plasma 210 with electron temperatures of several 10's of eV.
  • Xe xenon
  • Sn tin
  • Li lithium
  • the energetic radiation generated during de-excitation and recombination of these ions is emitted from the plasma, collected by a near normal incidence collector optic CO and focused onto the opening 221 in the enclosing structure 220.
  • a non-transitory computer-readable medium for imaging portions of design layouts onto a substrate using a lithographic projection apparatus having an illumination source and projection optics having instructions that, when executed by a computer, cause operations comprising: receiving a physical dose, wherein the physical dose is indicative of a dose received from the illumination source at the substrate through an open-frame mask; inputting the physical does and a plurality of design variables that are representative of characteristics of a patterning process into a lithographic model, wherein the design variables includes (a) a pupil shape of an illumination source of a lithographic projection apparatus, and (b) a design layout to be printed on a substrate using the lithographic projection apparatus, wherein the lithographic model is configured to: compute, using the physical dose, a multi-variable cost function of the plurality of design variables, wherein the multi-variable cost function is a function of a throughput of the patterning process, and adjust the design variables until a predefined termination condition is satisfied; receiving an output from the lithographic model based on the physical dose
  • a non-transitory computer-readable medium having instructions that, when executed by a computer, cause the computer to execute a method to improve a patterning process for imaging a portion of a design layout onto a substrate using a lithographic projection apparatus having an illumination source and projection optics, the method comprising: computing a multi-variable cost function of a plurality of design variables that are representative of characteristics of the patterning process, wherein the design variables includes (a) an illumination source variable that is characteristic of the illumination source, and (b) a design layout variable that is characteristic of the design layout, wherein the multi-variable cost function is a function of a throughput of the patterning process; and reconfiguring the characteristics of the patterning process by adjusting the design variables until a predefined termination condition is satisfied.
  • computing the multi-variable cost function includes: obtaining a physical dose as one of the design variables, wherein the physical dose is indicative of an energy density received at the substrate through an open-frame mask accumulated through a specified exposure time, and computing the throughput as a function of the physical dose and one or more lithographic projection apparatus parameters.
  • computing the multi-variable cost function includes: computing a first cost function indicative of a difference between a desired throughput and the throughput, and computing a second cost function indicative of a metric associated with the patterning process for imaging the portion of the design layout onto the substrate.
  • minimizing the multi-variable cost function is an iterative process in which each iteration includes: minimizing the first cost function and the second cost function by adjusting the design variables, and determining whether the multi-variable cost function is minimized.
  • reconfiguring the characteristics of the patterning process includes adjusting at least one of the illumination source variable or the design layout variable until the predefined termination condition is satisfied.
  • adjusting the illumination source variable includes adjusting a pupil shape of the illumination source.
  • adjusting the design layout variable includes adjusting one or more characteristics of the design layout.
  • obtaining the physical dose includes: obtaining an exposure margin and a dose-to-clear value as the design variables, wherein the dose-to-clear value is a minimum physical dose required to cause a uniform chunk of a resist in the substrate to develop, and computing the physical dose as a function of the exposure margin and the dose-to-clear value.
  • obtaining the exposure margin includes: obtaining an aerial image (AI) intensity threshold value and a white mask intensity value, wherein the AI intensity threshold value is indicative of a minimum AI intensity required to predict a resist development in the substrate for a mask corresponding to the design layout, and wherein the white mask intensity value is AI intensity corresponding to an open-frame mask, and computing the exposure margin as a function of the AI intensity threshold value and the white mask intensity value.
  • AI aerial image
  • white mask intensity value is AI intensity corresponding to an open-frame mask
  • obtaining the dose-to-clear value includes: obtaining a dose-to-size value, which is indicative of a physical dose required to print an anchor feature on the substrate, and computing the dose-to-clear value as a function of the exposure margin and the dose-to-size value.
  • obtaining the physical dose includes: obtaining a full-transmission dose, which is indicative of a dose received at the substrate through an open-frame mask and without any obscuration or apodization in the illumination source.
  • obtaining the physical dose further includes: obtaining a full-transmission dose as a function of the physical dose and a projection efficiency, wherein the projection efficiency is a function of a source map and pupil transmission associated with the illumination source.
  • obtaining the physical dose further includes: obtaining a full-transmission dose as a function of the physical dose and a projection efficiency, wherein the projection efficiency is a function of a source map and pupil transmission associated with the illumination source.
  • obtaining the physical dose further includes: obtaining a full-transmission dose as a function of the physical dose and a projection efficiency, wherein the projection efficiency is a function of a source map and pupil transmission associated with the illumination source.
  • the projection efficiency is a function of a source map and pupil transmission associated with the illumination source.
  • a non-transitory computer-readable medium having instructions that, when executed by a computer, cause the computer to execute a method for determining a throughput of a patterning process for imaging a portion of a design layout onto a substrate using a lithographic projection apparatus having an illumination source and projection optics, the method comprising: obtaining a physical dose, wherein the physical dose is indicative of an energy density received from the illumination source at the substrate through an open-frame mask accumulated through a specified exposure time; and computing the throughput as a function of the physical dose and one or more lithographic projection apparatus parameters.
  • obtaining the physical dose includes: obtaining an exposure margin and a dose-to-clear value, wherein the dose-to-clear value is a minimum physical dose required to cause a uniform chunk of a resist in the substrate to develop, and computing the physical dose as a function of the exposure margin and the dose-to-clear value.
  • obtaining the exposure margin includes: obtaining an AI intensity threshold value and a white mask intensity value, wherein the AI intensity threshold value is indicative of a minimum AI intensity required to predict a resist development in the substrate for a mask corresponding to the design layout, and wherein the white mask intensity value is AI intensity corresponding to an open-frame mask, and computing the exposure margin as a function of the AI intensity threshold value and the white mask intensity value.
  • obtaining the dose-to-clear value includes: obtaining a dose-to-size value, which is indicative of a physical dose required to print an anchor feature on the substrate, and computing the dose-to-clear value as a function of the exposure margin and the dose-to-size value.
  • obtaining the physical dose includes: obtaining a full-transmission dose, which is indicative of a dose received at the substrate through an open-frame mask and without any obscuration or apodization in the illumination source. 22. The computer-readable medium of clause 17, wherein obtaining the physical dose further includes: obtaining a full-transmission dose as a function of the physical dose and a projection efficiency, wherein the projection efficiency is a function of a source map and pupil transmission associated with the illumination source.
  • the computer-readable medium of clause 17 further comprising: computing a multi-variable cost function of a plurality of design variables that are representative of characteristics of the patterning process, wherein the design variables includes (a) an illumination source variable that is characteristic of the illumination source, and (b) a design layout variable that is characteristic of the design layout, wherein the multi-variable cost function is a function of the throughput; and reconfiguring the characteristics of the patterning process by adjusting the design variables until a predefined termination condition is satisfied.
  • computing the multi-variable cost function includes computing the multi-variable cost function as a function of: a first cost function indicative of a difference between a desired throughput and the throughput, and a second cost function indicative of a metric associated with the patterning process for imaging the portion of the design layout onto the substrate.
  • minimizing the multi-variable cost function is an iterative process in which each iteration includes: minimizing the first cost function and the second cost function by adjusting the design variables, and determining whether the multi-variable cost function is minimized.
  • a non-transitory computer-readable medium having instructions that, when executed by a computer, cause the computer to execute a method for determining a throughput of a patterning process for imaging a portion of a design layout onto a substrate using a lithographic projection apparatus having an illumination source and projection optics, the method comprising: obtaining a dose-to-clear value, wherein the dose-to-clear value is a minimum physical dose required to cause a uniform chunk of a resist in the substrate to develop; computing at least one of a physical dose or a full-transmission dose based on the dose-to- clear value, wherein the physical dose is indicative of an energy density received from the illumination source at the substrate through an open-frame mask accumulated through a specified exposure time, and wherein the full-transmission dose is indicative of a dose received at the substrate through an open-frame mask and without any obscuration or apodization in the illumination source; and computing the throughput as a function of (a) at least one of the physical dose or the full-transmission dose
  • obtaining the dose-to-clear value includes: obtaining an exposure margin and a dose-to-size value, which is indicative of a physical dose required to print an anchor feature on the substrate, and computing the dose-to-clear value as a function of the exposure margin and the dose-to-size value.
  • obtaining the exposure margin includes: obtaining an AI intensity threshold value and a white mask intensity value, wherein the AI intensity threshold value is indicative of a minimum AI intensity required to predict a resist development in the substrate for a mask corresponding to the design layout, and wherein the white mask intensity value is AI intensity corresponding to an open-frame mask, and computing the exposure margin as a function of the AI intensity threshold value and the white mask intensity value.
  • computing at least one of the physical dose or the full-transmission dose includes: computing the physical dose as a function of the exposure margin and the dose-to-clear value.
  • computing at least one of the physical dose or the full-transmission dose includes: computing the full-transmission dose as a function of the exposure margin and the dose-to-clear value.
  • a non-transitory computer-readable medium having instructions that, when executed by a computer, cause the computer to execute a method for determining a throughput of a patterning process for imaging a portion of a design layout onto a substrate using a lithographic projection apparatus having an illumination source and projection optics, the method comprising: obtaining a full-transmission dose, which is indicative of a dose received at the substrate through an open-frame mask and without any obscuration or apodization in the illumination source; and computing the throughput as a function of the full-transmission dose and one or more lithographic projection apparatus parameters.
  • obtaining the full-transmission dose includes: obtaining an exposure margin and a dose-to-clear value, wherein the dose-to-clear value is a minimum physical dose required to cause a uniform chunk of a resist in the substrate to develop, and computing the full-transmission dose as a function of the exposure margin and the dose-to-clear value.
  • obtaining the exposure margin includes: obtaining an AI intensity threshold value and a white mask intensity value, wherein the AI intensity threshold value is indicative of a minimum AI intensity required to predict a resist development in the substrate for a mask corresponding to the design layout, and wherein the white mask intensity value is AI intensity corresponding to an open-frame mask, and computing the exposure margin as a function of the AI intensity threshold value and the white mask intensity value.
  • obtaining the dose-to-clear value includes: obtaining a dose-to-size value, which is indicative of a physical dose required to print an anchor feature on the substrate, and computing the dose-to-clear value as a function of the exposure margin and the dose-to-size value.
  • the computer-readable medium of clause 32 further comprising: computing a multi-variable cost function of a plurality of design variables that are representative of characteristics of the patterning process, wherein the design variables includes (a) an illumination source variable that is characteristic of the illumination source, and (b) a design layout variable that is characteristic of the design layout, wherein the multi-variable cost function is a function of the throughput; and reconfiguring the characteristics of the patterning process by adjusting the design variables until a predefined termination condition is satisfied.
  • a non-transitory computer-readable medium having instructions that, when executed by a computer, cause the computer to execute a method for determining a throughput of a patterning process for imaging a portion of a design layout onto a substrate using a lithographic projection apparatus having an illumination source and projection optics, the method comprising: obtaining a physical dose, wherein the physical dose is indicative of an energy density received from the illumination source at the substrate through an open-frame mask accumulated through a specified exposure time; obtaining a full-transmission dose, which is indicative of a dose received at the substrate through the open-frame mask and without any obscuration or apodization in the illumination source, wherein the full-transmission dose is obtained as a function of the physical dose; and computing the throughput as a function of the full-transmission dose and one or more lithographic projection apparatus parameters.
  • obtaining the full-transmission dose includes: obtaining the full-transmission dose as a function of the physical dose and a projection efficiency, wherein the projection efficiency is a function of a source map and pupil transmission associated with the illumination source.
  • obtaining the physical dose includes: obtaining an exposure margin and a dose-to-clear value, wherein the dose-to-clear value is a minimum physical dose required to cause a uniform chunk of a resist in the substrate to develop, and computing the physical dose as a function of the exposure margin and the dose-to-clear value.
  • obtaining the exposure margin includes: obtaining an AI intensity threshold value and a white mask intensity value, wherein the AI intensity threshold value is indicative of a minimum AI intensity required to predict a resist development in the substrate for a mask corresponding to the design layout, and wherein the white mask intensity value is AI intensity corresponding to an open-frame mask, and computing the exposure margin as a function of the AI intensity threshold value and the white mask intensity value.
  • obtaining the dose-to-clear value includes: obtaining a dose-to-size value, which is indicative of a physical dose required to print an anchor feature on the substrate, and computing the dose-to-clear value as a function of the exposure margin and the dose-to-size value.
  • the computer-readable medium of clause 38 further comprising: computing a multi-variable cost function of a plurality of design variables that are representative of characteristics of the patterning process, wherein the design variables includes (a) an illumination source variable that is characteristic of the illumination source, and (b) a design layout variable that is characteristic of the design layout, wherein the multi-variable cost function is a function of the throughput; and reconfiguring the characteristics of the patterning process by adjusting the design variables until a predefined termination condition is satisfied.
  • the predefined termination condition is satisfied by minimizing the multi-variable cost function.
  • a computer-implemented method to improve a patterning process for imaging a portion of a design layout onto a substrate using a lithographic projection apparatus having an illumination source and projection optics comprising: computing, using a hardware computer system, a multi-variable cost function of a plurality of design variables that are representative of characteristics of the patterning process, wherein the design variables includes (a) an illumination source variable that is characteristic of the illumination source, and (b) a design layout variable that is characteristic of the design layout, wherein the multi-variable cost function is a function of a throughput of the patterning process; and reconfiguring, using the hardware computer system, the characteristics of the patterning process by adjusting the design variables until a predefined termination condition is satisfied.
  • computing the multi-variable cost function includes: obtaining a physical dose as one of the design variables, wherein the physical dose is indicative of an energy density received at the substrate through an open-frame mask accumulated through a specified exposure time, and computing the throughput as a function of the physical dose.
  • computing the multi-variable cost function includes: computing a first cost function indicative of a difference between a desired throughput and the throughput, and computing a second cost function indicative of a metric associated with the patterning process for imaging the portion of the design layout onto the substrate.
  • minimizing the multi-variable cost function is an iterative process in which each iteration includes: minimizing the first cost function and the second cost function by adjusting the design variables, and determining whether the multi-variable cost function is minimized.
  • obtaining the physical dose includes: obtaining an exposure margin and dose-to-clear value as the design variables, wherein the dose-to-clear value is a minimum physical dose required to cause a uniform chunk of a resist in the substrate to develop, and computing the physical dose as a function of the exposure margin and dose-to-clear value.
  • obtaining the exposure margin includes: obtaining an AI intensity threshold value and a white mask intensity value, wherein the AI intensity threshold value is indicative of a minimum AI intensity required to predict a resist development in the substrate for a mask corresponding to the design layout, and wherein the white mask intensity value is AI intensity corresponding to an open-frame mask, and computing the exposure margin as a function of the AI intensity threshold value and the white mask intensity value.
  • obtaining the dose-to-clear value includes: obtaining a dose-to-size value, which is indicative of a physical dose required to print an anchor feature on the substrate, and computing the dose-to-clear value as a function of the exposure margin and the dose-to-size value.
  • obtaining the physical dose includes: obtaining a full-transmission dose, which is indicative of a dose received at the substrate through an open-frame mask and without any obscuration or apodization in the illumination source.
  • obtaining the physical dose further includes: obtaining a full-transmission dose as a function of the physical dose and a projection efficiency, wherein the projection efficiency is a function of a source map and pupil transmission associated with the illumination source.
  • a computer-implemented method for determining a throughput of a patterning process for imaging a portion of a design layout onto a substrate using a lithographic projection apparatus having an illumination source and projection optics comprising: obtaining, using a hardware computer system, a physical dose, wherein the physical dose is indicative of an energy density received at the substrate through an open-frame mask accumulated through a specified exposure time; and computing, using the hardware computer system, the throughput as a function of the physical dose.
  • obtaining the physical dose includes: obtaining an exposure margin and dose-to-clear value, wherein the dose-to-clear value is a minimum physical dose required to cause a uniform chunk of a resist in the substrate to develop, and computing the physical dose as a function of the exposure margin and the dose-to-clear value.
  • obtaining the exposure margin includes: obtaining an AI intensity threshold value and a white mask intensity value, wherein the AI intensity threshold value is indicative of a minimum AI intensity required to predict a resist development in the substrate for a mask corresponding to the design layout, and wherein the white mask intensity value is AI intensity corresponding to an open-frame mask, and computing the exposure margin as a function of the AI intensity threshold value and the white mask intensity value.
  • obtaining the dose-to-clear value includes: obtaining a dose-to-size value, which is indicative of a physical dose required to print an anchor feature on the substrate, and computing the dose-to-clear value as a function of the exposure margin and the dose-to-size value.
  • obtaining the physical dose includes: obtaining a full-transmission dose, which is indicative of a dose received at the substrate through an open-frame mask and without any obscuration or apodization in the illumination source.
  • obtaining the physical dose further includes: obtaining a full-transmission dose as a function of the physical dose and a projection efficiency, wherein the projection efficiency is a function of a source map and pupil transmission associated with the illumination source.
  • computing the throughput further includes: computing the throughput as a function of a plurality of design variables that are characteristic of the illumination source.
  • the method of clause 55 further comprising: computing a multi-variable cost function of a plurality of design variables that are representative of characteristics of the patterning process, wherein the design variables includes (a) an illumination source variable that is characteristic of the illumination source, and (b) a design layout variable that is characteristic of the design layout, wherein the multi-variable cost function is a function of the throughput; and reconfiguring the characteristics of the patterning process by adjusting the design variables until a predefined termination condition is satisfied.
  • computing the multi-variable cost function includes computing the multi-variable cost function as a function of: a first cost function indicative of a difference between a desired throughput and the throughput, and a second cost function indicative of a metric associated with the patterning process for imaging the portion of the design layout onto the substrate.
  • minimizing the multi-variable cost function is an iterative process in which each iteration includes: minimizing the first cost function and the second cost function by adjusting the design variables, and determining whether the multi-variable cost function is minimized.
  • the concepts disclosed herein may simulate or mathematically model any generic imaging system for imaging sub wavelength features, and may be especially useful with emerging imaging technologies capable of producing increasingly shorter wavelengths. Emerging technologies already in use include EUV (extreme ultra violet) patterning processes. EUV lithography is capable of producing wavelengths within a range of 20-5nm by using a synchrotron or by hitting a material (either solid or a plasma) with high energy electrons in order to produce photons within this range.
  • a component may include A, B, or C
  • the component may include A, or B, or C, or A and B, or A and C, or B and C, or A and B and C.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

L'invention concerne un procédé d'optimisation de masque source (SMO) destiné à augmenter le débit de balayage pour un procédé de formation de motifs. Le procédé comprend le calcul d'une fonction de coût à variables multiples de variables de conception qui sont représentatives de caractéristiques du procédé de formation de motifs. Les variables de conception peuvent comprendre (a) une variable de source d'éclairage qui est caractéristique de la source d'éclairage et (b) une variable d'agencement de conception qui est caractéristique de l'agencement de conception. La fonction de coût à variables multiples peut être une fonction d'un débit du procédé de formation de motifs. Le procédé comprend en outre la reconfiguration des caractéristiques du procédé de formation de motifs en ajustant les variables de conception jusqu'à ce qu'une condition de fin prédéfinie soit satisfaite.
PCT/EP2021/076419 2020-09-25 2021-09-24 Optimisation du débit de balayage et de la qualité d'imagerie pour un procédé de formation de motifs WO2022064016A1 (fr)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020237010549A KR20230070230A (ko) 2020-09-25 2021-09-24 패터닝 공정을 위한 스캐너 스루풋 및 이미징 품질의 최적화
CN202180066013.7A CN116490824A (zh) 2020-09-25 2021-09-24 图案化过程的扫描仪生产率和成像质量的优化
US18/027,093 US20230333483A1 (en) 2020-09-25 2021-09-24 Optimization of scanner throughput and imaging quality for a patterning process

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063083412P 2020-09-25 2020-09-25
US63/083,412 2020-09-25

Publications (1)

Publication Number Publication Date
WO2022064016A1 true WO2022064016A1 (fr) 2022-03-31

Family

ID=78078187

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2021/076419 WO2022064016A1 (fr) 2020-09-25 2021-09-24 Optimisation du débit de balayage et de la qualité d'imagerie pour un procédé de formation de motifs

Country Status (4)

Country Link
US (1) US20230333483A1 (fr)
KR (1) KR20230070230A (fr)
CN (1) CN116490824A (fr)
WO (1) WO2022064016A1 (fr)

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
US6046792A (en) 1996-03-06 2000-04-04 U.S. Philips Corporation Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
JP2003142388A (ja) * 2001-11-07 2003-05-16 Canon Inc ショットレイアウト作成方法
US20070031745A1 (en) 2005-08-08 2007-02-08 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US20070050749A1 (en) 2005-08-31 2007-03-01 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
US20080301620A1 (en) 2007-06-04 2008-12-04 Brion Technologies, Inc. System and method for model-based sub-resolution assist feature generation
US20080309897A1 (en) 2007-06-15 2008-12-18 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US20090157630A1 (en) 2007-10-26 2009-06-18 Max Yuan Method of extracting data and recommending and generating visual displays
US7587704B2 (en) 2005-09-09 2009-09-08 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
WO2010059954A2 (fr) 2008-11-21 2010-05-27 Brion Technologies Inc. Procédé de co-optimisation de source et de masque de forme libre rapide
US20100162197A1 (en) 2008-12-18 2010-06-24 Brion Technologies Inc. Method and system for lithography process-window-maximixing optical proximity correction
US20100180251A1 (en) 2006-02-03 2010-07-15 Brion Technology, Inc. Method for process window optimized optical proximity correction
US20100315614A1 (en) 2009-06-10 2010-12-16 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
WO2020094389A1 (fr) * 2018-11-08 2020-05-14 Asml Netherlands B.V. Modèle de défaillance pour prédire une défaillance due à une couche de réserve
US20200249578A1 (en) * 2019-02-01 2020-08-06 Asml Netherlands B.V. Method and apparatus for source mask optimization configured to increase scanner throughput for a patterning process
WO2020169303A1 (fr) * 2019-02-21 2020-08-27 Asml Netherlands B.V. Procédé d'apprentissage de modèle d'apprentissage machine pour déterminer une correction de proximité optique pour un masque

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
US6046792A (en) 1996-03-06 2000-04-04 U.S. Philips Corporation Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
JP2003142388A (ja) * 2001-11-07 2003-05-16 Canon Inc ショットレイアウト作成方法
US20070031745A1 (en) 2005-08-08 2007-02-08 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US20070050749A1 (en) 2005-08-31 2007-03-01 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
US7587704B2 (en) 2005-09-09 2009-09-08 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
US20100180251A1 (en) 2006-02-03 2010-07-15 Brion Technology, Inc. Method for process window optimized optical proximity correction
US20080301620A1 (en) 2007-06-04 2008-12-04 Brion Technologies, Inc. System and method for model-based sub-resolution assist feature generation
US20080309897A1 (en) 2007-06-15 2008-12-18 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US20090157630A1 (en) 2007-10-26 2009-06-18 Max Yuan Method of extracting data and recommending and generating visual displays
WO2010059954A2 (fr) 2008-11-21 2010-05-27 Brion Technologies Inc. Procédé de co-optimisation de source et de masque de forme libre rapide
US20100162197A1 (en) 2008-12-18 2010-06-24 Brion Technologies Inc. Method and system for lithography process-window-maximixing optical proximity correction
US20100315614A1 (en) 2009-06-10 2010-12-16 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
WO2020094389A1 (fr) * 2018-11-08 2020-05-14 Asml Netherlands B.V. Modèle de défaillance pour prédire une défaillance due à une couche de réserve
US20200249578A1 (en) * 2019-02-01 2020-08-06 Asml Netherlands B.V. Method and apparatus for source mask optimization configured to increase scanner throughput for a patterning process
WO2020169303A1 (fr) * 2019-02-21 2020-08-27 Asml Netherlands B.V. Procédé d'apprentissage de modèle d'apprentissage machine pour déterminer une correction de proximité optique pour un masque

Non-Patent Citations (8)

* Cited by examiner, † Cited by third party
Title
"ENHANCED LITHOGRAPHIC THROUGHPUT BY IMPROVED SOURCE AND MASK OPTIMIZATION FLOW", RESEARCH DISCLOSURE, KENNETH MASON PUBLICATIONS, HAMPSHIRE, UK, GB, vol. 631, no. 30, 1 November 2016 (2016-11-01), pages 881, XP007145204, ISSN: 0374-4353, [retrieved on 20161010] *
"OPTIMIZATION OF SCANNER THROUGHPUT AND IMAGING QUALITY FOR A PATTERNING PROCESS", RESEARCH DISCLOSURE, KENNETH MASON PUBLICATIONS, HAMPSHIRE, UK, GB, vol. 680, no. 79, 1 June 2021 (2021-06-01), XP007148960, ISSN: 0374-4353, [retrieved on 20201117] *
C. SPENCE: "Full-Chip Lithography Simulation and Design Analysis - How OPC Is Changing IC Design", PROC. SPIE, vol. 5751, 2005, pages 1 - 14, XP055147049, DOI: 10.1117/12.608020
GRANIK: "Source Optimization for Image Fidelity and Throughput", JOURNAL OF MICROLITHOGRAPHY, MICROFABRICATION, MICROSYSTEMS, vol. 3, no. 4, 2004, pages 509 - 522, XP055147052, DOI: 10.1117/1.1794708
JORGE NOCEDALSTEPHEN J. WRIGHT: "Numerical Optimization", CAMBRIDGE UNIVERSITY PRESS
ROSENBLUTH ET AL.: "Optimum Mask and Source Patterns to Print A Given Shape", JOURNAL OF MICROLITHOGRAPHY, MICROFABRICATION, MICROSYSTEMS, vol. 1, no. 1, 2002, pages 13 - 20
SOCHA, PROC. SPIE, vol. 5853, 2005, pages 180
Y. CAO ET AL.: "Optimized Hardware and Software For Fast, Full Chip Simulation", PROC. SPIE, vol. 5754, 2005, pages 405

Also Published As

Publication number Publication date
CN116490824A (zh) 2023-07-25
KR20230070230A (ko) 2023-05-22
US20230333483A1 (en) 2023-10-19

Similar Documents

Publication Publication Date Title
US11835862B2 (en) Model for calculating a stochastic variation in an arbitrary pattern
US10558124B2 (en) Discrete source mask optimization
US9934346B2 (en) Source mask optimization to reduce stochastic effects
US20220179321A1 (en) Method for determining pattern in a patterning process
WO2020169303A1 (fr) Procédé d'apprentissage de modèle d'apprentissage machine pour déterminer une correction de proximité optique pour un masque
US20220137514A1 (en) Method for determining stochastic variation of printed patterns
US11126089B2 (en) Method for determining corrections to features of a mask
WO2016128392A1 (fr) Optimisation de pente logarithmique d'image (ils)
WO2021160522A1 (fr) Procédé de détermination d'un motif de masque comprenant des corrections de proximité optique utilisant un modèle d'apprentissage machine entraîné
EP3688529A1 (fr) Procédé de détermination des paramètres de commande d'un processus de fabrication de dispositif
US20230280659A1 (en) Method for determining stochastic variation associated with desired pattern
WO2022128500A1 (fr) Procédé de détermination de motif de masque et d'entraînement de modèle d'apprentissage machine
US20240126183A1 (en) Method for rule-based retargeting of target pattern
TWI822578B (zh) 用於基於缺陷而判定圖案化程序之特性以減少熱點的方法
EP4042244A1 (fr) Procédé de détermination d'un réglage de champ de vision
WO2021259738A1 (fr) Systèmes, procédés et produits pour déterminer la probabilité d'impression d'une caractéristique d'assistance et son application
US20230333483A1 (en) Optimization of scanner throughput and imaging quality for a patterning process
EP3822703A1 (fr) Procédé de détermination du réglage du champ de vision

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 21786353

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 20237010549

Country of ref document: KR

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 202180066013.7

Country of ref document: CN

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 21786353

Country of ref document: EP

Kind code of ref document: A1