WO2021230185A1 - Compound, production method therefor, composition, resist film, and pattern formation method - Google Patents

Compound, production method therefor, composition, resist film, and pattern formation method Download PDF

Info

Publication number
WO2021230185A1
WO2021230185A1 PCT/JP2021/017657 JP2021017657W WO2021230185A1 WO 2021230185 A1 WO2021230185 A1 WO 2021230185A1 JP 2021017657 W JP2021017657 W JP 2021017657W WO 2021230185 A1 WO2021230185 A1 WO 2021230185A1
Authority
WO
WIPO (PCT)
Prior art keywords
group
carbon atoms
film
resist
compound
Prior art date
Application number
PCT/JP2021/017657
Other languages
French (fr)
Japanese (ja)
Inventor
宏人 工藤
隆 佐藤
禎 大松
雅敏 越後
Original Assignee
学校法人 関西大学
三菱瓦斯化学株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 学校法人 関西大学, 三菱瓦斯化学株式会社 filed Critical 学校法人 関西大学
Priority to JP2022521895A priority Critical patent/JPWO2021230185A1/ja
Publication of WO2021230185A1 publication Critical patent/WO2021230185A1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D323/00Heterocyclic compounds containing more than two oxygen atoms as the only ring hetero atoms
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G16/00Condensation polymers of aldehydes or ketones with monomers not provided for in the groups C08G4/00 - C08G14/00
    • C08G16/02Condensation polymers of aldehydes or ketones with monomers not provided for in the groups C08G4/00 - C08G14/00 of aldehydes
    • C08G16/04Chemically modified polycondensates
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L33/00Compositions of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides or nitriles thereof; Compositions of derivatives of such polymers
    • C08L33/04Homopolymers or copolymers of esters
    • C08L33/06Homopolymers or copolymers of esters of esters containing only carbon, hydrogen and oxygen, which oxygen atoms are present only as part of the carboxyl radical
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor

Definitions

  • the present invention relates to a compound and a method for producing the same, a composition, a resist film, a pattern forming method, and a lower layer film for lithography and an optical article formed by the composition.
  • microfabrication is performed by lithography using photoresist materials, but in recent years, with the increasing integration and speed of LSIs (large-scale integrated circuits), further miniaturization by pattern rules has been performed. Is required.
  • the general resist material so far is a polymer-based resist material capable of forming an amorphous thin film.
  • examples thereof include polymer-based resist materials such as polymethylmethacrylate and polyhydroxystyrene or polyalkylmethacrylate having a dissociative reactive group.
  • ultraviolet rays, far ultraviolet rays, electron beams, extreme ultraviolet rays (Extreme UltraViolet: hereinafter, appropriately referred to as "EUV" are applied to the resist thin film prepared by applying a solution of such a polymer-based resist material on the substrate.
  • EUV extreme ultraviolet rays
  • the polymer-based resist material has a large molecular weight of about 10,000 to 100,000 and has a wide molecular weight distribution. For this reason, in lithography using a polymer-based resist material, roughness occurs on the surface of a fine pattern, it becomes difficult to control the pattern size, and the yield decreases. Therefore, there is a limit to miniaturization in lithography using a conventional polymer-based resist material.
  • Various low molecular weight resist materials have been proposed to produce finer patterns.
  • Patent Documents 1 and 2 For example, an alkali-developed negative-type radiation-sensitive composition using a low molecular weight polynuclear polyphenol compound as a main component has been proposed (see, for example, Patent Documents 1 and 2). Further, as a candidate for a low molecular weight resist material having high heat resistance, an alkali-developed negative-type negative radiation-sensitive composition using a low molecular weight cyclic polyphenol compound as a main component has been proposed (for example, Patent Document 3 and non-patent). See Document 2). Further, it is known that as a base compound of a resist material, a polyphenol compound can impart high heat resistance while having a low molecular weight and is useful for improving the resolution and roughness of a resist pattern (see, for example, Non-Patent Document 3). ). Further, Patent Document 4 discloses a resist material in which calixarene is cross-linked between molecules.
  • the reaction mechanism of lithography using electron beam or extreme ultraviolet (EUV) is different from that of ordinary optical lithography.
  • EUV extreme ultraviolet
  • the goal is to form a fine pattern of several tens of nm.
  • a resist material having higher sensitivity to the exposure light source is required.
  • EUV lithography it is necessary to increase the sensitivity of the resist composition in terms of throughput.
  • an inorganic resist material having titanium, hafnium or zirconium has been proposed (see, for example, Patent Documents 5 and 6).
  • the inorganic resist material has low sensitivity, and it is required to further improve the resolution in terms of resolution. Further, in an optical article, a composition having high sensitivity and high resolution is desired. In view of such circumstances, it is an object of the present invention to provide a compound and a composition that provide a resist material having high sensitivity and high resolution.
  • the present invention is as follows.
  • [1] A compound having a polyphenol moiety, wherein the hydroxyl group of the polyphenol is intramolecularly crosslinked with a group containing a dissociative bond that dissociates under acid or alkaline conditions.
  • [2] The compound according to [1], wherein the polyphenol is calixarene.
  • [3] The compound according to [1] or [2], which is a compound represented by the formula (P-0C) or (P-1C) described later.
  • Pattern forming method including. [20] A curable composition containing the compound according to any one of [1] to [12] or a derivative thereof. [21] The curable composition according to [20], further containing a silicon-containing compound. [22] The curable composition according to [21], wherein the silicon-containing compound is a hydrolyzable organosilane, a hydrolyzate thereof, or a hydrolyzed condensate thereof.
  • Purification method including.
  • the acidic aqueous solution is one or more mineral acid aqueous solutions selected from the group consisting of hydrochloric acid, sulfuric acid, nitric acid and phosphoric acid; or acetic acid, propionic acid, oxalic acid, malonic acid, succinic acid, fumaric acid, maleic acid and tartrate acid.
  • the organic solvent that is not miscible with water is one or more organic solvents selected from the group consisting of toluene, 2-heptanone, cyclohexanone, cyclopentanone, methyl isobutyl ketone, propylene glycol monomethyl ether acetate and ethyl acetate []. 27] or [28].
  • the present embodiment is an example for explaining the present invention, and the present invention is not limited to the present embodiment.
  • the hydroxyl group of the polyphenol is intramolecularly crosslinked with a group containing a dissociative bond that dissociates under acid or alkaline conditions. That is, the compound has a group having a structure of —AO— that crosslinks two hydroxyl groups with each other in one embodiment.
  • A is a divalent organic group that dissociates under acid or alkaline conditions.
  • the compound has three or more hydroxyl groups intramolecularly crosslinked.
  • the dissociative bond that dissociates under acid or alkaline conditions is not limited as long as it is a bond that dissociates under the conditions, and examples thereof include ester bonds and amide bonds.
  • Polyphenol is a compound having two or more phenolic hydroxyl groups, preferably calixarene.
  • calixarene refers to a cyclic compound obtained by a condensation reaction between a phenolic compound and an aldehyde.
  • the compound according to this embodiment is preferably represented by the following formula (P-0C).
  • L 1 ⁇ L 4 L 1 ⁇ L 4 For each independently a single bond, a straight-chain alkylene group of having 1 to 20 carbon atoms have a substituent, substituted A branched alkylene group having 3 to 20 carbon atoms, a cycloalkylene group having 3 to 20 carbon atoms which may have a substituent, and an arylene group having 6 to 24 carbon atoms which may have a substituent may be used.
  • linear alkylene group an alkylene group having 1 to 4 carbon atoms is preferable.
  • branched alkylene group examples include an alkylene group having 3 to 6 carbon atoms.
  • cycloalkylene group a cycloalkylene group having 5 to 7 carbon atoms is preferable.
  • arylene group examples include a phenylene group and a naphthylene group.
  • R 2 is an alkylene group having 1 to 10 carbon atoms, preferably an alkylene group having 1 to 4 carbon atoms, more preferably a methylene group.
  • R 20 is an alkyl group having 1 to 10 carbon atoms which may have a hydrogen atom or a substituent, and is preferably a hydrogen atom or a methyl group.
  • R 16 to R 19 are involved in the intramolecular cross-linking as a divalent group.
  • R 2 is preferably an alkylene group having 1 to 4 carbon atoms, and more preferably a methylene group.
  • R 16 to R 19 may be divalent groups independently derived from the groups selected from the above.
  • Examples of the alkyl group include an alkyl group having 1 to 4 carbon atoms, and more preferably a t-butyl group.
  • a cycloalkyl group having 5 to 7 carbon atoms is preferable.
  • the aryl group include a phenyl group and a naphthyl group.
  • the alkoxyl group include an alkoxyl group having 1 to 4 carbon atoms.
  • heterocyclic group examples include a heterocyclic group having 4 to 20 carbon atoms and containing O, S, or N as a heteroatom, preferably a furanyl group, a thiophenyl group, an imidazolyl group, a pyrrolyl group, and pyridyl.
  • the group etc. can be mentioned.
  • alkylsilyl group examples include an alkylsilyl group having 1 to 20 carbon atoms.
  • halogen atom examples include F, Cl, Br, and I, but F or Cl is preferable.
  • substituted methyl group having 2 to 20 carbon atoms having the property of being dissociated by an acid a substituted methyl group having 4 to 18 carbon atoms is preferable, and a substituted methyl group having 6 to 16 carbon atoms is more preferable.
  • Specific examples of the substituted methyl group include, but are not limited to, a methoxymethyl group, a methylthiomethyl group, an ethoxymethyl group, an n-propoxymethyl group, an isopropoxymethyl group, an n-butoxymethyl group, and a t-butoxymethyl group.
  • R 2A is an alkyl group having 1 to 4 carbon atoms.
  • R 2A examples include, but are not limited to, a methyl group, an ethyl group, an isopropyl group, an n-propyl group, a t-butyl group, an n-butyl group and the like.
  • the 1-substituted ethyl group having 3 to 20 carbon atoms having the property of being dissociated by an acid a 1-substituted ethyl group having 5 to 18 carbon atoms is preferable, and a substituted ethyl group having 7 to 16 carbon atoms is more preferable.
  • 1-substituted ethyl group examples include, but are not limited to, 1-methoxyethyl group, 1-methylthioethyl group, 1,1-dimethoxyethyl group, 1-ethoxyethyl group, 1-ethylthioethyl group, 1,1-diethoxyethyl group, n-propoxyethyl group, isopropoxyethyl group, n-butoxyethyl group, t-butoxyethyl group, 2-methylpropoxyethyl group, 1-phenoxyethyl group, 1-phenylthioethyl Group, 1,1-diphenoxyethyl group, 1-cyclopentyloxyethyl group, 1-cyclohexyloxyethyl group, 1-phenylethyl group, 1,1-diphenylethyl group, and substitution represented by the following formula (2).
  • the base group and the like can be mentioned.
  • R 2A is
  • the 1-substituted-n-propyl group having 4 to 20 carbon atoms which has the property of being dissociated by an acid the 1-substituted-n-propyl group having 6 to 18 carbon atoms is preferable, and the 1-substituted-n-propyl group having 8 to 16 carbon atoms is preferable.
  • the -n-propyl group is more preferred.
  • Specific examples of the 1-substituted-n-propyl group include, but are not limited to, 1-methoxy-n-propyl group and 1-ethoxy-n-propyl group.
  • the 1-branched alkyl group having 3 to 20 carbon atoms which has the property of being dissociated by an acid a 1-branched alkyl group having 5 to 18 carbon atoms is preferable, and a branched alkyl group having 7 to 16 carbon atoms is more preferable.
  • Specific examples of the 1-branched alkyl group are not limited to the following, but are limited to an isopropyl group, a sec-butyl group, a t-butyl group, a 1,1-dimethylpropyl group, a 1-methylbutyl group and a 1,1-dimethylbutyl group. , 2-Methyl adamantyl group, 2-ethyl adamantyl group and the like.
  • silyl group having 1 to 20 carbon atoms having the property of being dissociated by an acid a silyl group having 3 to 18 carbon atoms is preferable, and a silyl group having 5 to 16 carbon atoms is more preferable.
  • Specific examples of the silyl group include, but are not limited to, a trimethylsilyl group, an ethyldimethylsilyl group, a methyldiethylsilyl group, a triethylsilyl group, a t-butyldimethylsilyl group, a t-butyldiethylsilyl group, and a t-butyldiphenylsilyl. Examples include a group, a tri-t-butylsilyl group, a triphenylsilyl group and the like.
  • an acyl group having 2 to 20 carbon atoms having the property of being dissociated by an acid an acyl group having 4 to 18 carbon atoms is preferable, and an acyl group having 6 to 16 carbon atoms is more preferable.
  • Specific examples of the acyl group include, but are not limited to, an acetyl group, a phenoxyacetyl group, a propionyl group, a butyryl group, a heptanoyle group, a hexanoyl group, a valeryl group, a pivaloyl group, an isovaleryl group, a laurylloyl group, an adamantyl carbonyl group and a benzoyl group.
  • Examples include groups and naphthoyl groups.
  • a 1-substituted alkoxyalkyl group having 2 to 20 carbon atoms which has the property of being dissociated by an acid a 1-substituted alkoxymethyl group having 2 to 20 carbon atoms is preferable, and a 1-substituted alkoxymethyl group having 4 to 18 carbon atoms is preferable. More preferably, a 1-substituted alkoxymethyl group having 6 to 16 carbon atoms is further preferable.
  • 1-substituted alkoxymethyl group are not limited to the following, but are limited to 1-cyclopentylmethoxymethyl group, 1-cyclopentylethoxymethyl group, 1-cyclohexylmethoxymethyl group, 1-cyclohexylethoxymethyl group and 1-cyclooctyl. Examples thereof include a methoxymethyl group and a 1-adamantyl methoxymethyl group.
  • cyclic ether group having 2 to 20 carbon atoms which has the property of being dissociated by an acid a cyclic ether group having 4 to 18 carbon atoms is preferable, and a cyclic ether group having 6 to 16 carbon atoms is more preferable.
  • Specific examples of the cyclic ether group include, but are not limited to, a tetrahydropyranyl group, a tetrahydropyranyl group, a tetrahydrothiopyranyl group, a tetrahydrothiofuranyl group, a 4-methoxytetrahydropyranyl group and a 4-methoxytetrahydrothiopyrani. Lu groups and the like can be mentioned.
  • alkoxycarbonyl group having 2 to 20 carbon atoms which has the property of being dissociated by an acid an alkoxycarbonyl group having 4 to 18 carbon atoms is preferable, and an alkoxycarbonyl group having 6 to 16 carbon atoms is more preferable.
  • alkoxycarbonylalkyl group having the property of being dissociated by an acid an alkoxycarbonylalkyl group having 3 to 20 carbon atoms is preferable, an alkoxycarbonylalkyl group having 4 to 18 carbon atoms is more preferable, and an alkoxycarbonylalkyl group having 6 to 16 carbon atoms is more preferable. Groups are even more preferred.
  • R 3A is a hydrogen atom or a linear or branched alkyl group having 1 to 4 carbon atoms, and n is an integer of 0 to 4.
  • m 7 to 10 represent the number of these groups, respectively, and are independently integers of 1 to 4. If m 7 to 10 is large, the compound may become unstable, so m 7 to 10 is preferably 1 to 2, more preferably 1.
  • At least two of the L 1 R 16 to L 4 R 19 groups are ether-bonded to the benzene ring and form an intramolecular cross-linking group.
  • two of the L 1 R 16 to L 4 R 19 groups form an intramolecular cross-linking group represented by the formula (C-0).
  • A is a divalent group derived from R 16 to R 19.
  • A is derived from the above-mentioned alkyl group; cycloalkyl group; aryl group; alkoxyl group; heterocyclic group; carboxyl group; alkylsilyl group having 1 to 20 carbon atoms; a group having a property of being dissociated by an acid 2 It is the basis of the price.
  • A is preferably an alkylene group having 4 to 10 carbon atoms. Therefore, two of the L 1 R 16 to L 4 R 19 groups more preferably form an intramolecular cross-linking group represented by the formula (C-0A).
  • R 12 ⁇ R 15 for R 12 ⁇ R 15 are independently hydrogen atom, an alkyl group having 1 to 20 carbon atoms, or aryl of the formula (P-0C-1) 6 to 24 carbon atoms represented by A group or a group derived from these.
  • the alkyl group having 1 to 20 carbon atoms may be mentioned those described in R 16 ⁇ R 19.
  • R 12 to R 15 are preferably hydrogen atoms.
  • R 21 is selected from the following. Alkyl group having 1 to 20 carbon atoms which may have a substituent; A cycloalkyl group having 3 to 20 carbon atoms which may have a substituent; An aryl group having 6 to 20 carbon atoms which may have a substituent; An alkoxy group having 1 to 20 carbon atoms, which may have a substituent, Cyano group; Nitro group; Heterocyclic group; Halogen atom; Carboxyl group; Alkylsilyl group with 1 to 20 carbon atoms; Substituent methyl group having 2 to 20 carbon atoms, 1-substituted ethyl group having 3 to 20 carbon atoms, 1-substituted-n-propyl group having 4 to 20 carbon atoms, and 3 to 20 carbon atoms having the property of being dissociated by an acid.
  • 1-branched alkyl group silyl group having 1 to 20 carbon atoms, acyl group having 2 to 20 carbon atoms, 1-substituted alkoxyalkyl group having 2 to 20 carbon atoms, cyclic ether group having 2 to 20 carbon atoms, carbon number of carbon atoms.
  • p 7 indicates the number of R 21 and is an independent integer of 0 to 5. p 7 is 0 to 1, more preferably preferably 0.
  • the compound of this embodiment is preferably represented by the formula (P-0A).
  • RA is a linear alkyl group having 1 to 10 carbon atoms or a branched alkyl group having 3 to 10 carbon atoms, and is preferably a t-butyl group.
  • R 12 to R 15 are hydrogen atoms
  • m 7 to 10 are 2.
  • RA for example, a linear alkylene group in which L 1 has 1 to 10 carbon atoms or a branched alkylene group having 3 to 10 carbon atoms, R 16 is a hydrogen atom
  • two or more groups of D 1 to D 4 are intramolecular cross-linking groups that are ether-bonded to the benzene ring, and groups of D 1 to D 4 that are not involved in the cross-linking are OH groups. Above all, it is preferable that D 1 and D 4 or D 2 and D 3 form an intramolecular cross-linking group.
  • the intramolecular crosslinking group is represented by, for example, the above-mentioned formula (C-0) or formula (C-0A).
  • the compound of this embodiment is more preferably represented by the formula (P-0B).
  • the compound of this embodiment is particularly preferably represented by the formula (M-0).
  • X 0 is a group represented by the formula (X-0).
  • A is defined as described above.
  • the compound of this embodiment is particularly preferably represented by the formula (M-0A).
  • X 0 is a group represented by the formula (X-0A).
  • substitution means that one or more hydrogen atoms in a functional group are substituted with a substituent unless otherwise defined.
  • the "substituted group” is not particularly limited, but for example, a halogen atom, a hydroxyl group, a cyano group, a nitro group, an amino group, a thiol group, a heterocyclic group, a linear aliphatic hydrocarbon group having 1 to 20 carbon atoms, and the like.
  • Branched aliphatic hydrocarbon group having 3 to 20 carbon atoms branched aliphatic hydrocarbon group having 3 to 20 carbon atoms, cyclic aliphatic hydrocarbon group having 3 to 20 carbon atoms, aryl group having 6 to 20 carbon atoms, alkoxyl group having 1 to 20 carbon atoms, 0 to 20 carbon atoms.
  • the compound according to this embodiment is preferably represented by the following formula (P-1C).
  • L 5 ⁇ L 12 L 5 ⁇ L 12 For each independently a single bond, a straight-chain alkylene group of having 1 to 20 carbon atoms have a substituent, substituted A branched alkylene group having 3 to 20 carbon atoms, a cycloalkylene group having 3 to 20 carbon atoms which may have a substituent, and an arylene group having 6 to 24 carbon atoms which may have a substituent may be used.
  • linear alkylene group examples include those described by the formula (P-0C). Is as described in Formula (P-0C) also R 2 and R 20.
  • R 30 to R 37 are involved in the intramolecular cross-linking as a divalent group.
  • R 2 is as described in Formula (P-0C).
  • R 30 ⁇ R 30 ⁇ R 37 for R 37 when not involved in intramolecular bridge, independently, a group selected from the following.
  • R 30 to R 37 may be divalent groups independently derived from the groups selected from the above.
  • Examples of the alkyl group, the cycloalkyl group, the aryl group, and the alkoxyl include those described by the formula (P-0C).
  • Examples of the heterocyclic group, the alkylsilyl group, the halogen atom, and the group having the property of being dissociated by the acid include those described by the formula (P-0C).
  • m 11 to 18 represent the number of these groups, respectively, and are independently integers of 1 to 4. If m 11 to 18 is large, the compound may become unstable, so m 11 to 18 is preferably 1 to 2, more preferably 1.
  • At least two of the L 5 R 30 to L 12 R 37 groups are ether-bonded to the benzene ring and form an intramolecular cross-linking group.
  • two of the L 5 R 30 to L 12 R 37 groups form an intramolecular cross-linking group represented by the above formula (C-0).
  • a in the formula (C-0) is a divalent group derived from R 30 to R 37.
  • the specific A is also as described above.
  • Two of R 30 to R 37 preferably form an intramolecular cross-linking group represented by the formula (C-1A).
  • R 22 ⁇ R 29 for R 22 ⁇ R 29 are independently hydrogen atom, an alkyl group having 1 to 20 carbon atoms, or aryl of the formula (P-0C-1) 6 to 24 carbon atoms represented by A group or a group derived from these.
  • the alkyl group having 1 to 20 carbon atoms may be mentioned those described in R 16 ⁇ R 19.
  • R 22 to R 29 are preferably hydrogen atoms.
  • the compound of this embodiment is preferably represented by the formula (P-1A).
  • R B is a linear alkyl group or branched alkyl group having 3 to 10 carbon atoms having 1 to 10 carbon atoms, preferably a t- butyl group.
  • R 22 to R 29 are hydrogen atoms
  • m 11 to 18 are 2.
  • one R B e.g. which L 5 linear alkylene group or branched alkylene group having 3 to 10 carbon atoms having 1 to 10 carbon atoms, R 30 is a hydrogen atom
  • D is e.g. which L 5 linear alkylene group or branched alkylene group having 3 to 10 carbon atoms having 1 to 10 carbon atoms, R 30 is a hydrogen atom
  • two or more groups of D 5 to D 12 are intramolecular cross-linking groups that are ether-bonded to the benzene ring, and groups of D 5 to D 12 that are not involved in the cross-linking are OH groups. Above all, it is preferable that D 5 and D 11 or D 9 and D 13 form an intramolecular cross-linking group.
  • the intramolecular cross-linking group is, for example, as described above.
  • the compound of this embodiment is more preferably represented by the formula (P-1B).
  • the compound of this embodiment is particularly preferably represented by the formula (M-1).
  • X 0 is a group represented by the formula (X-1).
  • A is a divalent group derived from R 30 to R 37.
  • the compound of this embodiment is particularly preferably represented by the formula (M-1A).
  • X 1 is a group represented by the formula (X-1A).
  • Method for producing compounds In the method for producing a compound according to the present embodiment, a polyphenol is reacted with a cross-linking agent containing a dissociative bond that dissociates under acidic or alkaline conditions, and two or more hydroxyl groups of the polyphenol are intramolecularly generated by the compound. A step of cross-linking is provided.
  • the above-mentioned polyphenols can be used.
  • the cross-linking agent include compounds having an ester bond or an amide bond.
  • the cross-linking agent is preferably represented by the formula (C-hal), more preferably the formula (C-0hal) or the formula (C-1hal).
  • A is defined as described above.
  • X is a halogen atom, preferably F, Cl, or Br, and more preferably Br.
  • the reaction temperature and time are appropriately determined from the viewpoint of reaction rate and reduction of by-products, but can be carried out at, for example, about -10 to 30 ° C.
  • the solvent is not limited, but halogenated hydrocarbons and the like can be used.
  • a basic compound may be used in combination to trap the generated hydrogen halide. Examples of the compound include amines such as pyridine.
  • the compound according to this embodiment is suitable as a material for lithography.
  • the lithography material is a material that can be used in lithography technology, and is not particularly limited as long as it contains the compound according to the present embodiment, and can be used for resist applications (that is, resist compositions) and the like.
  • the compound according to this embodiment is a curable composition.
  • the curable composition can be, for example, a composition for forming an underlayer film for lithography, a composition for forming an optical article, and the like, but is not limited thereto.
  • the curable composition may be either radiation-curable or thermosetting, but is more preferably radiation-curable.
  • the composition according to the present embodiment is produced through the steps of preparing the compound according to the above-mentioned present embodiment. For example, it can be produced by mixing the compound according to the present embodiment with other components such as a solvent by a known method.
  • the material composition for lithography according to the present embodiment contains the material for lithography according to the present embodiment and a solvent. Since the material composition for lithography has high sensitivity and high resolution, a good resist pattern can be formed. Further, since the molecule of the compound according to the present embodiment has an appropriate diffusion rate, it exhibits high resolution while maintaining high sensitivity. Further, since the compound has an appropriate molecular weight, it is difficult to volatilize, and the film loss during curing is relatively small, so that the composition can form a highly flat film.
  • the lithography material of the present embodiment can be used for resist applications as described above, and an amorphous film can be formed by a known method such as spin coating. Further, depending on the type of developer used, either a positive resist pattern or a negative resist pattern can be produced separately.
  • the composition for forming a resist film will be described.
  • the dissolution rate of the amorphous film formed by spin-coating the composition in a developing solution at 23 ° C. is preferably 5 ⁇ / sec or less, and 0. 05 to 5 ⁇ / sec is more preferable, and 0.0005 to 5 ⁇ / sec is even more preferable.
  • the dissolution rate is 5 ⁇ / sec or less, a resist insoluble in a developing solution can be obtained. Further, when the dissolution rate is 0.0005 ⁇ / sec or more, the resolution may be improved.
  • the dissolution rate of the amorphous film formed by spin-coating the composition in a developing solution at 23 ° C. is preferably 10 ⁇ / sec or more. ..
  • the dissolution rate is 10 ⁇ / sec or more, it is easily dissolved in a developing solution and is more suitable for a resist. Further, if the dissolution rate is 10 ⁇ / sec or more, the resolution may be improved. It is presumed that this is because the micro surface portion of the compound according to the present embodiment is dissolved and the line edge roughness is reduced. It also has the effect of reducing defects.
  • the dissolution rate can be determined by immersing the amorphous film in a developing solution at 23 ° C. and measuring the film thickness before and after the immersion by a known method such as visual inspection, ellipsometer or QCM method.
  • the resist film-forming composition of the present embodiment has a positive resist pattern
  • a portion of the amorphous film formed by spin-coating the composition exposed to radiation such as KrF excimer laser, extreme ultraviolet rays, electron beam or X-ray.
  • the dissolution rate of the above in a developing solution at 23 ° C. is preferably 10 ⁇ / sec or more.
  • the dissolution rate is 10 ⁇ / sec or more, it is easily dissolved in a developing solution and is more suitable for a resist.
  • the dissolution rate is 10 ⁇ / sec or more, the resolution may be improved. It is presumed that this is because the micro surface portion of the compound according to the present embodiment is dissolved and the line edge roughness is reduced. It also has the effect of reducing defects.
  • the resist film-forming composition of the present embodiment is a negative resist pattern
  • a portion of the amorphous film formed by spin-coating the composition exposed to radiation such as KrF excimer laser, extreme ultraviolet rays, electron beams or X-rays.
  • the dissolution rate of the above in a developing solution at 23 ° C. is preferably 5 ⁇ / sec or less, more preferably 0.05 to 5 ⁇ / sec, still more preferably 0.0005 to 5 ⁇ / sec.
  • the dissolution rate is 5 ⁇ / sec or less, a resist insoluble in a developing solution can be obtained. Further, when the dissolution rate is 0.0005 ⁇ / sec or more, the resolution may be improved.
  • the resist film forming composition of the present embodiment contains the compound according to the present embodiment as a solid component.
  • the composition for forming a resist film of the present embodiment further contains a solvent in addition to the compound according to the present embodiment.
  • the solvent used in the composition for forming a resist film of the present embodiment is not particularly limited, but for example, ethylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, ethylene glycol mono-n-propyl ether acetate, ethylene glycol mono.
  • Ethethylene glycol monoalkyl ether acetates such as -n-butyl ether acetate; ethylene glycol monoalkyl ethers such as ethylene glycol monomethyl ether and ethylene glycol monoethyl ether; propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate (PGMEA), Propropylene glycol monoalkyl ether acetates such as propylene glycol mono-n-propyl ether acetate and propylene glycol mono-n-butyl ether acetate; propylene glycol monoalkyl ethers such as propylene glycol monomethyl ether (PGME) and propylene glycol monoethyl ether; Lactic acid esters such as methyl lactate, ethyl lactate, n-propyl lactate, n-butyl lactate, n-amyl lactate; methyl acetate, ethyl acetate,
  • Ketones such as N, N-dimethylformamide, N-methylacetamide, N, N-dimethylacetamide, N-methylpyrrolidone; lactones such as ⁇ -lactone and the like can be mentioned. These solvents can be used alone or in combination of two or more.
  • the solvent used in the composition for forming a resist film of the present embodiment is preferably a safe solvent, and more preferably an ester such as PGMEA, butyl acetate, ethyl propionate, and ethyl lactate; a polyvalent value such as PGME.
  • Alcohol ether at least one selected from aprotic polar solvents such as CHN, CPN, 2-heptanone, anisole, and more preferably at least one selected from PGMEA, PGME and CHN.
  • the relationship between the amount of the solid component and the amount of the solvent is not particularly limited, but the solid component is 1 to 80% by mass with respect to 100% by mass of the total mass of the solid component and the solvent. % And 20 to 99% by mass of the solvent, more preferably 1 to 50% by mass of the solid component and 50 to 99% by mass of the solvent, still more preferably 2 to 40% by mass of the solid component and 60 to 98% by mass of the solvent. Yes, particularly preferably 2 to 10% by mass of the solid component and 90 to 98% by mass of the solvent.
  • composition for forming a resist film of the present embodiment comprises a group consisting of an acid generator (C), an acid cross-linking agent (G), an acid diffusion control agent (E) and other components (F) as other solid components. It may contain at least one selected.
  • the content of the compound according to the present embodiment is not particularly limited, but the total mass of the solid component (compound according to the present embodiment, acid generator (C), acid cross-linking).
  • the total amount of solid components arbitrarily used such as the agent (G), the acid diffusion control agent (E) and the other component (F), the same applies hereinafter) is preferably 50 to 99.4% by mass, more preferably. Is 55 to 90% by mass, more preferably 60 to 80% by mass, and particularly preferably 60 to 70% by mass.
  • the resolution is further improved and the line edge roughness (LER) is further reduced.
  • composition for forming a resist film of the present embodiment is directly or indirectly irradiated with any radiation selected from visible light, ultraviolet light, excimer laser, electron beam, extreme ultraviolet (EUV), X-ray and ion beam. It is preferable to contain at least one acid generator (C) that generates an acid.
  • the content of the acid generator (C) is preferably 0.001 to 49% by mass, more preferably 1 to 40% by mass, based on the total mass of the solid components. 3 to 30% by mass is more preferable, and 10 to 25% by mass is particularly preferable.
  • the method of generating the acid is not limited. Finer processing is possible by using an excimer laser instead of ultraviolet rays such as g-rays and i-rays, and further fine processing is possible by using electron beams, extreme ultraviolet rays, X-rays, and ion beams as high-energy rays. Is possible.
  • the acid generator (C) is not particularly limited, and examples thereof include compounds disclosed in International Publication No. 2017/033943.
  • an acid generator having an aromatic ring is preferable, an acid generator having a sulfonic acid ion having an aryl group is more preferable, and diphenyltrimethylphenylsulfonium p-toluenesulfonate and triphenylsulfonium p-toluene are more preferable.
  • Sulfonate, triphenylsulfonium trifluoromethanesulfonate, triphenylsulfonium nonafluoromethanesulfonate are particularly preferable.
  • the resist film forming composition of the present embodiment further contains a diazonaphthoquinone photoactive compound as an acid generator.
  • the diazonaphthoquinone photoactive compound is a diazonaphthoquinone substance containing a polymeric and non-polymeric diazonaphthoquinone photoactive compound, and is particularly limited as long as it is generally used as a photosensitive component in a positive resist composition. However, one type or two or more types can be arbitrarily selected and used.
  • a non-polymeric diazonaphthoquinone photoactive compound is preferable, a low molecular weight compound having a molecular weight of 1500 or less is more preferable, and a molecular weight of 1200 or less is particularly preferable, and a molecular weight is particularly preferable. It is 1000 or less.
  • Preferred specific examples of such a non-polymeric diazonaphthoquinone photoactive compound include the non-polymeric diazonaphthoquinone photoactive compound disclosed in International Publication No. 2016/158881.
  • the acid generator (C) may be used alone or in combination of two or more.
  • the resist film forming composition of the present embodiment contains one or more acid cross-linking agents (G) when used as a negative resist material or as an additive for increasing the strength of a pattern even in a positive resist material. It is preferable to include it.
  • the acid cross-linking agent (G) is a compound capable of intramolecularly or intermolecularly cross-linking the compound according to the present embodiment in the presence of the acid generated from the acid generator (C).
  • Such an acid cross-linking agent (G) is not particularly limited, and examples thereof include compounds having one or more cross-linking groups capable of cross-linking the compound according to the present embodiment.
  • crosslinkable group examples are not particularly limited, but are, for example, (i) -R-OH (where R is an alkylene group having 1 to 6 carbon atoms) and -R-OR'(here).
  • R is an alkylene group having 1 to 6 carbon atoms
  • R' is an alkyl group having 1 to 6 carbon atoms
  • -R-OCOMe where R is an alkylene group having 1 to 6 carbon atoms
  • a carbonyl group such as (ii) formyl group, -R-COOH (where R is an alkylene group having 1 to 6 carbon atoms) or a group derived from them; (iii) dimethylamino.
  • Nitrogen-containing group-containing group such as methyl group, diethylaminomethyl group, dimethylolaminomethyl group, dietylolaminomethyl group, morpholinomethyl group;
  • glycidyl group-containing group such as glycidyl ether group, glycidyl ester group and glycidylamino group.
  • Allyloxy having 1 to 6 carbon atoms such as benzyloxymethyl group and benzoyloxymethyl group, and aralkyloxy having 1 to 6 carbon atoms (alkyl having 1 to 6 carbon atoms).
  • Groups derived from aromatic groups such as (group);
  • polymerizable multiple bond-containing groups such as vinyl groups and isopropenyl groups can be mentioned.
  • the acid cross-linking agent (G) having a cross-linking group is not particularly limited.
  • Methylol group-containing compounds such as group-containing phenol compounds; (ii) alkoxyalkyl group-containing melamine compounds, alkoxyalkyl group-containing benzoguanamine compounds, alkoxyalkyl group-containing urea compounds, alkoxyalkyl group-containing glycol uryl compounds, alkoxyalkyl group-containing phenol compounds, etc.
  • Alkoxyalkyl group-containing compounds (iii) Carboxymethyl groups such as carboxymethyl group-containing melamine compounds, carboxymethyl group-containing benzoguanamine compounds, carboxymethyl group-containing urea compounds, carboxymethyl group-containing glycol uryl compounds, and carboxymethyl group-containing phenol compounds. Containing compounds; (iv) bisphenol A-based epoxy compounds, bisphenol F-based epoxy compounds, bisphenol S-based epoxy compounds, novolak resin-based epoxy compounds, resole resin-based epoxy compounds, poly (hydroxystyrene) -based epoxy compounds and other epoxy compounds. Can be mentioned.
  • the acid cross-linking agent (G) a compound having a phenolic hydroxyl group and a compound and a resin obtained by introducing the cross-linking group into an acidic functional group in an alkali-soluble resin and imparting cross-linking property can be used. ..
  • the introduction rate of the crosslinkable group is not particularly limited, and is, for example, 5 to 100 mol%, preferably 10 to 60, based on the total acidic functional group in the compound having a phenolic hydroxyl group and the alkali-soluble resin. It is adjusted to mol%, more preferably 15-40 mol%. Within the above range, a cross-linking reaction occurs sufficiently, and a decrease in the residual film ratio, a pattern swelling phenomenon, meandering, and the like can be avoided, which is preferable.
  • the acid cross-linking agent (G) is an alkoxyalkylated urea compound or a resin thereof, or an alkoxyalkylated glycol uryl compound or a resin thereof (acid cross-linking agent (G1)) in the molecule.
  • a phenol derivative (acid) having 1 to 6 benzene rings, 2 or more hydroxyalkyl groups or alkoxyalkyl groups in the entire molecule, and the hydroxyalkyl group or alkoxyalkyl group bonded to any of the benzene rings.
  • a cross-linking agent (G2)), a compound having at least one ⁇ -hydroxyisopropyl group (acid cross-linking agent (G3)) is preferable.
  • the compounds disclosed in International Publication No. 2017/033943 may be mentioned.
  • the content of the acid cross-linking agent (G) is preferably 0.5 to 49% by mass, more preferably 0.5 to 40% by mass, based on the total mass of the solid components. 1 to 30% by mass is more preferable, and 2 to 20% by mass is particularly preferable.
  • the content ratio of the acid cross-linking agent (G) is 0.5% by mass or more, the effect of suppressing the solubility of the resist film in the alkaline developer is improved, the residual film ratio is lowered, and the pattern is swollen or tortuous. It is preferable because it can suppress the occurrence, and on the other hand, when it is 49% by mass or less, it is preferable because the decrease in heat resistance as a resist can be suppressed.
  • the content of at least one compound selected from the acid cross-linking agent (G1), the acid cross-linking agent (G2), and the acid cross-linking agent (G3) in the acid cross-linking agent (G) is not particularly limited.
  • the range can be various depending on the type of the substrate used when forming the resist pattern and the like.
  • the resist film-forming composition of the present embodiment is an acid having an action of controlling diffusion of an acid generated from an acid generator by irradiation in the resist film and preventing an unfavorable chemical reaction in an unexposed region.
  • a diffusion control agent (E) may be contained.
  • Such an acid diffusion control agent (E) is not particularly limited, and examples thereof include radiolytic basic compounds such as nitrogen atom-containing basic compounds, basic sulfonium compounds, and basic iodonium compounds.
  • radiolytic basic compounds such as nitrogen atom-containing basic compounds, basic sulfonium compounds, and basic iodonium compounds.
  • Examples of the acid diffusion control agent (E) include compounds disclosed in International Publication No. 2017/033943.
  • the acid diffusion control agent (E) may be used alone or in combination of two or more.
  • the content of the acid diffusion control agent (E) is preferably 0.001 to 49% by mass, more preferably 0.01 to 10% by mass, still more preferably 0.01 to 5% by mass, based on the total mass of the solid component. 0.01 to 3% by mass is particularly preferable.
  • the content of the acid diffusion control agent (E) is within the above range, deterioration of resolution, pattern shape, dimensional fidelity and the like can be further suppressed. Further, even if the leaving time from the electron beam irradiation to the heating after the irradiation is long, the shape of the upper layer portion of the pattern does not deteriorate.
  • the content of the acid diffusion control agent (E) is 10% by mass or less, it is possible to prevent deterioration of sensitivity, developability of the unexposed portion and the like. Further, by using such an acid diffusion control agent, the storage stability of the resist film forming composition is improved, the resolution is improved, and the retention time before irradiation and the retention time after irradiation are improved. It is possible to suppress the change in the line width of the resist pattern due to the fluctuation of the resist pattern, and the process stability is extremely excellent.
  • the composition for forming a resist film of the present embodiment contains a dissolution accelerator, a dissolution control agent, a sensitizer, and a surfactant as other components (F), if necessary, as long as the object of the present embodiment is not impaired.
  • a dissolution accelerator such as an activator and an organic carboxylic acid or an oxo acid of phosphorus or a derivative thereof can be added.
  • the other component (F) include compounds disclosed in International Publication No. 2017/033943.
  • the total content of the other component (F) is preferably 0 to 49% by mass, more preferably 0 to 5% by mass, further preferably 0 to 1% by mass, and particularly preferably 0% by mass of the total mass of the solid component. ..
  • the content of the compound according to the present embodiment, the acid generator (C), the acid diffusion control agent (E), and other components (F) is the mass% based on the solid matter, preferably 50 to 99.4 / 0.001 to 49 / 0.001. ⁇ 49/0 to 49, more preferably 55 to 90/1 to 40/0.01 to 10/0 to 5, still more preferably 60 to 80/3 to 30/0.01 to 5/0 to 1, in particular. It is preferably 60 to 70/10 to 25/0.01 to 3/0.
  • the content ratio of each component is selected from each range so that the total is 100% by mass. With the above content ratio, the performance such as sensitivity, resolution, and developability is further excellent.
  • the method for preparing the resist film-forming composition of the present embodiment is not particularly limited, and for example, each component is dissolved in a solvent at the time of use to form a uniform solution, and then, if necessary, for example, a pore size of about 0.2 ⁇ m. Examples thereof include a method of filtering with a filter or the like.
  • the resist film forming composition of the present embodiment may contain a resin as long as the object of the present invention is not impaired.
  • the resin is not particularly limited, and is, for example, a novolak resin, polyvinylphenols, polyacrylic acid, polyvinyl alcohol, styrene-maleic anhydride resin, and a polymer containing acrylic acid, vinyl alcohol, or vinylphenol as a monomer unit. Alternatively, these derivatives and the like can be mentioned.
  • the content of the resin is not particularly limited and is appropriately adjusted according to the type of the compound according to the present embodiment to be used, but is preferably 30 parts by mass or less, more preferably 10 parts by mass, per 100 parts by mass of the compound. Parts or less, more preferably 5 parts by mass or less, and particularly preferably 0 parts by mass.
  • a pattern formation method When a pattern is formed on a substrate using a lithography material, for example, a lithography material according to the present embodiment and a composition containing the same (hereinafter, these may be collectively referred to as "lithographic material or the like").
  • a pattern forming method including a film forming step of forming a film on a substrate, an exposure step of exposing the film, and a developing step of developing the exposed film in the exposure step to form a pattern is used. be able to.
  • the method for forming the pattern is not particularly limited, and as a suitable method, a resist film containing the above-mentioned lithography material or the like is used.
  • a film forming step of applying the forming composition onto a substrate to form a film (resist film), an exposure step of exposing the formed film (resist film), and a film (resist film) exposed in the exposure step. ) Is developed to form a pattern (resist pattern).
  • the resist pattern of this embodiment can also be formed as an upper resist in a multilayer process.
  • the method for forming a specific resist pattern is not particularly limited, and examples thereof include the following methods.
  • a resist film is formed by applying the composition on a conventionally known substrate by a coating means such as rotary coating, cast coating, and roll coating.
  • the conventionally known substrate is not particularly limited, and examples thereof include a substrate for electronic components and a substrate on which a predetermined wiring pattern is formed.
  • the present invention is not particularly limited, and examples thereof include a silicon wafer, a metal substrate such as copper, chromium, iron, and aluminum, and a glass substrate.
  • the material of the wiring pattern is not particularly limited, and examples thereof include copper, aluminum, nickel, and gold. Further, if necessary, an inorganic film or an organic film may be provided on the above-mentioned substrate.
  • the inorganic film is not particularly limited, and examples thereof include an inorganic antireflection film (inorganic BARC).
  • the organic film is not particularly limited, and examples thereof include an organic antireflection film (organic BARC). Surface treatment with hexamethylene disilazane or the like may be performed.
  • the heating conditions vary depending on the composition contained in the composition and the like, but are preferably 20 to 250 ° C, more preferably 20 to 150 ° C. By heating, the adhesion of the resist to the substrate may be improved, which is preferable.
  • the resist film is then exposed to the desired pattern with any radiation selected from the group consisting of visible light, ultraviolet light, excimer lasers, electron beams, extreme ultraviolet rays (EUV), X-rays, and ion beams.
  • the exposure conditions and the like are appropriately selected according to the compounding composition and the like of the resist composition.
  • the resist pattern forming method of the present embodiment it is preferable to heat after irradiation in order to stably form a fine pattern with high accuracy in exposure.
  • the heating conditions vary depending on the composition of the composition and the like, but are preferably 20 to 250 ° C, more preferably 20 to 150 ° C.
  • the exposed resist film is developed with a developing solution to form a predetermined resist pattern.
  • a developing solution it is preferable to select a solvent having a solubility parameter (SP value) close to that of the compound according to the present embodiment to be used, and a ketone solvent, an ester solvent, an alcohol solvent, an amide solvent, and an ether.
  • SP value solubility parameter
  • a polar solvent such as a system solvent, a hydrocarbon solvent or an alkaline aqueous solution can be used.
  • a positive resist pattern or a negative resist pattern can be produced according to the type of the developing solution, but generally, a polar solvent such as a ketone solvent, an ester solvent, an alcohol solvent, an amide solvent, or an ether solvent is used.
  • a negative resist pattern can be obtained, and in the case of an alkaline aqueous solution, a positive resist pattern can be obtained.
  • the ketone solvent, ester solvent, alcohol solvent, amide solvent, ether solvent, hydrocarbon solvent, and alkaline aqueous solution include those disclosed in International Publication No. 2017/033943.
  • a plurality of the solvents may be mixed, or they may be mixed with a solvent other than the above or water as long as they have performance.
  • the water content of the developer as a whole is preferably less than 70% by mass, more preferably less than 50% by mass, and more preferably less than 30% by mass. It is preferable that it is less than 10% by mass, and it is particularly preferable that it contains substantially no water. That is, the content of the organic solvent in the developing solution is not particularly limited, and is preferably 30% by mass or more and 100% by mass or less, and more preferably 50% by mass or more and 100% by mass or less with respect to the total amount of the developing solution. It is more preferably 70% by mass or more and 100% by mass or less, further preferably 90% by mass or more and 100% by mass or less, and particularly preferably 95% by mass or more and 100% by mass or less.
  • the developing solution contains at least one solvent selected from a ketone solvent, an ester solvent, an alcohol solvent, an amide solvent and an ether solvent, and the developing solution contains the resolution and roughness of the resist pattern. It is preferable because it improves the resist performance of the solvent.
  • the vapor pressure of the developer is not particularly limited, and is preferably 5 kPa or less, more preferably 3 kPa or less, and particularly preferably 2 kPa or less, for example, at 20 ° C.
  • the vapor pressure of the developer is preferably 5 kPa or less, more preferably 3 kPa or less, and particularly preferably 2 kPa or less, for example, at 20 ° C.
  • the surfactant is not particularly limited, and for example, an ionic or nonionic fluorine-based or silicon-based surfactant can be used.
  • fluorine- or silicon-based surfactants include JP-A-62-36663, JP-A-61-226746, JP-A-61-226745, JP-A-62-170950, and Japanese Patent Application Laid-Open No. 62-170950.
  • the surfactants described in No. 5529881, No. 5296330, No. 5436098, No. 5576143, No. 5294511, and No. 5824451 can be mentioned.
  • it is a nonionic surfactant.
  • the nonionic surfactant is not particularly limited, but it is more preferable to use a fluorine-based surfactant or a silicon-based surfactant.
  • the amount of the surfactant used is usually 0.001 to 5% by mass, preferably 0.005 to 2% by mass, and more preferably 0.01 to 0.5% by mass with respect to the total amount of the developing solution.
  • Examples of the developing method include a method of immersing the substrate in a tank filled with a developing solution for a certain period of time (dip method), and a method of developing by raising the developing solution on the surface of the substrate by surface tension and allowing it to stand still for a certain period of time (paddle).
  • dip method a method of immersing the substrate in a tank filled with a developing solution for a certain period of time
  • piddle a method of developing by raising the developing solution on the surface of the substrate by surface tension and allowing it to stand still for a certain period of time
  • Method a method of spraying the developer on the surface of the substrate
  • spray method a method of continuously spraying the developer on the substrate rotating at a constant speed while scanning the developer dispensing nozzle at a constant speed
  • Etc. can be applied.
  • the time for developing the pattern is not particularly limited, but is preferably 10 seconds to 90 seconds.
  • a step of stopping the development may be carried out while substituting with another solvent.
  • the rinsing solution used in the rinsing step after development is not particularly limited as long as the resist pattern cured by crosslinking is not dissolved, and a solution containing a general organic solvent or water can be used.
  • a rinsing solution it is preferable to use a rinsing solution containing at least one organic solvent selected from a hydrocarbon solvent, a ketone solvent, an ester solvent, an alcohol solvent, an amide solvent and an ether solvent. .. More preferably, after the development, a washing step is performed using a rinsing solution containing at least one organic solvent selected from the group consisting of a ketone solvent, an ester solvent, an alcohol solvent, and an amide solvent.
  • a step of washing with a rinsing solution containing an alcohol-based solvent or an ester-based solvent is performed. Even more preferably, after development, a step of washing with a rinsing solution containing a monohydric alcohol is performed. Particularly preferably, after development, a step of washing with a rinsing solution containing a monohydric alcohol having 5 or more carbon atoms is performed.
  • the time for rinsing the pattern is not particularly limited, but is preferably 10 to 90 seconds.
  • the monohydric alcohol used in the rinsing step after development is not particularly limited, and examples thereof include linear, branched, and cyclic monohydric alcohols, and specifically, 1-butanol and 2 -Butanol, 3-methyl-1-butanol, t-butyl alcohol, 1-pentanol, 2-pentanol, 1-hexanol, 4-methyl-2-pentanol, 1-heptanol, 1-octanol, 2-hexanol , Cyclopentanol, 2-heptanol, 2-octanol, 3-hexanol, 3-heptanol, 3-octanol, 4-octanol and the like can be used, and a particularly preferable monohydric alcohol having 5 or more carbon atoms is 1-. Hexanol, 2-hexanol, 4-methyl-2-pentanol, 1-pentanol, 3-methyl-1-butanol and the like can be used,
  • Each of the above components may be mixed in a plurality or mixed with an organic solvent other than the above.
  • the water content in the rinse liquid is not particularly limited, and is preferably 10% by mass or less, more preferably 5% by mass or less, and particularly preferably 3% by mass or less. By setting the water content to 10% by mass or less, better development characteristics can be obtained.
  • the vapor pressure of the rinse solution used after development is preferably 0.05 kPa or more and 5 kPa or less, more preferably 0.1 kPa or more and 5 kPa or less, and further preferably 0.12 kPa or more and 3 kPa or less at 20 ° C.
  • the vapor pressure of the rinsing liquid By setting the vapor pressure of the rinsing liquid to 0.05 kPa or more and 5 kPa or less, the temperature uniformity in the wafer surface is further improved, and the swelling caused by the infiltration of the rinsing liquid is further suppressed, and the dimensions in the wafer surface are further suppressed. The uniformity is improved.
  • An appropriate amount of surfactant can be added to the rinse solution before use.
  • the developed wafer is washed with the rinsing liquid containing the above-mentioned organic solvent.
  • the method of cleaning treatment is not particularly limited, but for example, a method of continuously applying a rinse solution onto a substrate rotating at a constant speed (rotational coating method), or a method of immersing the substrate in a tank filled with the rinse solution for a certain period of time.
  • a method (dip method), a method of spraying a rinse solution on the surface of the substrate (spray method), etc. can be applied.
  • the cleaning treatment is performed by the rotation coating method, and after cleaning, the substrate is rotated at a rotation speed of 2000 rpm to 4000 rpm. It is preferable to rotate and remove the rinse liquid from the substrate.
  • a pattern wiring board can be obtained by etching after forming a resist pattern.
  • the etching method can be performed by a known method such as dry etching using plasma gas and wet etching with an alkaline solution, a ferric chloride solution, a ferric chloride solution or the like.
  • the plating method is not particularly limited, and examples thereof include copper plating, solder plating, nickel plating, and gold plating.
  • the residual resist pattern after etching can be peeled off with an organic solvent.
  • the organic solvent is not particularly limited, and examples thereof include PGMEA (propylene glycol monomethyl ether acetate), PGME (propylene glycol monomethyl ether), and EL (ethyl lactate).
  • the peeling method is not particularly limited, and examples thereof include a dipping method and a spray method.
  • the wiring board on which the resist pattern is formed may be a multilayer wiring board or may have a small-diameter through hole.
  • the wiring board can also be formed by a method of depositing a metal in a vacuum after forming a resist pattern and then dissolving the resist pattern with a solution, that is, a lift-off method.
  • composition for forming an underlayer film for lithography comprises the compound according to the present embodiment and a silicon-containing compound (for example, a hydrolyzable organosilane, a hydrolyzate thereof, or a hydrolyzed condensate thereof). ) And.
  • the composition for forming a lower layer film for lithography of the present embodiment can form a lower layer film for lithography such as a resist underlayer film, has high heat resistance, and has high solvent solubility. Therefore, the rectangularity of the pattern is excellent.
  • the composition for forming a lower layer film for lithography can form a lower layer film for lithography with high flatness.
  • the composition for forming a lower layer film for lithography of the present embodiment is suitably used for, for example, a multilayer resist method in which a resist lower layer film is further provided between an upper layer resist (photoresist or the like) and a hard mask, an organic lower layer film, or the like.
  • a multilayer resist method for example, a resist underlayer film is formed on an organic underlayer film or a hard mask on a substrate by a coating method or the like, and an upper layer resist (for example, photoresist, etc.) is formed on the resist underlayer film.
  • An electron beam resist, an EUV resist is formed.
  • a resist pattern is formed by exposure and development, the resist underlayer film is dry-etched using the resist pattern to transfer the pattern, and the pattern is transferred by etching the organic underlayer film, and the organic underlayer film is used. Process the substrate.
  • the lithography lower layer film (resist lower layer film) formed by using the lithography lower layer film forming composition of the present embodiment is less likely to cause intermixing with the upper layer resist and has heat resistance, for example. Since the etching rate for the halogen-based (fluorine-based) etching gas is higher than that of the patterned upper-layer resist used as a mask, a good pattern can be obtained with a rectangular shape. Further, since the lithography underlayer film (resist underlayer film) formed by using the lithography underlayer film forming composition of the present embodiment has high resistance to oxygen-based etching gas, it is provided on a substrate such as a hard mask. It can function as a good mask when patterning layers.
  • the composition for forming an underlayer film for lithography of the present embodiment can also be used in an embodiment in which a plurality of underlayer films for resist are laminated.
  • the position of the resist lower layer film (how many layers are laminated) formed by using the composition for forming the lower layer film for lithography of the present embodiment is not particularly limited, and even if it is directly under the upper layer resist. Often, the layer may be located closest to the substrate, or may be sandwiched between resist underlayer films.
  • the resist film thickness tends to be thin in order to prevent the pattern from collapsing. Dry etching for transferring a pattern to a film existing in the lower layer by thinning the resist cannot transfer the pattern unless the etching rate is higher than that of the upper film.
  • the substrate is coated with the resist underlayer film (containing a silicon-based compound) of the present embodiment via the organic underlayer film, and further coated with the resist film (organic resist film). Can be done.
  • the dry etching rate differs greatly depending on the selection of the etching gas between the organic component film and the inorganic component film.
  • the organic component film has an oxygen-based gas and the dry etching rate increases, and the inorganic component film contains halogen. The dry etching rate increases with gas.
  • the underlying organic underlayer film is dry-etched with an oxygen-based gas to perform pattern transfer to the organic underlayer film, and the pattern-transferred organic underlayer film is a halogen-containing gas.
  • the lithography underlayer film (resist underlayer film) formed by using the lithography underlayer film forming composition of the present embodiment has good adhesion, the transfer pattern can be suppressed from collapsing.
  • the resist underlayer film formed by the composition for forming the underlayer film for lithography of the present embodiment contains the compound according to the present embodiment having excellent absorption ability to active light and a silicon-containing compound (for example, hydrolyzable organosilane and its water addition).
  • a silicon-containing compound for example, hydrolyzable organosilane and its water addition.
  • the resist underlayer film by the composition for forming the underlayer film for lithography of the present embodiment has high heat resistance, it can be used even under high temperature baking conditions. Furthermore, since it has a relatively low molecular weight and low viscosity, it is easy to uniformly fill every corner even with a substrate having a step (particularly, a fine space, a hole pattern, etc.), and as a result. , Flatness and embedding properties tend to be relatively favorably enhanced.
  • the composition for forming an underlayer film for lithography can further contain a solvent, an acid generator, an acid cross-linking agent, or a combination thereof.
  • an organic polymer compound, a surfactant, water, alcohol, a curing catalyst and the like can be included as optional components.
  • the content of the compound according to the present embodiment in the composition for forming an underlayer film for lithography is preferably 0.1 to 70% by mass, preferably 0.5 to 50% by mass. % Is more preferable, and 3.0 to 40% by mass is particularly preferable.
  • a known solvent can be appropriately used as long as the compound portion according to the present embodiment is at least soluble.
  • a solvent that can be contained in the composition for forming an underlayer film for lithography disclosed in International Publication No. 2017/188450 can be mentioned.
  • the solvent is preferably a safe solvent, more preferably an ester such as PGMEA, butyl acetate, ethyl propionate, and ethyl lactate; a polyhydric alcohol ether such as PGME; CHN, CPN, 2-heptanone, anisole and the like.
  • the content of the solvent is not particularly limited, but is 100 to 10,000 parts by mass with respect to 100 parts by mass of the total solid content of the composition for forming a lower layer film for lithography from the viewpoint of solubility and film formation. It is preferably 200 to 8,000 parts by mass, more preferably 200 to 5,000 parts by mass.
  • the composition for forming an underlayer film for lithography may contain one or more acid cross-linking agents when used as a negative resist material or as an additive for increasing the strength of a pattern even in a positive resist material. ..
  • the acid-crosslinking agent include compounds having one or more groups (hereinafter, referred to as “crosslinkable groups”) capable of forming a crosslink in the presence of an acid.
  • crosslinkable groups capable of forming a crosslink in the presence of an acid.
  • an acid cross-linking agent which may be contained in the composition for forming an underlayer film for lithography disclosed in International Publication No. 2017/188450 can be mentioned.
  • the one described in International Publication WO2013 / 024779 can be mentioned as a specific example of the acid cross-linking agent.
  • the content of the acid cross-linking agent is not particularly limited, but is 0.01 to 100 parts by mass with respect to 100 parts by mass of the total solid content of the composition for forming an underlayer film for lithography from the viewpoint of solubility and shape stability of the coating film. It is preferably 30 parts by mass, more preferably 0.05 to 20 parts by mass, and even more preferably 0.1 to 10 parts by mass.
  • the silicon-containing compound may be either an organic silicon-containing compound or an inorganic silicon-containing compound, but is preferably an organic silicon-containing compound.
  • the inorganic silicon-containing compound include a silicon oxide, a silicon nitride, and a polysilazane compound composed of silicon oxide nitride, which can be formed into a film by a coating method at a low temperature.
  • the organosilicon-containing compound include polysilsesquioxane-based compounds, hydrolyzable organosilanes, hydrolyzates thereof, and hydrolyzed condensates thereof.
  • the specific material of the polysilsesquioxane base is not limited to the following, and for example, those described in JP-A-2007-226170 and JP-A-2007-226204 can be used.
  • the hydrolyzable organosilane, its hydrolyzate, or its hydrolyzed condensate is at least one selected from the group consisting of the hydrolyzable organosilane of the following formula (D1) and the following formula (D2).
  • Hydrolyzable organosilanes, their hydrolysates, or their hydrolyzed condensates (hereinafter, these are simply at least one organic silicon compound selected from the group consisting of formulas (D1) and (D2). May be referred to).
  • the composition for forming an underlayer film for lithography contains at least one organosilicon compound selected from the group consisting of the formulas (D1) and (D2)
  • the Si—O bond is controlled by adjusting the curing conditions. It is easy to use, is advantageous in terms of cost, and is suitable for introducing organic components. Therefore, the composition for forming the underlayer film for lithography is formed by using the composition for forming the underlayer film for lithography containing at least one organosilicon compound selected from the group consisting of the formula (D1) and the formula (D2).
  • the resulting layer is useful as an intermediate layer of the resist layer (a layer between the upper resist layer and the organic lower layer film provided on the substrate).
  • R 3 is an alkyl group, an aryl group, an aralkyl group, an alkyl halide group, an aryl halide group, an aralkyl halide group, an alkenyl group, an epoxy group, an acryloyl group, a methacryloyl group, a mercapto group, and the like.
  • An "organic group” having an alkoxyaryl group, an acyloxyaryl group, an isocyanurate group, a hydroxy group, a cyclic amino group, or a cyano group; or a combination thereof, which is bonded to a silicon atom by a Si—C bond. are those, R 4 represents an alkoxy group, an acyloxy group or a halogen group, a is an integer of 0-3.
  • Equation (D2) [(R 5 ) c Si (R 6 ) 4-c ] 2 Y b
  • R 5 represents an alkyl group
  • R 6 represents an alkoxy group, an acyloxy group or a halogen group
  • Y represents an alkylene group or an arylene group
  • b represents an integer of 0 or 1
  • c represents an integer of 0 or 1.
  • the ratio of the compound according to the present embodiment to the silicon-containing compound is a molar ratio. It can be used in the range of 1: 2 to 1: 200. In order to obtain a good resist shape, for example, it can be used in the range of 1: 2 to 1: 100 in the molar ratio.
  • At least one organosilicon compound selected from the group consisting of the formula (D1) and the formula (D2) is preferably used as a hydrolysis condensate (polymer of polyorganosiloxane).
  • R 3 in the hydrolyzable organosilane represented by the formula (D1) is an alkyl group, an aryl group, an aralkyl group, an alkyl halide group, an aryl halide group, an aralkyl halide group, an alkenyl group, an epoxy group or an acryloyl.
  • An "organic group” having a group, a methacryloyl group, a mercapto group, an alkoxyaryl group, an acyloxyaryl group, an isocyanurate group, a hydroxy group, a cyclic amino group, or a cyano group, or a combination thereof, and a Si—C bond.
  • R 4 represents an alkoxy group, an acyloxy group, or a halogen group, and a represents an integer of 0 to 3.
  • R 5 represents an alkyl group
  • R 6 represents an alkoxy group, an acyloxy group, or a halogen group
  • Y represents an alkylene group or an arylene group
  • b represents 0 or 1. It represents an integer and c represents an integer of 0 or 1.
  • hydrolyzable organosilanes represented by the formulas (D1) and (D2) are, for example, hydrolyzable organosilanes which may be contained in the composition for forming an underlayer film for lithography disclosed in International Publication No. 2017/188450. Silane can be mentioned.
  • a film may be formed as a mixture without reacting the compound according to the present embodiment with hydrolyzable organosilane or the like, but the present in the composition for forming a lower layer film for lithography may be formed.
  • the compound according to the embodiment and the above-mentioned hydrolyzable organosilane are hydrolyzed and condensed using one or more compounds selected from inorganic acids, aliphatic sulfonic acids and aromatic sulfonic acids as an acid catalyst. May be good.
  • Examples of the acid catalyst used at this time include hydrofluoric acid, hydrochloric acid, hydrobromic acid, sulfuric acid, nitric acid, perchloric acid, phosphoric acid, methanesulfonic acid, benzenesulfonic acid, toluenesulfonic acid and the like.
  • the amount of the catalyst used is preferably 10 to 6 to 10 mol, more preferably 10 to 5 to 5 mol, and further preferably 10 to 5 to 5 mol, based on 1 mol of the monomer (total amount of the compound according to the present embodiment and the hydrolyzable organosilane, etc.). It is preferably 10 -4 to 1 mol.
  • the amount of water for hydrolyzing and condensing these monomers is 0.01 to 100 mol per mol of the hydrolyzable substituent bonded to the monomer (compound according to the present embodiment, hydrolyzable organosilane, etc.). It is preferable to add 0.05 to 50 mol, more preferably 0.1 to 30 mol, more preferably. If the addition is 100 mol or less, the equipment used for the reaction does not become excessive, which is economical.
  • a monomer is added to an aqueous catalyst solution to initiate a hydrolysis condensation reaction.
  • an organic solvent may be added to the aqueous catalyst solution, the monomer may be diluted with the organic solvent, or both may be performed.
  • the reaction temperature is preferably 0 to 100 ° C, more preferably 40 to 100 ° C.
  • a method in which the temperature is maintained at 5 to 80 ° C. when the monomer is added dropwise and then aged at 40 to 100 ° C. is preferable.
  • organic solvent examples include the organic solvent disclosed in International Publication No. 2017/188450.
  • the amount of the organic solvent used is preferably 0 to 1,000 ml, particularly preferably 0 to 500 ml, per 1 mol of the monomer (total amount of the compound according to the present embodiment and the hydrolyzable organosilane, etc.). If the amount of the organic solvent used is 1,000 ml or less, the reaction vessel does not become excessive, which is economical.
  • the amount of the alkaline substance that can be used for neutralization is preferably 0.1 to 2 equivalents with respect to the acid used in the catalyst.
  • This alkaline substance may be any substance as long as it is alkaline in water.
  • the temperature at which the reaction mixture is heated depends on the type of the added organic solvent and the alcohol generated by the reaction, but is preferably 0 to 100 ° C, more preferably 10 to 90 ° C, still more preferably 15 to 80 ° C. ..
  • the degree of decompression at this time varies depending on the type of organic solvent and alcohol to be removed, the exhaust device, the condensing device, and the heating temperature, but is preferably atmospheric pressure or less, more preferably 80 kPa or less in absolute pressure, and even more preferably absolute.
  • the pressure is 50 kPa or less.
  • the acid catalyst used for hydrolysis condensation may be removed from the reaction mixture.
  • a method for removing the acid catalyst a method of mixing water and a reaction mixture and extracting the product with an organic solvent can be exemplified.
  • the organic solvent used at this time is preferably one that can dissolve the product and separates into two layers when mixed with water.
  • the organic solvent disclosed in International Publication No. 2017/188450 is preferably one that can dissolve the product and separates into two layers when mixed with water.
  • the mixing ratio of the water-soluble organic solvent and the water-soluble organic solvent is appropriately selected, but 0.1 to 1,000 parts by mass of the water-soluble organic solvent is preferable and more preferable with respect to 100 parts by mass of the water-soluble organic solvent. Is 1 to 500 parts by mass, more preferably 2 to 100 parts by mass.
  • the product in which the acid catalyst remains or the product in which the acid catalyst is removed can be obtained by adding the final solvent and exchanging the solvent under reduced pressure to obtain a solution of the product.
  • the temperature of the solvent exchange at this time depends on the type of the reaction solvent to be removed and the extraction solvent, but is preferably 0 to 100 ° C, more preferably 10 to 90 ° C, and further preferably 15 to 80 ° C.
  • the degree of decompression at this time varies depending on the type of extraction solvent to be removed, the exhaust device, the condensing device, and the heating temperature, but is preferably atmospheric pressure or less, more preferably 80 kPa or less in absolute pressure, and still more preferably 50 kPa in absolute pressure. It is as follows.
  • the composition may contain an organic polymer compound, a cross-linking agent, a surfactant and the like, if necessary.
  • the dry etching rate decrease in film thickness per unit time
  • attenuation coefficient decrease in film thickness per unit time
  • refractive index etc.
  • the organic polymer compound is not particularly limited, and various organic polymers can be used.
  • a polycondensation polymer, an addition polymerization polymer and the like can be used.
  • the organic polymer compound disclosed in International Publication No. 2017/188450 can be used.
  • cross-linking agent By using a cross-linking agent, it is possible to adjust the dry etching rate (decrease in film thickness per unit time) of the resist underlayer film formed from the composition for forming the underlayer film for lithography.
  • the cross-linking agent is not particularly limited, and various cross-linking agents can be used. Specific examples of the cross-linking agent that can be used in the present embodiment include double bonds such as a melamine compound, a guanamine compound, a glycol uryl compound, a urea compound, an epoxy compound, a thioepoxy compound, an isocyanate compound, an azide compound, and an alkenyl ether group.
  • Examples of the compound containing the above include, but are not limited to, a compound having at least one group selected from a methylol group, an alkoxymethyl group and an acyloxymethyl group as a substituent (crosslinkable group).
  • a compound having at least one group selected from a methylol group, an alkoxymethyl group and an acyloxymethyl group as a substituent (crosslinkable group) for example, the cross-linking agent disclosed in International Publication No. 2017/188450.
  • the content of the cross-linking agent is not particularly limited, but is preferably 1 to 10 parts by mass, more preferably 1 part by mass, based on 100 parts by mass of the compound according to the present embodiment. It is 1 to 5 parts by mass.
  • the surfactant is effective in suppressing the occurrence of surface defects and the like when the composition for forming an underlayer film for lithography is applied to a substrate.
  • the surfactant contained in the composition for forming an underlayer film for lithography include the surfactant disclosed in International Publication No. 2017/188450.
  • the ratio thereof is, for example, 0.0001 part to 5 parts by mass or 0.001 part to 1 part by mass with respect to 100 parts by mass of the compound according to the present embodiment. Or 0.01 parts by mass to 0.5 parts by mass.
  • the lithography underlayer film according to the first embodiment of the present invention can be formed by using the lithography underlayer film forming composition according to the first embodiment of the present invention.
  • the lower layer film for lithography of the present embodiment can be suitably used as the lower layer (resist lower layer film) of the photoresist (upper layer) used in the multilayer resist method.
  • a resist underlayer film is formed using a composition for forming an underlayer film for lithography, and at least one photoresist layer is formed on the resist underlayer film, and then the photoresist layer is formed.
  • a pattern can be formed by irradiating a predetermined area with radiation and performing development.
  • the pattern forming method according to the first embodiment of the present invention using the composition for forming a lower layer film for lithography according to the first embodiment of the present invention prepared as described above.
  • An organic underlayer film is formed on the substrate by using a coating type organic underlayer film material, and a resist underlayer film is formed on the organic underlayer film by using the composition for forming a lower layer film for lithography according to the first embodiment of the present invention.
  • the resist film is formed, an upper resist film is formed on the resist lower layer film using the upper resist film composition, an upper resist pattern is formed on the upper resist film, and the upper resist pattern is used as a mask to etch the resist lower layer film.
  • the pattern is transferred with A pattern forming method in which a pattern is transferred to a body) by etching can be mentioned.
  • an organic hard mask containing carbon as a main component is formed on a substrate by a CVD method, and the first aspect of the present invention is formed on the organic hard mask.
  • a resist lower layer film is formed using the composition for forming a lower layer film for lithography of the embodiment, an upper layer resist film is formed on the resist lower layer film using the upper layer resist film composition, and an upper layer resist pattern is formed on the upper layer resist film.
  • the upper resist pattern is used as a mask to transfer the pattern to the resist lower layer film by etching, and the resist lower layer film to which the pattern is transferred is used as a mask to transfer the pattern to the organic hard mask by etching. Examples thereof include a pattern forming method in which the pattern is transferred to the substrate (workpiece) by etching using the organic hard mask on which the pattern is transferred as a mask.
  • a semiconductor substrate can be used as the base material.
  • a silicon substrate can be generally used, but the present invention is not particularly limited, and Si, amorphous silicon ( ⁇ -Si), p-Si, SiO 2 , SiN, SiON, W, TiN, Al and the like can be used. It is possible to use a material different from that of the layer to be processed.
  • the metals constituting the base material include silicon, titanium, tungsten, hafnium, zirconium, chromium, germanium, copper, aluminum, indium, gallium, arsenic, palladium, and iron. , Tantalum, iridium, or molybdenum, or alloys thereof.
  • a metal film, a metal carbide film, a metal oxide film, a metal nitride film, a metal oxide carbide film, or a metal oxide nitride film is formed on a semiconductor substrate as a layer to be processed (processed portion). Etc. can be used.
  • the layer to be processed containing such a metal include Si, SiO 2 , SiN, SiON, SiOC, p-Si, ⁇ -Si, TiN, WSi, BPSG, SOG, Cr, CrO, CrON, MoSi, W. , W—Si, Al, Cu, Al—Si and the like, various low dielectric films and etching stopper films thereof are used, and can be usually formed to a thickness of 50 to 10,000 nm, particularly 100 to 5,000 nm.
  • an organic underlayer film or an organic hard mask can be formed on the substrate.
  • the organic underlayer film can be formed from the coating type organic underlayer film material by the rotary coating method or the like, and the organic hard mask is formed from the material of the organic hard mask containing carbon as a main component by the CVD method. be able to.
  • the types of such an organic lower layer film and an organic hard mask are not particularly limited, but when the upper layer resist film forms a pattern by exposure, it is preferable that the upper layer resist film exhibits a sufficient antireflection film function.
  • the "carbon-based" hard mask is composed of a carbon-based material such as amorphous hydride carbon in which 50% by mass or more of the solid content is also called amorphous carbon and is labeled as a-C: H.
  • a-C H.
  • A-C: H films can be deposited by a variety of techniques, but plasma chemical vapor deposition (PECVD) is widely used for cost efficiency and film quality adjustability.
  • PECVD plasma chemical vapor deposition
  • As an example of the hard mask for example, those described in Japanese Patent Application Laid-Open No. 2013-526783 can be referred to.
  • the resist underlayer film using the resist underlayer film forming composition of the present embodiment used in the pattern forming method of the present embodiment is obtained from the composition for forming the underlayer film for lithography by an organic underlayer film or the like by a spin coating method or the like. It can be manufactured on the provided workpiece.
  • the resist undercoat is formed by the spin coating method, it is desirable to evaporate the solvent after spin coating and bake in order to promote the crosslinking reaction for the purpose of preventing mixing with the upper resist film.
  • the bake temperature is preferably in the range of 50 to 500 ° C. At this time, although it depends on the structure of the manufactured device, the baking temperature is particularly preferably 400 ° C. or lower in order to reduce heat damage to the device.
  • the baking time is preferably in the range of 10 seconds to 300 seconds.
  • a method of forming a pattern on the upper resist film as a method of forming a pattern on the upper resist film, a lithography method using light having a wavelength of 300 nm or less or EUV light; an electron beam direct drawing method and an induced self-organization method. Either method can be preferably used. By using such a method, a fine pattern can be formed on the resist upper layer film.
  • the upper-layer resist film composition can be appropriately selected depending on the method for forming a pattern on the above-mentioned upper-layer resist film.
  • a chemically amplified photoresist film material can be used as the upper resist film composition.
  • a photoresist film material a photoresist film is formed and exposed, and then a positive pattern is formed by dissolving an exposed portion with an alkaline developer, or a development made of an organic solvent.
  • An example thereof is one in which a negative pattern is formed by dissolving an unexposed portion with a liquid.
  • the resist underlayer film formed from the lithography underlayer film forming composition of the present embodiment may absorb the light depending on the wavelength of the light used in the lithography process. Then, in such a case, it can function as an antireflection film having an effect of preventing the reflected light from the substrate.
  • the EUV resist underlayer film can also be used for the following purposes.
  • EUV that can prevent the reflection of unfavorable exposure light, for example, the above-mentioned UV or DUV (ArF light, KrF light) from the substrate or interface during EUV exposure (wavelength 13.5 nm) without intermixing with the EUV resist.
  • the composition for forming the lower layer film for lithography according to the present embodiment can be used. Reflection can be efficiently prevented in the lower layer of the EUV resist.
  • the composition for forming the lower layer film is excellent in the ability to absorb EUV, it is possible to exhibit the sensitizing effect of the upper layer resist composition, which contributes to the improvement of sensitivity.
  • the process can be carried out in the same manner as the photoresist underlayer.
  • composition for forming an underlayer film for lithography is a composition for forming an underlayer film for lithography containing a compound according to the present embodiment.
  • the composition for forming an underlayer film for lithography of the present embodiment is capable of reducing film defects (thin film formation), has good storage stability, is highly sensitive, has long-term light resistance, and has a good resist pattern shape. Can be granted.
  • the composition for forming an underlayer film for lithography of the present embodiment may not contain a silicon-containing compound.
  • the composition for forming an underlayer film for lithography of the present embodiment is applicable to a wet process, and is useful for forming a photoresist underlayer film excellent in heat resistance, adhesion, step embedding characteristics, and particularly flatness.
  • a composition for forming a lower layer film can be realized. Since this composition for forming an underlayer film for lithography uses a compound having a specific structure, which can have a relatively high crosslink density and high solvent solubility, deterioration of the film during baking is suppressed. Therefore, it is possible to form an underlayer film having excellent etching resistance to fluorine gas-based plasma etching and the like. Furthermore, since it has excellent adhesion to the resist layer, an excellent resist pattern can be formed.
  • the composition for forming a lower layer film for lithography of the present embodiment is particularly excellent in heat resistance, step embedding characteristics and flatness, for example, as a composition for forming a lower layer film of a resist provided in the lowermost layer among a plurality of resist layers. Can be used.
  • the resist underlayer film formed by using the composition for forming the underlayer film for lithography of the present embodiment may further include another resist underlayer between the substrate and the resist underlayer.
  • the composition for forming an underlayer film for lithography according to the present embodiment may further contain a solvent, an acid generator, an acid cross-linking agent and the like in addition to the compound according to the present embodiment. Further, as an optional component, a basic compound, other substances, water, alcohol, a curing catalyst and the like can be included. From the viewpoint of coatability and quality stability, the content of the compound according to the present embodiment in the composition for forming an underlayer film for lithography is preferably 0.1 to 70% by mass, preferably 0.5 to 50% by mass. % Is more preferable, and 3.0 to 40% by mass is particularly preferable.
  • solvent used in the present embodiment examples include those described in the first embodiment.
  • the amount is also as described in the first embodiment.
  • the composition for forming an underlayer film for lithography of the present embodiment may contain an acid cross-linking agent, if necessary, from the viewpoint of suppressing intermixing and the like.
  • the acid cross-linking agent that can be used in the present embodiment include double bonds such as a melamine compound, an epoxy compound, a guanamine compound, a glycoluril compound, a urea compound, a thioepoxy compound, an isocyanate compound, an azido compound, and an alkenyl ether group.
  • Examples of the compound include those having at least one group selected from a methylol group, an alkoxymethyl group and an acyloxymethyl group as a substituent (crosslinkable group), but the compound is not particularly limited thereto.
  • these acid cross-linking agents can be used individually by 1 type or in combination of 2 or more types. Moreover, these may be used as an additive. Further, a compound containing a hydroxy group can also be used as a cross-linking agent. Specific examples of the acid cross-linking agent include those described in International Publication No. 2013/024779.
  • the content of the acid cross-linking agent is not particularly limited, but is 5 to 50 mass with respect to 100 mass by mass of the total solid content of the composition for forming an underlayer film for lithography.
  • the amount is preferably 10 to 40 parts by mass, more preferably 10 to 40 parts by mass.
  • the composition for forming an underlayer film for lithography of the present embodiment may contain an acid generator, if necessary, from the viewpoint of further promoting the cross-linking reaction by heat.
  • an acid generator those that generate acid by thermal decomposition, those that generate acid by light irradiation, and the like are known, but any of them can be used.
  • the acid generator for example, the one described in International Publication No. WO2013 / 024779 can be used.
  • the content of the acid generator is not particularly limited, but is 0.1 with respect to 100 parts by mass of the total solid content of the composition for forming an underlayer film for lithography. It is preferably about 50 parts by mass, more preferably 0.5 to 40 parts by mass.
  • composition for forming an underlayer film for lithography of the present embodiment may contain a basic compound from the viewpoint of improving storage stability and the like.
  • the basic compound acts as a quencher for the acid to prevent the acid generated in a smaller amount than the acid generator from advancing the cross-linking reaction.
  • Examples of such basic compounds include primary, secondary or tertiary aliphatic amines, mixed amines, aromatic amines, heterocyclic amines, and nitrogen-containing compounds having a carboxyl group. Examples thereof include a nitrogen-containing compound having a sulfonyl group, a nitrogen-containing compound having a hydroxyl group, a nitrogen-containing compound having a hydroxyphenyl group, an alcoholic nitrogen-containing compound, an amide derivative, an imide derivative and the like, but the present invention is not particularly limited thereto. Specific examples of the basic compound include those described in International Publication No. 2013/024779.
  • the content of the basic compound is not particularly limited, but is 0.001 with respect to 100 parts by mass of the total solid content of the composition for forming an underlayer film for lithography. It is preferably about 2 parts by mass, more preferably 0.01 to 1 part by mass.
  • composition for forming an underlayer film for lithography of the present embodiment may contain another resin or compound for the purpose of imparting thermosetting property and controlling the absorbance.
  • other resins or compounds include naphthalene resin, xylene resin, naphthalene-modified resin, phenol-modified resin of naphthalene resin, polyhydroxystyrene, dicyclopentadiene resin, (meth) acrylate, dimethacrylate, trimethacrylate, and tetramethacrylate.
  • Resins containing naphthalene rings such as vinylnaphthalene and polyacenaphthalene, biphenyl rings such as phenanthrenquinone and fluorene, and heterocycles having heteroatoms such as thiophene and indene, and resins not containing aromatic rings; rosin-based resins and cyclodextrin.
  • the composition for forming an underlayer film for lithography of the present embodiment may contain a known additive. Examples of the known additives include, but are not limited to, ultraviolet absorbers, surfactants, colorants, and nonionic surfactants.
  • the resist underlayer film for lithography according to the second embodiment of the present invention is formed by using the composition for forming the underlayer film for lithography according to the second embodiment of the present invention.
  • the pattern formed in this embodiment can be used, for example, as a resist pattern or a circuit pattern.
  • the pattern forming method according to the second embodiment of the present invention is a step of forming a resist underlayer film on a substrate using the composition for forming a underlayer film for lithography according to the second embodiment of the present invention (A). -1 step), a step of forming at least one photoresist layer on the resist underlayer film (step A-2), and a step of forming at least one photoresist layer in the A-2 step. It has a step (A-3 step) of irradiating a predetermined region of the photoresist layer with radiation to develop the photoresist layer.
  • the "photoresist layer” means the outermost layer of the resist layer, that is, the layer provided on the outermost side (opposite side of the substrate) of the resist layer.
  • another pattern forming method of the second embodiment of the present invention is a step of forming a resist underlayer film on a substrate by using the composition for forming a underlayer film for lithography according to the second embodiment of the present invention.
  • B-1 step a step of forming a resist intermediate layer film on the lower layer film using a resist intermediate layer film material (for example, a resist layer containing silicon) (step B-2), and the resist intermediate layer film.
  • a resist intermediate layer film material for example, a resist layer containing silicon
  • step B-4 After the resist pattern was formed in the step of forming a resist pattern by irradiating and developing the resist (step B-4) and the step B-4, the resist intermediate layer film was etched using the resist pattern as a mask.
  • the forming method thereof is not particularly limited, and a known method can be applied. ..
  • the composition for forming an underlayer film for lithography of the present embodiment is applied onto a substrate by a known coating method such as spin coating or screen printing or a printing method, and then removed by volatilizing an organic solvent.
  • a resist underlayer film can be formed.
  • the baking temperature is not particularly limited, but is preferably in the range of 80 to 450 ° C, more preferably 200 to 400 ° C.
  • the baking time is also not particularly limited, but is preferably in the range of 10 seconds to 300 seconds.
  • the thickness of the resist underlayer film can be appropriately selected according to the required performance and is not particularly limited, but is usually preferably about 30 to 20,000 nm, more preferably 50 to 15,000 nm. It is preferable to do so.
  • the resist intermediate layer film can be provided between the photoresist layer and the resist underlayer film.
  • a silicon-containing resist layer, a single-layer resist made of ordinary hydrocarbons, or the like can be provided as a resist intermediate layer film on the resist underlayer film.
  • Known photoresist materials can be used for forming the photoresist layer, the resist intermediate layer film, and the resist layer provided between these layers.
  • the silicon-containing resist material for the two-layer process a silicon atom-containing polymer such as a polysilsesquioxane derivative or a vinylsilane derivative is used as the base polymer from the viewpoint of oxygen gas etching resistance, and an organic solvent is used, if necessary.
  • a positive photoresist material containing a basic compound or the like is preferably used.
  • the silicon atom-containing polymer a known polymer used in this type of resist material can be used.
  • a polysilsesquioxane-based intermediate layer is preferably used as the silicon-containing intermediate layer for the three-layer process.
  • the resist intermediate layer film By giving the resist intermediate layer film an effect as an antireflection film, it tends to be possible to effectively suppress reflection.
  • the resist underlayer film if a material containing a large amount of aromatic groups and having high substrate etching resistance is used as the resist underlayer film, the k value tends to be high and the substrate reflection tends to be high, but the resist intermediate layer film reflects. By suppressing the above, the substrate reflection can be reduced to 0.5% or less.
  • the intermediate layer having such an antireflection effect is not limited to the following, but for 193 nm exposure, a phenyl group or an absorbent group having a silicon-silicon bond is introduced, and the polysilseskioki crosslinked with an acid or heat. Sun is preferably used.
  • a resist intermediate layer film formed by the Chemical Vapor Deposition (CVD) method can also be used.
  • the intermediate layer having a high effect as an antireflection film produced by the CVD method is not limited to the following, and for example, a SiON film is known.
  • the formation of a resist intermediate layer film by a wet process such as a spin coating method or screen printing is simpler and more cost effective than the CVD method.
  • the upper layer resist in the three-layer process may be either a positive type or a negative type, and the same single-layer resist as normally used can be used.
  • the resist underlayer film of the present embodiment can also be used as an antireflection film for a normal single-layer resist or a base material for suppressing pattern collapse. Since the resist underlayer film of the present embodiment has excellent etching resistance for base processing, it can be expected to function as a hard mask for base processing.
  • a wet process such as a spin coating method or screen printing is preferably used as in the case of forming the resist underlayer film.
  • prebaking is usually performed, and this prebaking is preferably performed in a range of a baking temperature of 80 to 180 ° C. and a baking time of 10 seconds to 300 seconds.
  • a resist pattern can be obtained by performing exposure, post-exposure baking (PEB), and development according to a conventional method.
  • the thickness of each resist film is not particularly limited, but is generally preferably 30 nm to 500 nm, more preferably 50 nm to 400 nm.
  • the exposure light may be appropriately selected and used according to the photoresist material used.
  • high-energy rays having a wavelength of 300 nm or less specifically, excimer lasers having a wavelength of 248 nm, 193 nm, and 157 nm, soft X-rays having a wavelength of 3 to 20 nm, electron beams, X-rays, and the like can be mentioned.
  • the resist pattern formed by the above method is such that the pattern collapse is suppressed by the resist underlayer film of the present embodiment. Therefore, by using the resist underlayer film of the present embodiment, a finer pattern can be obtained, and the exposure amount required to obtain the resist pattern can be reduced.
  • gas etching is preferably used as the etching of the resist underlayer film in the two-layer process.
  • gas etching etching using oxygen gas is preferable.
  • oxygen gas it is also possible to add an inert gas such as He or Ar, or CO, CO 2 , NH 3 , SO 2 , N 2 , NO 2 , or H 2 gas.
  • an inert gas such as He or Ar, or CO, CO 2 , NH 3 , SO 2 , N 2 , NO 2 , or H 2 gas.
  • the latter gas is preferably used to protect the side wall to prevent undercutting of the side wall of the pattern.
  • gas etching is also preferably used for etching the intermediate layer (the layer located between the photoresist layer and the resist underlayer film) in the three-layer process.
  • the gas etching the same one as described in the above-mentioned two-layer process can be applied.
  • the processing of the intermediate layer in the three-layer process is preferably performed by using a fluorocarbon-based gas and using the resist pattern as a mask.
  • the resist underlayer film can be processed by, for example, performing oxygen gas etching using the intermediate layer pattern as a mask as described above.
  • a silicon oxide film, a silicon nitride film, and a silicon oxide nitride film are formed by a CVD method, an ALD method, or the like.
  • the method for forming the nitride film is not limited to the following, and for example, the method described in JP-A-2002-334869 and WO2004 / 0666377 can be used.
  • a photoresist film can be formed directly on such an intermediate layer film, but an organic antireflection film (BARC) is formed on the intermediate layer film by spin coating, and a photoresist film is formed on the organic antireflection film (BARC). You may.
  • a polysilsesquioxane-based intermediate layer is also preferably used.
  • the resist interlayer film By giving the resist interlayer film an effect as an antireflection film, it tends to be possible to effectively suppress reflection.
  • the specific material of the polysilsesquioxane-based intermediate layer is not limited to the following, and for example, those described in JP-A-2007-226170 and JP-A-2007-226204 can be used. ..
  • Etching of the substrate can also be performed by a conventional method. For example, if the substrate is SiO 2 or SiN, etching mainly composed of chlorofluorocarbons, and if the substrate is p—Si, Al, or W, chlorine-based or bromine-based gas is used. Mainly etching can be performed. When the substrate is etched with a fluorocarbon-based gas, the silicon-containing resist in the two-layer resist process and the silicon-containing intermediate layer in the three-layer process are peeled off at the same time as the substrate is processed.
  • the silicon-containing resist layer or the silicon-containing intermediate layer is separately peeled off, and generally, dry etching peeling is performed with a fluorocarbon-based gas after the substrate is processed. ..
  • the resist underlayer film of the present embodiment is excellent in etching resistance of these substrates.
  • a known substrate can be appropriately selected and used, and the present invention is not particularly limited, and examples thereof include Si, ⁇ -Si, p-Si, SiO 2 , SiN, SiON, W, TiN, and Al. Be done.
  • the substrate may be a laminated body having a film to be processed (substrate to be processed) on a base material (support).
  • various Low-k films such as Si, SiO 2 , SiON, SiN, p-Si, ⁇ -Si, W, W-Si, Al, Cu, Al-Si and their stopper films and stopper films thereof.
  • Etc. and usually, a material different from the base material (support) is used.
  • the thickness of the substrate or the film to be processed is not particularly limited, but is usually preferably about 50 nm to 10,000 nm, and more preferably 75 nm to 5,000 nm.
  • the resist underlayer film of the present embodiment is excellent in embedding flatness in a substrate having a step.
  • a known method can be appropriately selected and used, and is not particularly limited. For example, a solution of each compound adjusted to a predetermined concentration is placed on a silicon substrate having a step. It is applied by spin coating, and the solvent is removed and dried at 110 ° C. for 90 seconds to form an underlayer film having a predetermined thickness, and then the line & space area is baked at a temperature of about 240 to 300 ° C. for a predetermined time. By measuring the difference ( ⁇ T) between the thickness of the lower layer film and the open region without the pattern with an ellipsometer, the embedding flatness with respect to the stepped substrate can be evaluated.
  • the optical component forming composition according to the present embodiment is an optical component forming composition containing the compound according to the present embodiment.
  • the composition for forming an optical component is usefully used for forming an optical article.
  • the composition for forming an optical component of the present embodiment can be expected to have a high refractive index and high transparency of the obtained optical article, and further, storage stability and structure forming ability. (Film forming ability) and heat resistance are expected.
  • the refractive index of the optical article is preferably 1.65 or more, more preferably 1.70 or more, still more preferably 1.75 or more, from the viewpoint of miniaturization of optical components and improvement of light collection rate.
  • the transparency of the optical article is preferably 70% or more, more preferably 80% or more, still more preferably 90% or more.
  • the method for measuring the refractive index is not particularly limited, and a known method is used.
  • spectroscopic ellipsometry method minimum declination method, critical angle method (Abbe method, Prurich method), V-block method, prism coupler method and immersion method (Becke line method) can be mentioned.
  • the method for measuring transparency is not particularly limited, and a known method is used.
  • spectrophotometers and spectroscopic ellipsometry methods can be mentioned.
  • the cured product according to the present embodiment for forming an optical article obtained by curing the composition for forming an optical component can be a three-dimensional crosslinked product, and can be colored by a wide range of heat treatment from low temperature to high temperature. It is suppressed, and high refractive index and high transparency can be expected.
  • composition for forming an optical component of the present embodiment may further contain a solvent in addition to the compound according to the present embodiment.
  • the solvent can be the same as the solvent used in the composition for forming the underlayer film for lithography of the present embodiment described above.
  • the relationship between the amount of the solid component and the amount of the solvent is not particularly limited, but the solid component is 1 to 80% by mass with respect to the total of 100% by mass of the solid component and the solvent.
  • the solvent is preferably 20 to 99% by mass, more preferably 1 to 50% by mass of the solid component and 50 to 99% by mass of the solvent, still more preferably 2 to 40% by mass of the solid component and 60 to 98% by mass of the solvent.
  • the solid component is 2 to 10% by mass and the solvent is 90 to 98% by mass.
  • the composition for forming an optical component of the present embodiment may not contain a solvent.
  • composition for forming an optical component of the present embodiment contains at least one selected from the group consisting of an acid cross-linking agent (G), an acid diffusion control agent (E) and another component (F) as other solid components. You may.
  • the content of the compound according to the present embodiment is not particularly limited, but the total mass of the solid component (compound according to the present embodiment, acid cross-linking agent (G), acid diffusion). It is preferably 50 to 99.4% by mass, more preferably 55 to 90% by mass, based on the total of the solid components arbitrarily used such as the control agent (E) and the other component (F), the same applies hereinafter). It is more preferably 60 to 80% by mass, and particularly preferably 60 to 70% by mass.
  • the composition for forming an optical component of the present embodiment preferably contains at least one acid generator (C) that directly or indirectly generates an acid by heat.
  • the acid generator (C) is not particularly limited, and can be, for example, the same as the acid generator (C) that can be contained in the composition for forming an underlayer film for lithography of the present embodiment described above.
  • the content of the acid generator (C) is preferably 0.001 to 49% by mass, more preferably 1 to 40% by mass, or 3 to 40% by mass of the total mass of the solid components. 30% by mass is more preferable, and 10 to 25% by mass is particularly preferable.
  • the composition for forming an optical component of the present embodiment preferably contains one or more acid cross-linking agents (G) when used as an additive for increasing the strength of the structure.
  • the acid cross-linking agent (G) is not particularly limited, and can be, for example, the same as the acid cross-linking agent (G) that can be contained in the composition for forming an underlayer film for lithography of the present embodiment described above.
  • the content of the acid cross-linking agent (G) is preferably 0.5 to 49% by mass, more preferably 0.5 to 40% by mass, based on the total mass of the solid components. 1 to 30% by mass is more preferable, and 2 to 20% by mass is particularly preferable.
  • the content ratio of the acid cross-linking agent (G) is 0.5% by mass or more, it is preferable because the effect of suppressing the solubility of the composition for forming an optical component in an organic solvent can be improved, while 49% by mass or less. This is preferable because it can suppress a decrease in heat resistance as a composition for forming an optical component.
  • the content of at least one compound selected from the acid cross-linking agent (G1), the acid cross-linking agent (G2), and the acid cross-linking agent (G3) in the acid cross-linking agent (G) is not particularly limited.
  • the range can be various depending on the type of the substrate used when forming the composition for forming an optical component.
  • the composition for forming an optical component of the present embodiment is an acid diffusion control agent having an action of controlling diffusion of an acid generated from an acid generator in the composition for forming an optical component to prevent an unfavorable chemical reaction ( E) may be contained.
  • an acid diffusion control agent (E) By using such an acid diffusion control agent (E), the storage stability of the composition for forming an optical component is improved. In addition, the resolution is further improved, and changes in the line width of the structure due to fluctuations in the leaving time after heating can be suppressed, resulting in extremely excellent process stability.
  • the acid diffusion control agent (E) is not particularly limited, and can be, for example, the same as the acid diffusion control agent (E) that can be contained in the composition for forming a lower layer film for lithography of the present embodiment described above.
  • the content of the acid diffusion control agent (E) is preferably 0.001 to 49% by mass, more preferably 0.01 to 10% by mass, still more preferably 0.01 to 5% by mass, based on the total mass of the solid component. 0.01 to 3% by mass is particularly preferable.
  • the content of the acid diffusion control agent (E) is within the above range, deterioration of resolution, pattern shape, dimensional fidelity and the like can be further suppressed. Further, even if the leaving time from the electron beam irradiation to the heating after the irradiation is long, the shape of the upper layer portion of the pattern does not deteriorate.
  • the content of the acid diffusion control agent (E) is 10% by mass or less, it is possible to prevent deterioration of sensitivity, developability of the unexposed portion and the like. Further, by using such an acid diffusion control agent, the storage stability of the composition for forming an optical component is improved, the resolution is improved, and the retention time before irradiation and the retention time after irradiation are improved. It is possible to suppress the change in the line width of the composition for forming an optical component due to the fluctuation of the above, and the process stability is extremely excellent.
  • the composition for forming an optical component of the present embodiment contains a dissolution accelerator, a dissolution control agent, a sensitizer, and a surfactant as other components (F), if necessary, as long as the object of the present embodiment is not impaired.
  • One or two or more kinds of additives such as an activator and an organic carboxylic acid or an oxo acid of phosphorus or a derivative thereof can be added.
  • the other component (F) can be, for example, the same as the other component (F) that can be contained in the composition for forming a lower layer film for lithography of the present embodiment described above.
  • the total content of the other component (F) is preferably 0 to 49% by mass, more preferably 0 to 5% by mass, further preferably 0 to 1% by mass, and particularly preferably 0% by mass of the total mass of the solid component. ..
  • the content of the compound, the acid diffusion control agent (E), and the other component (F) according to the present embodiment is in mass% based on solid matter, preferably 50 to 99.4 / 0.001 to 49 / 0.001 to 49/0 to 49, and more preferably 55 to 90 /. 1 to 40/0.01 to 10/0 to 5, more preferably 60 to 80/3 to 30/0.01 to 5/0 to 1, particularly preferably 60 to 70/10 to 25/0.01 to It is 3/0.
  • the content ratio of each component is selected from each range so that the total is 100% by mass. With the above content ratio, the performance such as sensitivity, resolution, and developability is further excellent.
  • the method for preparing the composition for forming an optical component of the present embodiment is not particularly limited, and for example, each component is dissolved in a solvent at the time of use to form a uniform solution, and then, if necessary, for example, a pore size of about 0.2 ⁇ m. Examples thereof include a method of filtering with a filter or the like.
  • the composition for forming an optical component of the present embodiment may contain other resins as long as the object of the present invention is not impaired.
  • Other resins are not particularly limited and include, for example, novolak resin, polyvinylphenols, polyacrylic acid, polyvinyl alcohol, styrene-maleic anhydride resin, and acrylic acid, vinyl alcohol, or vinylphenol as a monomer unit. Examples thereof include polymers and derivatives thereof.
  • the content of the resin is not particularly limited, and is appropriately adjusted according to the type of the compound according to the present embodiment to be used.
  • the cured product of the present embodiment is obtained by curing the composition for forming an optical component, and can be used as various resins. These cured products can be used for various purposes as highly versatile materials imparting various properties such as high melting point, high refractive index and high transparency.
  • the cured product can be obtained by irradiating the composition with a known method corresponding to each composition such as light irradiation and heating.
  • cured products can be used as various synthetic resins such as epoxy resin, polycarbonate resin, and acrylic resin, and further, by utilizing their functionality, as optical parts such as lenses and optical sheets.
  • the method for purifying a compound according to this embodiment is a step of dissolving the compound or a derivative thereof in a solvent containing an organic solvent that is not arbitrarily mixed with water to obtain a solution (B), and the obtained solution (B) and acidity.
  • the present invention comprises a first extraction step of contacting the compound with an aqueous solution of the above to extract impurities in the compound or a derivative thereof.
  • the compound is dissolved in an organic solvent that is arbitrarily immiscible with water, and the solution is brought into contact with an acidic aqueous solution for extraction treatment to transfer the metal content contained in the compound to the aqueous phase.
  • the organic phase and the aqueous phase are separated and purified.
  • the derivative of the compound include a resin obtained by reacting the compounds with each other or with the compound and another compound.
  • the compound of the present embodiment or a derivative thereof is also collectively referred to as "the compound of the present embodiment".
  • the organic solvent that is not miscible with water means an organic solvent having a solubility in water of less than 50% by mass at any temperature of 20 to 90 ° C. From the viewpoint of productivity, the solubility is preferably less than 25% by mass.
  • the organic solvent that is arbitrarily immiscible with water is not limited, but an organic solvent that can be safely applied to the semiconductor manufacturing process is preferable.
  • the amount of the organic solvent used is usually about 1 to 100 times by mass with respect to the compound of the present invention.
  • solvent used in the purification method examples include those described in International Publication WO2015 / 080240. These solvents may be used alone or in combination of two or more. Among these, toluene, 2-heptanone, cyclohexanone, cyclopentanone, methyl isobutyl ketone, propylene glycol monomethyl ether acetate, ethyl acetate and the like are preferable, and cyclohexanone and propylene glycol monomethyl ether acetate are particularly preferable.
  • the acidic aqueous solution is appropriately selected from the generally known aqueous solutions of organic and inorganic compounds dissolved in water. For example, those described in International Publication WO2015 / 080240 can be mentioned. These acidic aqueous solutions may be used alone or in combination of two or more. Among these, one or more mineral acid aqueous solutions selected from the group consisting of hydrochloric acid, sulfuric acid, nitric acid and phosphoric acid; or acetic acid, propionic acid, oxalic acid, malonic acid, succinic acid, fumaric acid, maleic acid, tartaric acid and citrus.
  • One or more organic acid aqueous solutions selected from the group consisting of acid, methanesulfonic acid, phenolsulfonic acid, p-toluenesulfonic acid and trifluoroacetic acid are preferable.
  • water used at this time for the purpose of the present invention, water having a low metal content, for example, ion-exchanged water or the like is preferably used.
  • the pH of the acidic aqueous solution is not limited, but an aqueous solution with excessively high acidity may adversely affect the compound of the present invention, which is not preferable.
  • the pH range is about 0 to 5, and more preferably about pH 0 to 3.
  • the amount of the acidic aqueous solution used is not limited, but if the amount is too small, it is necessary to increase the number of extractions for removing the metal, and conversely, if the amount is too large, the total amount of the liquid increases and the workability may decrease. be.
  • the amount of the aqueous solution used is usually 10 to 200% by mass, preferably 20 to 100% by mass, based on the solution containing the compound and the like of the present embodiment and the organic solvent.
  • the temperature at which the extraction process is performed is usually 20 to 90 ° C, preferably 30 to 80 ° C.
  • the extraction operation is performed, for example, by stirring or the like to mix the two well, and then allowing the mixture to stand. As a result, the metal content contained in the compound or the like of the present embodiment is transferred to the aqueous phase. Further, since the acidity of the solution is lowered by this treatment, deterioration of the compound of the present invention can be suppressed.
  • the oil phase containing the compound and the like of this embodiment is recovered from the mixture after the treatment by decantation or the like.
  • the standing time is not limited, but it is not preferable if the standing time of the mixture is excessively short because the separation between the oil phase containing the organic solvent and the aqueous phase becomes insufficient.
  • the standing time is usually 1 minute or more, more preferably 10 minutes or more, still more preferably 30 minutes or more.
  • the extraction process may be performed once or more than once.
  • the recovered oil phase is subjected to a washing treatment with water, that is, an extraction treatment using water (second extraction step).
  • the process can be performed as described above.
  • the oil phase after washing thus obtained may contain water, and the water can be easily removed by vacuum distillation or the like. If necessary, an organic solvent can be added to the oil phase to adjust the concentration of the compound of the present invention.
  • the target compound can be isolated by subjecting the oil phase to a known treatment such as vacuum distillation or reprecipitation.
  • Example 2 Using 2,7-dimethyl-2,7-octanediol (17 mmol, 2.73 g) instead of 2,5-dimethyl-2,5-hexanediol (17 mmol, 2.49 g), BCA [4] ( BCA [8] -co-BAB-DMODO, 0.2 g in the same manner as in Example 1 except that BCA [8] (0.2 mmol, 0.26 g) was used instead of 0.4 mmol (0.26 g).

Abstract

Provided is a compound in which the hydroxy groups of a polyphenol are crosslinked within each molecule by a group containing a degradable bond that is degraded under acidic or alkaline conditions. The polyphenol is preferably a calixarene.

Description

化合物及びその製造方法、組成物、レジスト膜、並びにパターン形成方法Compounds and methods for producing them, compositions, resist films, and pattern forming methods.
 本発明は、化合物及びその製造方法、組成物、レジスト膜、パターン形成方法、並びに前記組成物によって形成されたリソグラフィー用下層膜及び光学物品に関する。 The present invention relates to a compound and a method for producing the same, a composition, a resist film, a pattern forming method, and a lower layer film for lithography and an optical article formed by the composition.
 半導体デバイスの製造において、フォトレジスト材料を用いたリソグラフィーによる微細加工が行われているが、近年、LSI(大規模集積回路)の高集積化と高速度化に伴い、パターンルールによる更なる微細化が求められている。 In the manufacture of semiconductor devices, microfabrication is performed by lithography using photoresist materials, but in recent years, with the increasing integration and speed of LSIs (large-scale integrated circuits), further miniaturization by pattern rules has been performed. Is required.
 これまでの一般的なレジスト材料は、アモルファス薄膜を形成可能な高分子系レジスト材料である。例えば、ポリメチルメタクリレートや、解離性反応基を有するポリヒドロキシスチレン又はポリアルキルメタクリレート等の高分子系レジスト材料が挙げられる。そして、このような高分子系レジスト材料の溶液を基板上に塗布することにより作製したレジスト薄膜に紫外線、遠紫外線、電子線、極端紫外線(Extreme UltraViolet:以下、適宜“EUV”と称する。)、X線などを照射することにより、45~100nm程度のラインパターンを形成している(例えば非特許文献1参照)。 The general resist material so far is a polymer-based resist material capable of forming an amorphous thin film. Examples thereof include polymer-based resist materials such as polymethylmethacrylate and polyhydroxystyrene or polyalkylmethacrylate having a dissociative reactive group. Then, ultraviolet rays, far ultraviolet rays, electron beams, extreme ultraviolet rays (Extreme UltraViolet: hereinafter, appropriately referred to as "EUV") are applied to the resist thin film prepared by applying a solution of such a polymer-based resist material on the substrate. By irradiating with X-rays or the like, a line pattern of about 45 to 100 nm is formed (see, for example, Non-Patent Document 1).
 しかしながら、高分子系レジスト材料は分子量が1万~10万程度と大きく、分子量分布も広い。このため、高分子系レジスト材料を用いるリソグラフィーでは、微細パターン表面にラフネスが生じ、パターン寸法を制御することが困難となり、歩留まりが低下する。従って、従来の高分子系レジスト材料を用いるリソグラフィーでは微細化に限界がある。より微細なパターンを作製するために、種々の低分子量レジスト材料が提案されている。 However, the polymer-based resist material has a large molecular weight of about 10,000 to 100,000 and has a wide molecular weight distribution. For this reason, in lithography using a polymer-based resist material, roughness occurs on the surface of a fine pattern, it becomes difficult to control the pattern size, and the yield decreases. Therefore, there is a limit to miniaturization in lithography using a conventional polymer-based resist material. Various low molecular weight resist materials have been proposed to produce finer patterns.
 例えば、低分子量多核ポリフェノール化合物を主成分として用いるアルカリ現像型のネガ型感放射線性組成物が提案されている(例えば、特許文献1及び2参照)。また、高耐熱性を有する低分子量レジスト材料の候補として、低分子量環状ポリフェノール化合物を主成分として用いるアルカリ現像型のネガ型感放射線性組成物も提案されている(例えば、特許文献3及び非特許文献2参照)。更に、レジスト材料のベース化合物として、ポリフェノール化合物が低分子量ながら高耐熱性を付与でき、レジストパターンの解像性やラフネスの改善に有用であることが知られている(例えば、非特許文献3参照)。また、特許文献4には、カリックスアレーンを分子間架橋したレジスト材料が開示されている。 For example, an alkali-developed negative-type radiation-sensitive composition using a low molecular weight polynuclear polyphenol compound as a main component has been proposed (see, for example, Patent Documents 1 and 2). Further, as a candidate for a low molecular weight resist material having high heat resistance, an alkali-developed negative-type negative radiation-sensitive composition using a low molecular weight cyclic polyphenol compound as a main component has been proposed (for example, Patent Document 3 and non-patent). See Document 2). Further, it is known that as a base compound of a resist material, a polyphenol compound can impart high heat resistance while having a low molecular weight and is useful for improving the resolution and roughness of a resist pattern (see, for example, Non-Patent Document 3). ). Further, Patent Document 4 discloses a resist material in which calixarene is cross-linked between molecules.
 また、電子線又は極端紫外線(EUV)によるリソグラフィーは、反応メカニズムが通常の光リソグラフィーと異なる。更に、電子線又はEUVによるリソグラフィーにおいては、数十nmの微細なパターン形成を目標としている。このようにレジストパターン寸法が小さくなるほど、露光光源に対して高感度であるレジスト材料が求められる。特にEUVによるリソグラフィーでは、スループットの点で、レジスト組成物の高感度化を図る必要がある。 In addition, the reaction mechanism of lithography using electron beam or extreme ultraviolet (EUV) is different from that of ordinary optical lithography. Furthermore, in lithography using an electron beam or EUV, the goal is to form a fine pattern of several tens of nm. As the resist pattern size becomes smaller as described above, a resist material having higher sensitivity to the exposure light source is required. Particularly in EUV lithography, it is necessary to increase the sensitivity of the resist composition in terms of throughput.
 これらを改善するレジスト材料として、例えばチタン、ハフニウムやジルコニウムを有する無機レジスト材料が提案されている(例えば、特許文献5及び6参照)。 As a resist material for improving these, for example, an inorganic resist material having titanium, hafnium or zirconium has been proposed (see, for example, Patent Documents 5 and 6).
特開2005-326838号公報Japanese Unexamined Patent Publication No. 2005-326838 特開2008-145539号公報Japanese Unexamined Patent Publication No. 2008-145539 特開2009-173623号公報Japanese Unexamined Patent Publication No. 2009-173623 特開2017-88847号公報Japanese Unexamined Patent Publication No. 2017-88847 特開2015-75500号公報Japanese Patent Application Laid-Open No. 2015-75500 特開2015-108781号公報Japanese Unexamined Patent Publication No. 2015-108781
 しかしながら、無機レジスト材料は低感度であり、解像度の点でも更なる高解像度化を図ることが求められている。また、光学物品においても、高い感度及び高い解像度を備える組成物が望まれている。かかる事情に鑑み、本発明は、高い感度及び高い解像度を有するレジスト材料を与える化合物及び組成物を提供することを課題とする。 However, the inorganic resist material has low sensitivity, and it is required to further improve the resolution in terms of resolution. Further, in an optical article, a composition having high sensitivity and high resolution is desired. In view of such circumstances, it is an object of the present invention to provide a compound and a composition that provide a resist material having high sensitivity and high resolution.
 本発明者らは前記課題を解決するため鋭意検討した結果、特定の化合物が前記課題を解決できることを見出し、本発明を完成するに至った。すなわち、本発明は次のとおりである。
[1]
 ポリフェノール部位を有する化合物であって、当該ポリフェノールの水酸基が、酸又はアルカリ条件下で解離する解離性結合を含有する基で分子内架橋されている化合物。
[2]
 前記ポリフェノールがカリックスアレーンである、[1]に記載の化合物。
[3]
 後述する式(P-0C)、又は(P-1C)で表される化合物である、[1]又[2]に記載の化合物。
[4]
 後述する式(P-0A)、又は(P-1A)で表される、[1]~[3]に記載の化合物。
[5]
 前記解離性結合がエステル結合である、[1]~[4]のいずれかに記載の化合物。
[6]
 前記分子内架橋基が後述する式(C-0)で表される、[1]~[5]のいずれかに記載の化合物。
[7]
 前記式(P-0C)中の分子内架橋基が後述する式(C-0A)で表される、[1]~[6]のいずれかに記載の化合物。
[8]
 後述する式(M-0)で表される、[1]~[7]のいずれかに記載の化合物。
[9]
 後述する式(M-0A)で表される、[8]に記載の化合物。
[10]
 前記式(P-1C)中の分子内架橋基が後述する式(C-1A)で表される、[1]~[6]のいずれかに記載の化合物。
[11]
 後述する式(M-1)で表される、[1]~[6]又は[10]のいずれかに記載の化合物。
[12]
 後述する式(M-1A)で表される、[11]に記載の化合物。
[13]
 ポリフェノールと、酸又はアルカリ条件下で解離する解離性結合を含有する架橋剤とを反応させて、前記ポリフェノールの2以上の水酸基を、前記化合物で分子内架橋する工程を備える、[1]~[12]のいずれかに記載の化合物の製造方法。
[14]
 前記架橋剤が、後述する式(C-hal)で表される、[13]に記載の製造方法。
[15]
 前記架橋剤が、後述する式(C-0hal)又は(C-1hal)で表される、[14]に記載の製造方法。
[16]
 [1]~[12]のいずれかに記載の化合物又はその誘導体を含有するレジスト膜形成用組成物。
[17]
 溶媒、酸発生剤、酸架橋剤、及びこれらの組合せからなる群より選択される成分を更に含有する、[16]に記載のレジスト膜形成用組成物。
[18]
 [16]又は[17]に記載の組成物から形成されたレジスト膜。
[19]
 [16]又は[17]に記載のレジスト膜形成用組成物を用いて基板上に膜を形成する膜形成工程と、
 前記膜を露光する露光工程と、
 前記露光工程において露光された膜を現像してパターンを形成する現像工程と、
を含むパターン形成方法。
[20]
 [1]~[12]のいずれかに記載の化合物又はその誘導体を含有する硬化性組成物。
[21]
 ケイ素含有化合物を更に含有する、[20]に記載の硬化性組成物。
[22]
 前記ケイ素含有化合物が、加水分解性オルガノシラン、その加水分解物又はその加水分解縮合物である、[21]に記載の硬化性組成物。
[23]
 溶媒、酸発生剤、酸架橋剤、及びこれらの組合せからなる群より選択される成分を更に含有する、[20]~[22]のいずれかに記載の硬化性組成物。
[24]
 [20]~[23]のいずれかに記載の硬化性組成物から形成された下層膜。
[25]
 [20]~[23]のいずれかに記載の硬化性組成物を用いてレジスト下層膜を形成する工程と、
 前記レジスト下層膜上に、少なくとも1層のフォトレジスト層を形成する工程と、
 前記フォトレジスト層の所定の領域に放射線を照射し、現像を行う工程と、
を備える、パターン形成方法。
[26]
 [20]~[23]のいずれかに記載の硬化性組成物から形成された光学物品。
[27]
 [1]~[12]のいずれかに記載の化合物又はその誘導体を、水と任意に混和しない有機溶媒を含む溶媒に溶解させて溶液(B)を得る工程と、
 得られた溶液(B)と酸性の水溶液とを接触させて、前記化合物又はその誘導体中の不純物を抽出する第一抽出工程と、
を含む精製方法。
[28]
 前記酸性の水溶液が、塩酸、硫酸、硝酸及びリン酸からなる群より選ばれる1種以上の鉱酸水溶液;又は、酢酸、プロピオン酸、蓚酸、マロン酸、コハク酸、フマル酸、マレイン酸、酒石酸、クエン酸、メタンスルホン酸、フェノールスルホン酸、p-トルエンスルホン酸及びトリフルオロ酢酸からなる群より選ばれる1種以上の有機酸水溶液である、[27]に記載の精製方法。
[29]
 前記水と任意に混和しない有機溶媒が、トルエン、2-ヘプタノン、シクロヘキサノン、シクロペンタノン、メチルイソブチルケトン、プロピレングリコールモノメチルエーテルアセテート及び酢酸エチルからなる群より選ばれる1種以上の有機溶媒である[27]又は[28]に記載の精製方法。
[30]
 前記第一抽出工程後、前記化合物又は誘導体を含む溶液相を更に水と接触させて、前記化合物又は誘導体中の不純物を抽出する第二抽出工程を含む、[27]~[29]のいずれかに記載の精製方法。
As a result of diligent studies to solve the above-mentioned problems, the present inventors have found that a specific compound can solve the above-mentioned problems, and have completed the present invention. That is, the present invention is as follows.
[1]
A compound having a polyphenol moiety, wherein the hydroxyl group of the polyphenol is intramolecularly crosslinked with a group containing a dissociative bond that dissociates under acid or alkaline conditions.
[2]
The compound according to [1], wherein the polyphenol is calixarene.
[3]
The compound according to [1] or [2], which is a compound represented by the formula (P-0C) or (P-1C) described later.
[4]
The compound according to [1] to [3] represented by the formula (P-0A) or (P-1A) described later.
[5]
The compound according to any one of [1] to [4], wherein the dissociative bond is an ester bond.
[6]
The compound according to any one of [1] to [5], wherein the intramolecular crosslinking group is represented by the formula (C-0) described later.
[7]
The compound according to any one of [1] to [6], wherein the intramolecular cross-linking group in the formula (P-0C) is represented by the formula (C-0A) described later.
[8]
The compound according to any one of [1] to [7], which is represented by the formula (M-0) described later.
[9]
The compound according to [8], which is represented by the formula (M-0A) described later.
[10]
The compound according to any one of [1] to [6], wherein the intramolecular cross-linking group in the formula (P-1C) is represented by the formula (C-1A) described later.
[11]
The compound according to any one of [1] to [6] or [10], which is represented by the formula (M-1) described later.
[12]
The compound according to [11], which is represented by the formula (M-1A) described later.
[13]
[1]-[. 12] The method for producing a compound according to any one of.
[14]
The production method according to [13], wherein the cross-linking agent is represented by the formula (C-hal) described later.
[15]
The production method according to [14], wherein the cross-linking agent is represented by the formula (C-0hal) or (C-1hal) described later.
[16]
A composition for forming a resist film containing the compound according to any one of [1] to [12] or a derivative thereof.
[17]
The composition for forming a resist film according to [16], further containing a component selected from the group consisting of a solvent, an acid generator, an acid cross-linking agent, and a combination thereof.
[18]
A resist film formed from the composition according to [16] or [17].
[19]
A film forming step of forming a film on a substrate using the resist film forming composition according to [16] or [17], and a film forming step.
The exposure process for exposing the film and
A developing step of developing a film exposed in the exposure step to form a pattern, and a developing step of forming a pattern.
Pattern forming method including.
[20]
A curable composition containing the compound according to any one of [1] to [12] or a derivative thereof.
[21]
The curable composition according to [20], further containing a silicon-containing compound.
[22]
The curable composition according to [21], wherein the silicon-containing compound is a hydrolyzable organosilane, a hydrolyzate thereof, or a hydrolyzed condensate thereof.
[23]
The curable composition according to any one of [20] to [22], further containing a component selected from the group consisting of a solvent, an acid generator, an acid cross-linking agent, and a combination thereof.
[24]
An underlayer film formed from the curable composition according to any one of [20] to [23].
[25]
A step of forming a resist underlayer film using the curable composition according to any one of [20] to [23], and
A step of forming at least one photoresist layer on the resist underlayer film and
A step of irradiating a predetermined area of the photoresist layer with radiation to develop the photoresist layer,
A pattern forming method.
[26]
An optical article formed from the curable composition according to any one of [20] to [23].
[27]
A step of dissolving the compound according to any one of [1] to [12] or a derivative thereof in a solvent containing an organic solvent that is not miscible with water to obtain a solution (B).
The first extraction step of bringing the obtained solution (B) into contact with an acidic aqueous solution to extract impurities in the compound or its derivative.
Purification method including.
[28]
The acidic aqueous solution is one or more mineral acid aqueous solutions selected from the group consisting of hydrochloric acid, sulfuric acid, nitric acid and phosphoric acid; or acetic acid, propionic acid, oxalic acid, malonic acid, succinic acid, fumaric acid, maleic acid and tartrate acid. The purification method according to [27], which is one or more organic acid aqueous solutions selected from the group consisting of citric acid, methanesulfonic acid, phenolsulfonic acid, p-toluenesulfonic acid and trifluoroacetic acid.
[29]
The organic solvent that is not miscible with water is one or more organic solvents selected from the group consisting of toluene, 2-heptanone, cyclohexanone, cyclopentanone, methyl isobutyl ketone, propylene glycol monomethyl ether acetate and ethyl acetate []. 27] or [28].
[30]
Any of [27] to [29], which comprises a second extraction step of contacting the solution phase containing the compound or derivative with water after the first extraction step to extract impurities in the compound or derivative. The purification method described in.
 本発明によって、高い感度および高い解像度を有するレジスト材料を与える化合物及び組成物を提供できる。 INDUSTRIAL APPLICABILITY According to the present invention, it is possible to provide a compound and a composition that provide a resist material having high sensitivity and high resolution.
BAB-DMHDOのH-NMRスペクトル 1 H-NMR spectrum of BAB-DMHDO BAB-DMHDOのIRスペクトルIR spectrum of BAB-DMHDO BCA[4]-co-BAB-DMHDOのH-NMRスペクトル 1 H-NMR spectrum of BCA [4] -co-BAB-DMHDO BCA[4]-co-BAB-DMHDOのIRスペクトルIR spectrum of BCA [4] -co-BAB-DMHDO
 以下、本発明の実施の形態について説明する(以下、「本実施形態」と称する場合がある)。本実施形態は、本発明を説明するための例示であり、本発明は本実施形態のみに限定されない。 Hereinafter, embodiments of the present invention will be described (hereinafter, may be referred to as "the present embodiment"). The present embodiment is an example for explaining the present invention, and the present invention is not limited to the present embodiment.
 [化合物]
 本実施形態に係る化合物は、ポリフェノールの水酸基が、酸又はアルカリ条件下で解離する解離性結合を含有する基で分子内架橋されている。すなわち、当該化合物は、一態様において2つの水酸基同士を架橋する-O-A-O-という構造を有する基を備える。この場合、Aは酸又はアルカリ条件下で解離する二価の有機基である。当該化合物は、別態様において3つ以上の水酸基が分子内架橋されている。
[Compound]
In the compound according to this embodiment, the hydroxyl group of the polyphenol is intramolecularly crosslinked with a group containing a dissociative bond that dissociates under acid or alkaline conditions. That is, the compound has a group having a structure of —AO— that crosslinks two hydroxyl groups with each other in one embodiment. In this case, A is a divalent organic group that dissociates under acid or alkaline conditions. In another embodiment, the compound has three or more hydroxyl groups intramolecularly crosslinked.
 酸又はアルカリ条件下で解離する解離性結合とは、当該条件で解離する結合であれば限定されないが、例えばエステル結合、アミド結合等を挙げることができる。 The dissociative bond that dissociates under acid or alkaline conditions is not limited as long as it is a bond that dissociates under the conditions, and examples thereof include ester bonds and amide bonds.
 ポリフェノールとは、2以上のフェノール性水酸基を有する化合物であり、好ましくはカリックスアレーンである。本実施態様においてカリックスアレーンとは、フェノール系化合物とアルデヒドとの縮合反応によって得られる環状化合物をいう。 Polyphenol is a compound having two or more phenolic hydroxyl groups, preferably calixarene. In this embodiment, calixarene refers to a cyclic compound obtained by a condensation reaction between a phenolic compound and an aldehyde.
 本実施形態に係る化合物は、好ましくは下記式(P-0C)で表される。 The compound according to this embodiment is preferably represented by the following formula (P-0C).
Figure JPOXMLDOC01-appb-C000019
 
Figure JPOXMLDOC01-appb-C000019
 
 1)L~Lについて
 L~Lは、独立して、単結合、置換基を有していてもよい炭素数1~20の直鎖状のアルキレン基、置換基を有していてもよい炭素数3~20の分岐状アルキレン基、置換基を有していてもよい炭素数3~20のシクロアルキレン基、置換基を有していてもよい炭素数6~24のアリーレン基、-O-、-OC(=O)-、-OC(=O)O-、-O-R-C(=O)O-、-N(R20)-C(=O)-、-N(R20)-C(=O)O-、-S-、-SO-、-SO-およびこれらの任意の組み合わせからなる群から選択される二価の有機基である。
1) L 1 ~ L 4 L 1 ~ L 4 For each independently a single bond, a straight-chain alkylene group of having 1 to 20 carbon atoms have a substituent, substituted A branched alkylene group having 3 to 20 carbon atoms, a cycloalkylene group having 3 to 20 carbon atoms which may have a substituent, and an arylene group having 6 to 24 carbon atoms which may have a substituent may be used. , -O-, -OC (= O)-, -OC (= O) O-, -O-R 2- C (= O) O-, -N (R 20 ) -C (= O)-, A divalent organic group selected from the group consisting of -N (R 20 ) -C (= O) O-, -S-, -SO-, -SO 2- and any combination thereof.
 前記直鎖状アルキレン基として、好ましくは炭素数1~4のアルキレン基が挙げられる。前記分岐状アルキレン基として、好ましくは炭素数3~6のアルキレン基が挙げられる。前記シクロアルキレン基として、好ましくは炭素数5~7のシクロアルキレン基が挙げられる。前記アリーレン基として、好ましくはフェニレン基、ナフチレン基が挙げられる。Rは炭素数1~10のアルキレン基であり、好ましくは炭素数1~4のアルキレン基、より好ましくはメチレン基である。R20は、水素原子又は置換基を有していてもよい炭素数1~10のアルキル基であり、好ましくは水素原子又はメチル基である。 As the linear alkylene group, an alkylene group having 1 to 4 carbon atoms is preferable. Examples of the branched alkylene group include an alkylene group having 3 to 6 carbon atoms. As the cycloalkylene group, a cycloalkylene group having 5 to 7 carbon atoms is preferable. Examples of the arylene group include a phenylene group and a naphthylene group. R 2 is an alkylene group having 1 to 10 carbon atoms, preferably an alkylene group having 1 to 4 carbon atoms, more preferably a methylene group. R 20 is an alkyl group having 1 to 10 carbon atoms which may have a hydrogen atom or a substituent, and is preferably a hydrogen atom or a methyl group.
 一態様において、2以上のL~Lは-O-R-C(=O)O基であり、分子内架橋に関与することができる。2以上のL~Lが分子内架橋に関与する場合、いくつかのR16~R19が2価の基として分子内架橋に関与することが好ましい。また、Rは好ましくは炭素数1~4のアルキレン基、より好ましくはメチレン基である。 In one embodiment, two or more L 1 ~ L 4 is -O-R 2 -C (= O ) O group, it can be involved in intramolecular bridge. When two or more L 1 to L 4 are involved in the intramolecular cross-linking, it is preferable that some R 16 to R 19 are involved in the intramolecular cross-linking as a divalent group. Further, R 2 is preferably an alkylene group having 1 to 4 carbon atoms, and more preferably a methylene group.
 2)R16~R19について
 R16~R19は、分子内架橋に関与しない場合、独立して、以下から選択される基である。
 置換基を有していてもよい炭素数1~20の直鎖状アルキル基;
 置換基を有していてもよい炭素数3~20のシクロアルキル基;
 置換基を有していてもよい炭素数6~20のアリール基;
 置換基を有していてもよい炭素数1~20のアルコキシル基;
 シアノ基;
 ニトロ基;
 水酸基;
 複素環基;
 ハロゲン原子;
 カルボキシル基;
 炭素数1~20のアルキルシリル基;
 酸により解離する性質を有する、炭素数2~20の置換メチル基、炭素数3~20の1-置換エチル基、炭素数4~20の1-置換-n-プロピル基、炭素数3~20の1-分岐アルキル基、炭素数1~20のシリル基、炭素数2~20のアシル基、炭素数2~20の1-置換アルコキシアルキル基、炭素数2~20の環状エーテル基、炭素数2~20のアルコキシカルボニル基、及びアルコキシカルボニルアルキル基からなる群から選択される基;
水素原子。
 R16~R19が分子内架橋に関与する場合、独立して上記から選択される基に由来する二価の基であってよい。
R 16 ~ R 19 for 2) R 16 ~ R 19, when not involved in intramolecular bridge, independently, a group selected from the following.
A linear alkyl group having 1 to 20 carbon atoms which may have a substituent;
A cycloalkyl group having 3 to 20 carbon atoms which may have a substituent;
An aryl group having 6 to 20 carbon atoms which may have a substituent;
An alkoxyl group having 1 to 20 carbon atoms which may have a substituent;
Cyano group;
Nitro group;
Hydroxy group;
Heterocyclic group;
Halogen atom;
Carboxyl group;
Alkylsilyl group with 1 to 20 carbon atoms;
Substituent methyl group having 2 to 20 carbon atoms, 1-substituted ethyl group having 3 to 20 carbon atoms, 1-substituted-n-propyl group having 4 to 20 carbon atoms, and 3 to 20 carbon atoms having the property of being dissociated by an acid. 1-branched alkyl group, silyl group having 1 to 20 carbon atoms, acyl group having 2 to 20 carbon atoms, 1-substituted alkoxyalkyl group having 2 to 20 carbon atoms, cyclic ether group having 2 to 20 carbon atoms, carbon number of carbon atoms. A group selected from the group consisting of 2 to 20 alkoxycarbonyl groups and alkoxycarbonylalkyl groups;
Hydrogen atom.
When R 16 to R 19 are involved in intramolecular cross-linking, they may be divalent groups independently derived from the groups selected from the above.
 前記アルキル基として、好ましくは炭素数1~4のアルキル基、より好ましくはt-ブチル基が挙げられる。前記シクロアルキル基として、好ましくは炭素数5~7のシクロアルキル基が挙げられる。前記アリール基として、好ましくはフェニル基、ナフチル基が挙げられる。前記アルコキシル基として、好ましくは炭素数が1~4のアルコキシル基が挙げられる。 Examples of the alkyl group include an alkyl group having 1 to 4 carbon atoms, and more preferably a t-butyl group. As the cycloalkyl group, a cycloalkyl group having 5 to 7 carbon atoms is preferable. Examples of the aryl group include a phenyl group and a naphthyl group. Examples of the alkoxyl group include an alkoxyl group having 1 to 4 carbon atoms.
 前記複素環基としては、例えば炭素数が4~20であり、ヘテロ原子としてO、S、又はNを含む複素環基が挙げられ、好ましくはフラニル基、チオフェニル基、イミダゾリル基、ピロリル基、ピリジル基等が挙げられる。前記アルキルシリル基として、好ましくは炭素数1~20のアルキルシリル基が挙げられる。 Examples of the heterocyclic group include a heterocyclic group having 4 to 20 carbon atoms and containing O, S, or N as a heteroatom, preferably a furanyl group, a thiophenyl group, an imidazolyl group, a pyrrolyl group, and pyridyl. The group etc. can be mentioned. Examples of the alkylsilyl group include an alkylsilyl group having 1 to 20 carbon atoms.
 ハロゲン原子としては、F、Cl、Br、Iが挙げられるが、好ましくはF又はClである。 Examples of the halogen atom include F, Cl, Br, and I, but F or Cl is preferable.
 酸により解離する性質を有する炭素数2~20の置換メチル基としては、炭素数4~18の置換メチル基が好ましく、炭素数6~16の置換メチル基がより好ましい。置換メチル基の具体例としては、以下に限定されないが、メトキシメチル基、メチルチオメチル基、エトキシメチル基、n-プロポキシメチル基、イソプロポキシメチル基、n-ブトキシメチル基、t-ブトキシメチル基、2-メチルプロポキシメチル基、エチルチオメチル基、メトキシエトキシメチル基、フェニルオキシメチル基、1-シクロペンチルオキシメチル基、1-シクロヘキシルオキシメチル基、ベンジルチオメチル基、フェナシル基、4-ブロモフェナシル基、4-メトキシフェナシル基、ピペロニル基、及び下記式(1)で表される置換基群等を挙げることができる。下記式(1)中、R2Aは、炭素数1~4のアルキル基である。R2Aの具体例としては、限定されないが、メチル基、エチル基、イソプロピル基、n-プロピル基、t-ブチル基、n-ブチル基等が挙げられる。 As the substituted methyl group having 2 to 20 carbon atoms having the property of being dissociated by an acid, a substituted methyl group having 4 to 18 carbon atoms is preferable, and a substituted methyl group having 6 to 16 carbon atoms is more preferable. Specific examples of the substituted methyl group include, but are not limited to, a methoxymethyl group, a methylthiomethyl group, an ethoxymethyl group, an n-propoxymethyl group, an isopropoxymethyl group, an n-butoxymethyl group, and a t-butoxymethyl group. 2-Methylpropoxymethyl group, ethylthiomethyl group, methoxyethoxymethyl group, phenyloxymethyl group, 1-cyclopentyloxymethyl group, 1-cyclohexyloxymethyl group, benzylthiomethyl group, phenacyl group, 4-bromophenacyl group, 4 -Methylphenacyl group, piperonyl group, substituent group represented by the following formula (1) and the like can be mentioned. In the following formula (1), R 2A is an alkyl group having 1 to 4 carbon atoms. Specific examples of R 2A include, but are not limited to, a methyl group, an ethyl group, an isopropyl group, an n-propyl group, a t-butyl group, an n-butyl group and the like.
Figure JPOXMLDOC01-appb-C000020
 
Figure JPOXMLDOC01-appb-C000020
 
 酸により解離する性質を有する炭素数3~20の1-置換エチル基としては、炭素数5~18の1-置換エチル基が好ましく、炭素数7~16の置換エチル基がより好ましい。1-置換エチル基の具体例としては、以下に限定されないが、1-メトキシエチル基、1-メチルチオエチル基、1,1-ジメトキシエチル基、1-エトキシエチル基、1-エチルチオエチル基、1,1-ジエトキシエチル基、n-プロポキシエチル基、イソプロポキシエチル基、n-ブトキシエチル基、t-ブトキシエチル基、2-メチルプロポキシエチル基、1-フェノキシエチル基、1-フェニルチオエチル基、1,1-ジフェノキシエチル基、1-シクロペンチルオキシエチル基、1-シクロヘキシルオキシエチル基、1-フェニルエチル基、1,1-ジフェニルエチル基、及び下記式(2)で表される置換基群等を挙げることができる。下記式(2)中、R2Aは、前記式(1)で定義されるとおりである。 As the 1-substituted ethyl group having 3 to 20 carbon atoms having the property of being dissociated by an acid, a 1-substituted ethyl group having 5 to 18 carbon atoms is preferable, and a substituted ethyl group having 7 to 16 carbon atoms is more preferable. Specific examples of the 1-substituted ethyl group include, but are not limited to, 1-methoxyethyl group, 1-methylthioethyl group, 1,1-dimethoxyethyl group, 1-ethoxyethyl group, 1-ethylthioethyl group, 1,1-diethoxyethyl group, n-propoxyethyl group, isopropoxyethyl group, n-butoxyethyl group, t-butoxyethyl group, 2-methylpropoxyethyl group, 1-phenoxyethyl group, 1-phenylthioethyl Group, 1,1-diphenoxyethyl group, 1-cyclopentyloxyethyl group, 1-cyclohexyloxyethyl group, 1-phenylethyl group, 1,1-diphenylethyl group, and substitution represented by the following formula (2). The base group and the like can be mentioned. In the following formula (2), R 2A is as defined by the above formula (1).
Figure JPOXMLDOC01-appb-C000021
 
Figure JPOXMLDOC01-appb-C000021
 
 酸により解離する性質を有する炭素数4~20の1-置換-n-プロピル基としては、炭素数6~18の1-置換-n-プロピル基が好ましく、炭素数8~16の1-置換-n-プロピル基がより好ましい。1-置換-n-プロピル基の具体例としては、以下に限定されないが、1-メトキシ-n-プロピル基及び1-エトキシ-n-プロピル基等を挙げることができる。 As the 1-substituted-n-propyl group having 4 to 20 carbon atoms which has the property of being dissociated by an acid, the 1-substituted-n-propyl group having 6 to 18 carbon atoms is preferable, and the 1-substituted-n-propyl group having 8 to 16 carbon atoms is preferable. The -n-propyl group is more preferred. Specific examples of the 1-substituted-n-propyl group include, but are not limited to, 1-methoxy-n-propyl group and 1-ethoxy-n-propyl group.
 酸により解離する性質を有する炭素数3~20の1-分岐アルキル基としては、炭素数5~18の1-分岐アルキル基が好ましく、炭素数7~16の分岐アルキル基がより好ましい。1-分岐アルキル基の具体例としては、以下に限定されないが、イソプロピル基、sec-ブチル基、t-ブチル基、1,1-ジメチルプロピル基、1-メチルブチル基、1,1-ジメチルブチル基、2-メチルアダマンチル基、及び2-エチルアダマンチル基等を挙げることができる。 As the 1-branched alkyl group having 3 to 20 carbon atoms which has the property of being dissociated by an acid, a 1-branched alkyl group having 5 to 18 carbon atoms is preferable, and a branched alkyl group having 7 to 16 carbon atoms is more preferable. Specific examples of the 1-branched alkyl group are not limited to the following, but are limited to an isopropyl group, a sec-butyl group, a t-butyl group, a 1,1-dimethylpropyl group, a 1-methylbutyl group and a 1,1-dimethylbutyl group. , 2-Methyl adamantyl group, 2-ethyl adamantyl group and the like.
 酸により解離する性質を有する炭素数1~20のシリル基としては、炭素数3~18のシリル基が好ましく、炭素数5~16のシリル基がより好ましい。シリル基の具体例としては、以下に限定されないが、トリメチルシリル基、エチルジメチルシリル基、メチルジエチルシリル基、トリエチルシリル基、t-ブチルジメチルシリル基、t-ブチルジエチルシリル基、t-ブチルジフェニルシリル基、トリ-t-ブチルシリル基及びトリフェニルシリル基等を挙げることができる。 As the silyl group having 1 to 20 carbon atoms having the property of being dissociated by an acid, a silyl group having 3 to 18 carbon atoms is preferable, and a silyl group having 5 to 16 carbon atoms is more preferable. Specific examples of the silyl group include, but are not limited to, a trimethylsilyl group, an ethyldimethylsilyl group, a methyldiethylsilyl group, a triethylsilyl group, a t-butyldimethylsilyl group, a t-butyldiethylsilyl group, and a t-butyldiphenylsilyl. Examples include a group, a tri-t-butylsilyl group, a triphenylsilyl group and the like.
 酸により解離する性質を有する炭素数2~20のアシル基としては、炭素数4~18のアシル基が好ましく、炭素数6~16のアシル基がより好ましい。アシル基の具体例としては、以下に限定されないが、アセチル基、フェノキシアセチル基、プロピオニル基、ブチリル基、ヘプタノイル基、ヘキサノイル基、バレリル基、ピバロイル基、イソバレリル基、ラウリロイル基、アダマンチルカルボニル基、ベンゾイル基及びナフトイル基等を挙げることができる。 As the acyl group having 2 to 20 carbon atoms having the property of being dissociated by an acid, an acyl group having 4 to 18 carbon atoms is preferable, and an acyl group having 6 to 16 carbon atoms is more preferable. Specific examples of the acyl group include, but are not limited to, an acetyl group, a phenoxyacetyl group, a propionyl group, a butyryl group, a heptanoyle group, a hexanoyl group, a valeryl group, a pivaloyl group, an isovaleryl group, a laurylloyl group, an adamantyl carbonyl group and a benzoyl group. Examples include groups and naphthoyl groups.
 酸により解離する性質を有する炭素数2~20の1-置換アルコキシアルキル基としては、炭素数2~20の1-置換アルコキシメチル基が好ましく、炭素数4~18の1-置換アルコキシメチル基がより好ましく、炭素数6~16の1-置換アルコキシメチル基がさらに好ましい。1-置換アルコキシメチル基の具体例としては、以下に限定されないが、1-シクロペンチルメトキシメチル基、1-シクロペンチルエトキシメチル基、1-シクロヘキシルメトキシメチル基、1-シクロヘキシルエトキシメチル基、1-シクロオクチルメトキシメチル基及び1-アダマンチルメトキシメチル基等を挙げることができる。 As the 1-substituted alkoxyalkyl group having 2 to 20 carbon atoms which has the property of being dissociated by an acid, a 1-substituted alkoxymethyl group having 2 to 20 carbon atoms is preferable, and a 1-substituted alkoxymethyl group having 4 to 18 carbon atoms is preferable. More preferably, a 1-substituted alkoxymethyl group having 6 to 16 carbon atoms is further preferable. Specific examples of the 1-substituted alkoxymethyl group are not limited to the following, but are limited to 1-cyclopentylmethoxymethyl group, 1-cyclopentylethoxymethyl group, 1-cyclohexylmethoxymethyl group, 1-cyclohexylethoxymethyl group and 1-cyclooctyl. Examples thereof include a methoxymethyl group and a 1-adamantyl methoxymethyl group.
 酸により解離する性質を有する炭素数2~20の環状エーテル基としては、炭素数4~18の環状エーテル基が好ましく、炭素数6~16の環状エーテル基がより好ましい。環状エーテル基の具体例としては、以下に限定されないが、テトラヒドロピラニル基、テトラヒドロフラニル基、テトラヒドロチオピラニル基、テトラヒドロチオフラニル基、4-メトキシテトラヒドロピラニル基及び4-メトキシテトラヒドロチオピラニル基等を挙げることができる。 As the cyclic ether group having 2 to 20 carbon atoms which has the property of being dissociated by an acid, a cyclic ether group having 4 to 18 carbon atoms is preferable, and a cyclic ether group having 6 to 16 carbon atoms is more preferable. Specific examples of the cyclic ether group include, but are not limited to, a tetrahydropyranyl group, a tetrahydropyranyl group, a tetrahydrothiopyranyl group, a tetrahydrothiofuranyl group, a 4-methoxytetrahydropyranyl group and a 4-methoxytetrahydrothiopyrani. Lu groups and the like can be mentioned.
 酸により解離する性質を有する炭素数2~20のアルコキシカルボニル基としては、炭素数4~18のアルコキシカルボニル基が好ましく、炭素数6~16のアルコキシカルボニル基がより好ましい。アルコキシカルボニル基の具体例としては、以下に限定されないが、メトキシカルボニル基、エトキシカルボニル基、n-プロポキシカルボニル基、イソプロポキシカルボニル基、n-ブトキシカルボニル基、t-ブトキシカルボニル基、下記式(3)のn=0で表される基等を挙げることができる。 As the alkoxycarbonyl group having 2 to 20 carbon atoms which has the property of being dissociated by an acid, an alkoxycarbonyl group having 4 to 18 carbon atoms is preferable, and an alkoxycarbonyl group having 6 to 16 carbon atoms is more preferable. Specific examples of the alkoxycarbonyl group include, but are not limited to, a methoxycarbonyl group, an ethoxycarbonyl group, an n-propoxycarbonyl group, an isopropoxycarbonyl group, an n-butoxycarbonyl group, a t-butoxycarbonyl group, and the following formula (3). ) Can be mentioned as a group represented by n = 0.
 酸により解離する性質を有するアルコキシカルボニルアルキル基としては、炭素数3~20のアルコキシカルボニルアルキル基が好ましく、炭素数4~18のアルコキシカルボニルアルキル基がより好ましく、炭素数6~16のアルコキシカルボニルアルキル基が更に好ましい。アルコキシカルボニルアルキル基の具体例としては、以下に限定されないが、メトキシカルボニルメチル基、エトキシカルボニルメチル基、n-プロポキシカルボニルメチル基、イソプロポキシカルボニルメチル基、n-ブトキシカルボニルメチル基、下記式(3)のn=1~4で表される基等を挙げることができる。 As the alkoxycarbonylalkyl group having the property of being dissociated by an acid, an alkoxycarbonylalkyl group having 3 to 20 carbon atoms is preferable, an alkoxycarbonylalkyl group having 4 to 18 carbon atoms is more preferable, and an alkoxycarbonylalkyl group having 6 to 16 carbon atoms is more preferable. Groups are even more preferred. Specific examples of the alkoxycarbonylalkyl group include, but are not limited to, a methoxycarbonylmethyl group, an ethoxycarbonylmethyl group, an n-propoxycarbonylmethyl group, an isopropoxycarbonylmethyl group, an n-butoxycarbonylmethyl group, and the following formula (3). ) N = 1 to 4, and the like can be mentioned.
Figure JPOXMLDOC01-appb-C000022
 
Figure JPOXMLDOC01-appb-C000022
 
 前記式(3)中、R3Aは水素原子又は炭素数1~4の直鎖状若しくは分岐状アルキル基であり、nは0~4の整数である。 In the formula (3), R 3A is a hydrogen atom or a linear or branched alkyl group having 1 to 4 carbon atoms, and n is an integer of 0 to 4.
 3)L16~L19基について
 m7~10は、それぞれこれらの基の数を表し、独立して1~4の整数である。m7~10が大きいと化合物が不安定になることがあるので、m7~10は、好ましくは1~2、より好ましくは1である。
3) About L 1 R 16 to L 4 R 19 groups m 7 to 10 represent the number of these groups, respectively, and are independently integers of 1 to 4. If m 7 to 10 is large, the compound may become unstable, so m 7 to 10 is preferably 1 to 2, more preferably 1.
 L16~L19基のうち少なくとも2つは、ベンゼン環とエーテル結合しており、かつ分子内架橋基を形成する。一態様において、L16~L19基のうち2つの基は式(C-0)で表される分子内架橋基を形成する。 At least two of the L 1 R 16 to L 4 R 19 groups are ether-bonded to the benzene ring and form an intramolecular cross-linking group. In one embodiment, two of the L 1 R 16 to L 4 R 19 groups form an intramolecular cross-linking group represented by the formula (C-0).
Figure JPOXMLDOC01-appb-C000023
 
Figure JPOXMLDOC01-appb-C000023
 
 上記式中、AはR16~R19に由来する2価の基である。具体的にAは、前述のアルキル基;シクロアルキル基;アリール基;アルコキシル基;複素環基;カルボキシル基;炭素数1~20のアルキルシリル基;酸により解離する性質を有する基に由来する2価の基である。化合物の製造の容易性等を考慮すると、Aは、好ましくは炭素数が4~10のアルキレン基である。よって、L16~L19基のうち2つの基はより好ましくは式(C-0A)で表わされる分子内架橋基を形成する。 In the above formula, A is a divalent group derived from R 16 to R 19. Specifically, A is derived from the above-mentioned alkyl group; cycloalkyl group; aryl group; alkoxyl group; heterocyclic group; carboxyl group; alkylsilyl group having 1 to 20 carbon atoms; a group having a property of being dissociated by an acid 2 It is the basis of the price. Considering the ease of producing the compound and the like, A is preferably an alkylene group having 4 to 10 carbon atoms. Therefore, two of the L 1 R 16 to L 4 R 19 groups more preferably form an intramolecular cross-linking group represented by the formula (C-0A).
Figure JPOXMLDOC01-appb-C000024
 
Figure JPOXMLDOC01-appb-C000024
 
 4)R12~R15について
 R12~R15は独立して、水素原子、炭素数1~20のアルキル基、又は下記式(P-0C-1)で表わされる炭素数6~24のアリール基又はこれらから誘導される基である。前記炭素数1~20のアルキル基としては、R16~R19で説明したものを挙げることができる。R12~R15は好ましくは水素原子である。
4) R 12 ~ R 15 for R 12 ~ R 15 are independently hydrogen atom, an alkyl group having 1 to 20 carbon atoms, or aryl of the formula (P-0C-1) 6 to 24 carbon atoms represented by A group or a group derived from these. The alkyl group having 1 to 20 carbon atoms, may be mentioned those described in R 16 ~ R 19. R 12 to R 15 are preferably hydrogen atoms.
Figure JPOXMLDOC01-appb-C000025
 
Figure JPOXMLDOC01-appb-C000025
 
 式(P-0C-1)において、R21は以下から選択される。
 置換基を有していてもよい炭素数1~20のアルキル基;
 置換基を有していてもよい炭素数3~20のシクロアルキル基;
 置換基を有していてもよい炭素数6~20のアリール基;
 置換基を有していてもよい炭素数1~20のアルコキシ基、
 シアノ基;
 ニトロ基;
 複素環基;
 ハロゲン原子;
 カルボキシル基;
 炭素数1~20のアルキルシリル基;
 酸により解離する性質を有する、炭素数2~20の置換メチル基、炭素数3~20の1-置換エチル基、炭素数4~20の1-置換-n-プロピル基、炭素数3~20の1-分岐アルキル基、炭素数1~20のシリル基、炭素数2~20のアシル基、炭素数2~20の1-置換アルコキシアルキル基、炭素数2~20の環状エーテル基、炭素数2~20のアルコキシカルボニル基、及びアルコキシカルボニルアルキル基からなる群から選択される基。
In the formula (P-0C-1), R 21 is selected from the following.
Alkyl group having 1 to 20 carbon atoms which may have a substituent;
A cycloalkyl group having 3 to 20 carbon atoms which may have a substituent;
An aryl group having 6 to 20 carbon atoms which may have a substituent;
An alkoxy group having 1 to 20 carbon atoms, which may have a substituent,
Cyano group;
Nitro group;
Heterocyclic group;
Halogen atom;
Carboxyl group;
Alkylsilyl group with 1 to 20 carbon atoms;
Substituent methyl group having 2 to 20 carbon atoms, 1-substituted ethyl group having 3 to 20 carbon atoms, 1-substituted-n-propyl group having 4 to 20 carbon atoms, and 3 to 20 carbon atoms having the property of being dissociated by an acid. 1-branched alkyl group, silyl group having 1 to 20 carbon atoms, acyl group having 2 to 20 carbon atoms, 1-substituted alkoxyalkyl group having 2 to 20 carbon atoms, cyclic ether group having 2 to 20 carbon atoms, carbon number of carbon atoms. A group selected from the group consisting of 2 to 20 alkoxycarbonyl groups and alkoxycarbonylalkyl groups.
 これらの基の具体例は、R16~R19で説明したとおりである。また、pはR21の数を示し、独立して0~5の整数である。pは好ましくは0~1、より好ましくは0である。 Specific examples of these groups are as described in R 16 ~ R 19. Further, p 7 indicates the number of R 21 and is an independent integer of 0 to 5. p 7 is 0 to 1, more preferably preferably 0.
 本実施態様の化合物は、好ましくは式(P-0A)で表される。式中、Rは炭素数1~10の直鎖のアルキル基又は炭素数3~10の分岐状のアルキル基であり、好ましくはt-ブチル基である。当該化合物は、式(P-0C)において、R12~R15が水素原子であり、m7~10が2である。そして一つのベンゼン環に存在する2つのL16のうち、一方はR(例えばLが炭素数1~10の直鎖のアルキレン基又は炭素数3~10の分岐状のアルキレン基、R16が水素原子)であり、他方はDである。その他のベンゼン環に存在するL17~L19についても同様である。ただしD~Dのうち2以上の基はベンゼン環とエーテル結合している分子内架橋基であり、D~Dのうち当該架橋に関与しない基はOH基である。中でも、DとDとが、或いはDとDとが分子内架橋基を形成することが好ましい。当該分子内架橋基は、例えば前述の式(C-0)または式(C-0A)で表される。 The compound of this embodiment is preferably represented by the formula (P-0A). In the formula, RA is a linear alkyl group having 1 to 10 carbon atoms or a branched alkyl group having 3 to 10 carbon atoms, and is preferably a t-butyl group. In the compound, in the formula (P-0C), R 12 to R 15 are hydrogen atoms, and m 7 to 10 are 2. And of the two L 1 R 16 existing in one benzene ring, one is RA (for example, a linear alkylene group in which L 1 has 1 to 10 carbon atoms or a branched alkylene group having 3 to 10 carbon atoms, R 16 is a hydrogen atom), and the other is D. The same applies to L 2 R 17 to L 4 R 19 existing in other benzene rings. However, two or more groups of D 1 to D 4 are intramolecular cross-linking groups that are ether-bonded to the benzene ring, and groups of D 1 to D 4 that are not involved in the cross-linking are OH groups. Above all, it is preferable that D 1 and D 4 or D 2 and D 3 form an intramolecular cross-linking group. The intramolecular crosslinking group is represented by, for example, the above-mentioned formula (C-0) or formula (C-0A).
Figure JPOXMLDOC01-appb-C000026
 
Figure JPOXMLDOC01-appb-C000026
 
 本実施態様の化合物は、より好ましくは式(P-0B)で表される。 The compound of this embodiment is more preferably represented by the formula (P-0B).
Figure JPOXMLDOC01-appb-C000027
 
Figure JPOXMLDOC01-appb-C000027
 
 本実施態様の化合物は、特に好ましくは式(M-0)で表される。Xは式(X-0)で表される基である。Aは前記のとおり定義される。 The compound of this embodiment is particularly preferably represented by the formula (M-0). X 0 is a group represented by the formula (X-0). A is defined as described above.
Figure JPOXMLDOC01-appb-C000028
 
Figure JPOXMLDOC01-appb-C000028
 
 本実施態様の化合物は、とりわけ好ましくは式(M-0A)で表される。Xは式(X-0A)で表される基である。 The compound of this embodiment is particularly preferably represented by the formula (M-0A). X 0 is a group represented by the formula (X-0A).
Figure JPOXMLDOC01-appb-C000029
 
Figure JPOXMLDOC01-appb-C000029
 
 本発明において「置換」とは別段定義がない限り、官能基中の一つ以上の水素原子が、置換基で置換されることを意味する。「置換基」としては、特に限定されないが、例えば、ハロゲン原子、水酸基、シアノ基、ニトロ基、アミノ基、チオール基、複素環基、炭素数1~20の直鎖状脂肪族炭化水素基、炭素数3~20の分岐状脂肪族炭化水素基、炭素数3~20の環状脂肪族炭化水素基、炭素数6~20のアリール基、炭素数1~20のアルコキシル基、炭素数0~20のアミノ基、炭素数2~20のアルケニル基、炭素数2~20のアルキニル基、炭素数1~20のアシル基、炭素数2~20のアルコキシカルボニル基、炭素数1~20のアルキロイルオキシ基、炭素数7~30のアリーロイルオキシ基又は炭素数1~20のアルキルシリル基が挙げられる。 In the present invention, "substitution" means that one or more hydrogen atoms in a functional group are substituted with a substituent unless otherwise defined. The "substituted group" is not particularly limited, but for example, a halogen atom, a hydroxyl group, a cyano group, a nitro group, an amino group, a thiol group, a heterocyclic group, a linear aliphatic hydrocarbon group having 1 to 20 carbon atoms, and the like. Branched aliphatic hydrocarbon group having 3 to 20 carbon atoms, cyclic aliphatic hydrocarbon group having 3 to 20 carbon atoms, aryl group having 6 to 20 carbon atoms, alkoxyl group having 1 to 20 carbon atoms, 0 to 20 carbon atoms. Amino group, alkenyl group having 2 to 20 carbon atoms, alkynyl group having 2 to 20 carbon atoms, acyl group having 1 to 20 carbon atoms, alkoxycarbonyl group having 2 to 20 carbon atoms, alkiloyloxy having 1 to 20 carbon atoms. Examples thereof include a group, an allyloyloxy group having 7 to 30 carbon atoms or an alkylsilyl group having 1 to 20 carbon atoms.
 本実施形態に係る化合物は、好ましくは下記式(P-1C)で表される。 The compound according to this embodiment is preferably represented by the following formula (P-1C).
Figure JPOXMLDOC01-appb-C000030
 
Figure JPOXMLDOC01-appb-C000030
 
 1)L~L12について
 L~L12は、独立して、単結合、置換基を有していてもよい炭素数1~20の直鎖状のアルキレン基、置換基を有していてもよい炭素数3~20の分岐状アルキレン基、置換基を有していてもよい炭素数3~20のシクロアルキレン基、置換基を有していてもよい炭素数6~24のアリーレン基、-O-、-OC(=O)-、-OC(=O)O-、-O-R-C(=O)O-、-N(R20)-C(=O)-、-N(R20)-C(=O)O-、-S-、-SO-、-SO-およびこれらの任意の組み合わせからなる群から選択される二価の有機基である。
1) L 5 ~ L 12 L 5 ~ L 12 For each independently a single bond, a straight-chain alkylene group of having 1 to 20 carbon atoms have a substituent, substituted A branched alkylene group having 3 to 20 carbon atoms, a cycloalkylene group having 3 to 20 carbon atoms which may have a substituent, and an arylene group having 6 to 24 carbon atoms which may have a substituent may be used. , -O-, -OC (= O)-, -OC (= O) O-, -O-R 2- C (= O) O-, -N (R 20 ) -C (= O)-, A divalent organic group selected from the group consisting of -N (R 20 ) -C (= O) O-, -S-, -SO-, -SO 2- and any combination thereof.
 前記直鎖状アルキレン基、前記分岐状アルキレン基、前記シクロアルキレン基、前記アリーレン基としては、式(P-0C)で説明したものが挙げられる。RおよびR20についても式(P-0C)で説明したとおりである。 Examples of the linear alkylene group, the branched alkylene group, the cycloalkylene group, and the arylene group include those described by the formula (P-0C). Is as described in Formula (P-0C) also R 2 and R 20.
 一態様において、2以上のL~L12は-O-R-C(=O)O基であり、分子内架橋に関与することができる。2以上のL~L12が分子内架橋に関与する場合、いくつかのR30~R37が2価の基として分子内架橋に関与することが好ましい。Rについては式(P-0C)で説明したとおりである。 In one embodiment, 2 or more L 5 ~ L 12 is -O-R 2 -C (= O ) O group, can be involved in intramolecular bridge. When two or more L 5 to L 12 are involved in the intramolecular cross-linking, it is preferable that some R 30 to R 37 are involved in the intramolecular cross-linking as a divalent group. For R 2 is as described in Formula (P-0C).
 2)R30~R37について
 R30~R37は、分子内架橋に関与しない場合、独立して、以下から選択される基である。
 置換基を有していてもよい炭素数1~20の直鎖状アルキル基;
 置換基を有していてもよい炭素数3~20のシクロアルキル基;
 置換基を有していてもよい炭素数6~20のアリール基;
 置換基を有していてもよい炭素数1~20のアルコキシル基;
 シアノ基;
 ニトロ基;
 水酸基;
 複素環基;
 ハロゲン原子;
 カルボキシル基;
 炭素数1~20のアルキルシリル基;
 酸により解離する性質を有する、炭素数2~20の置換メチル基、炭素数3~20の1-置換エチル基、炭素数4~20の1-置換-n-プロピル基、炭素数3~20の1-分岐アルキル基、炭素数1~20のシリル基、炭素数2~20のアシル基、炭素数2~20
の1-置換アルコキシアルキル基、炭素数2~20の環状エーテル基、炭素数2~20のアルコキシカルボニル基、及びアルコキシカルボニルアルキル基からなる群から選択される基;
水素原子。
 R30~R37が分子内架橋に関与する場合、独立して上記から選択される基に由来する二価の基であってよい。
2) R 30 ~ R 30 ~ R 37 for R 37, when not involved in intramolecular bridge, independently, a group selected from the following.
A linear alkyl group having 1 to 20 carbon atoms which may have a substituent;
A cycloalkyl group having 3 to 20 carbon atoms which may have a substituent;
An aryl group having 6 to 20 carbon atoms which may have a substituent;
An alkoxyl group having 1 to 20 carbon atoms which may have a substituent;
Cyano group;
Nitro group;
Hydroxy group;
Heterocyclic group;
Halogen atom;
Carboxyl group;
Alkylsilyl group with 1 to 20 carbon atoms;
Substituent methyl group having 2 to 20 carbon atoms, 1-substituted ethyl group having 3 to 20 carbon atoms, 1-substituted-n-propyl group having 4 to 20 carbon atoms, and 3 to 20 carbon atoms having the property of being dissociated by an acid. 1-branched alkyl group, silyl group with 1 to 20 carbon atoms, acyl group with 2 to 20 carbon atoms, 2 to 20 carbon atoms
A group selected from the group consisting of a 1-substituted alkoxyalkyl group, a cyclic ether group having 2 to 20 carbon atoms, an alkoxycarbonyl group having 2 to 20 carbon atoms, and an alkoxycarbonylalkyl group;
Hydrogen atom.
When R 30 to R 37 are involved in intramolecular cross-linking, they may be divalent groups independently derived from the groups selected from the above.
 前記アルキル基、前記シクロアルキル基、前記アリール基、前記アルコキシルとしては、式(P-0C)で説明したものが挙げられる。前記複素環基、前記アルキルシリル基、前記ハロゲン原子、前記酸により解離する性質を有する基としては、式(P-0C)で説明したものが挙げられる。 Examples of the alkyl group, the cycloalkyl group, the aryl group, and the alkoxyl include those described by the formula (P-0C). Examples of the heterocyclic group, the alkylsilyl group, the halogen atom, and the group having the property of being dissociated by the acid include those described by the formula (P-0C).
 3)L30~L1237について
 m11~18は、それぞれこれらの基の数を表し、独立して1~4の整数である。m11~18が大きいと化合物が不安定になることがあるので、m11~18は、好ましくは1~2、より好ましくは1である。
3) About L 5 R 30 to L 12 R 37 m 11 to 18 represent the number of these groups, respectively, and are independently integers of 1 to 4. If m 11 to 18 is large, the compound may become unstable, so m 11 to 18 is preferably 1 to 2, more preferably 1.
 L30~L1237基のうち少なくとも2つは、ベンゼン環とエーテル結合しており、かつ分子内架橋基を形成する。一態様において、L30~L1237基のうち2つの基は前記式(C-0)で表される分子内架橋基を形成する。ただし、この場合、前記式(C-0)中のAはR30~R37に由来する2価の基である。具体的なAについても前述のとおりである。R30~R37はのうち2つの基は、好ましくは式(C-1A)で表わされる分子内架橋基を形成する。 At least two of the L 5 R 30 to L 12 R 37 groups are ether-bonded to the benzene ring and form an intramolecular cross-linking group. In one embodiment, two of the L 5 R 30 to L 12 R 37 groups form an intramolecular cross-linking group represented by the above formula (C-0). However, in this case, A in the formula (C-0) is a divalent group derived from R 30 to R 37. The specific A is also as described above. Two of R 30 to R 37 preferably form an intramolecular cross-linking group represented by the formula (C-1A).
Figure JPOXMLDOC01-appb-C000031
 
Figure JPOXMLDOC01-appb-C000031
 
 4)R22~R29について
 R22~R29は独立して、水素原子、炭素数1~20のアルキル基、又は前記式(P-0C-1)で表わされる炭素数6~24のアリール基又はこれらから誘導される基である。前記炭素数1~20のアルキル基としては、R16~R19で説明したものを挙げることができる。R22~R29は好ましくは水素原子である。
4) R 22 ~ R 29 for R 22 ~ R 29 are independently hydrogen atom, an alkyl group having 1 to 20 carbon atoms, or aryl of the formula (P-0C-1) 6 to 24 carbon atoms represented by A group or a group derived from these. The alkyl group having 1 to 20 carbon atoms, may be mentioned those described in R 16 ~ R 19. R 22 to R 29 are preferably hydrogen atoms.
 本実施態様の化合物は、好ましくは式(P-1A)で表される。式中、Rは炭素数1~10の直鎖状アルキル基又は炭素数3~10の分岐状アルキル基であり、好ましくはt-ブチル基である。当該化合物は、式(P-1C)において、R22~R29が水素原子であり、m11~18が2である。そして一つのベンゼン環に存在する2つのL30のうち、一方はR(例えばLが炭素数1~10の直鎖のアルキレン基又は炭素数3~10の分岐状のアルキレン基、R30が水素原子)であり、他方はDである。その他のベンゼン環に存在するL31~L1237についても同様である。ただしD~D12のうち2以上の基はベンゼン環とエーテル結合している分子内架橋基であり、D~D12のうち当該架橋に関与しない基はOH基である。中でも、DとD11とが、或いはDとD13とが分子内架橋基を形成することが好ましい。当該分子内架橋基は、例えば前述のとおりである。 The compound of this embodiment is preferably represented by the formula (P-1A). Wherein, R B is a linear alkyl group or branched alkyl group having 3 to 10 carbon atoms having 1 to 10 carbon atoms, preferably a t- butyl group. In the compound, in the formula (P-1C), R 22 to R 29 are hydrogen atoms, and m 11 to 18 are 2. And out of one benzene ring into two L 5 R 30 present, one R B (e.g. which L 5 linear alkylene group or branched alkylene group having 3 to 10 carbon atoms having 1 to 10 carbon atoms, R 30 is a hydrogen atom) and the other is D. The same applies to L 6 R 31 to L 12 R 37 existing in other benzene rings. However, two or more groups of D 5 to D 12 are intramolecular cross-linking groups that are ether-bonded to the benzene ring, and groups of D 5 to D 12 that are not involved in the cross-linking are OH groups. Above all, it is preferable that D 5 and D 11 or D 9 and D 13 form an intramolecular cross-linking group. The intramolecular cross-linking group is, for example, as described above.
Figure JPOXMLDOC01-appb-C000032
 
Figure JPOXMLDOC01-appb-C000032
 
 本実施態様の化合物は、より好ましくは式(P-1B)で表される。 The compound of this embodiment is more preferably represented by the formula (P-1B).
Figure JPOXMLDOC01-appb-C000033
 
Figure JPOXMLDOC01-appb-C000033
 
 本実施態様の化合物は、特に好ましくは式(M-1)で表される。Xは式(X-1)で表される基である。AはR30~R37に由来する2価の基である。 The compound of this embodiment is particularly preferably represented by the formula (M-1). X 0 is a group represented by the formula (X-1). A is a divalent group derived from R 30 to R 37.
Figure JPOXMLDOC01-appb-C000034
 
Figure JPOXMLDOC01-appb-C000034
 
 本実施態様の化合物は、とりわけ好ましくは式(M-1A)で表される。Xは式(X-1A)で表される基である。 The compound of this embodiment is particularly preferably represented by the formula (M-1A). X 1 is a group represented by the formula (X-1A).
Figure JPOXMLDOC01-appb-C000035
 
Figure JPOXMLDOC01-appb-C000035
 
 [化合物の製造方法]
 本実施形態に係る化合物の製造方法は、ポリフェノールと、酸又はアルカリ条件下で解離する解離性結合を含有する架橋剤とを反応させて、前記ポリフェノールの2以上の水酸基を、前記化合物で分子内架橋する工程を備える。
[Method for producing compounds]
In the method for producing a compound according to the present embodiment, a polyphenol is reacted with a cross-linking agent containing a dissociative bond that dissociates under acidic or alkaline conditions, and two or more hydroxyl groups of the polyphenol are intramolecularly generated by the compound. A step of cross-linking is provided.
 ポリフェノールとしては前述のものを使用できる。架橋剤としては、例えばエステル結合又はアミド結合を有する化合物が挙げられる。架橋剤は、好ましくは式(C-hal)、より好ましくは式(C-0hal)又は式(C-1hal)で表される。式中、Aは前述のとおりに定義される。Xはハロゲン原子であり、好ましくはF、Cl、又はBrであり、より好ましくはBrである。 The above-mentioned polyphenols can be used. Examples of the cross-linking agent include compounds having an ester bond or an amide bond. The cross-linking agent is preferably represented by the formula (C-hal), more preferably the formula (C-0hal) or the formula (C-1hal). In the formula, A is defined as described above. X is a halogen atom, preferably F, Cl, or Br, and more preferably Br.
 反応温度および時間は、反応速度と副生成物低減の観点から適宜決定されるが、例えば、-10~30℃程度で行うことができる。また、溶媒も限定されずが、ハロゲン化炭化水素等を用いることができる。更に生成するハロゲン化水素をトラップするために塩基性化合物を併用してもよい。係る化合物としては、ピリジン等のアミン類が挙げられる。 The reaction temperature and time are appropriately determined from the viewpoint of reaction rate and reduction of by-products, but can be carried out at, for example, about -10 to 30 ° C. Further, the solvent is not limited, but halogenated hydrocarbons and the like can be used. Further, a basic compound may be used in combination to trap the generated hydrogen halide. Examples of the compound include amines such as pyridine.
Figure JPOXMLDOC01-appb-C000036
 
Figure JPOXMLDOC01-appb-C000036
 
 [組成物]
 本実施形態に係る化合物は、リソグラフィー用材料として好適である。リソグラフィー用材料とは、リソグラフィー技術に用いることのできる材料であり、本実施形態に係る化合物を含有すれば特に限定されず、レジスト用途(即ち、レジスト組成物)等に用いることができる。また本実施形態に係る化合物は硬化性組成物である。当該硬化性組成物は、例えばリソグラフィー用下層膜形成用組成物、光学物品形成用組成物等であることができるが、これらに限定されない。また、当該硬化性組成物は、放射線硬化性、熱硬化性のいずれでもよいが、放射線硬化性であることがより好ましい。また、本実施形態に係る組成物は、前述の本実施形態に係る化合物を調製する工程を経て製造される。例えば、本実施形態に係る化合物と溶媒等の他の成分を公知の方法で混合すること等によって製造できる。
[Composition]
The compound according to this embodiment is suitable as a material for lithography. The lithography material is a material that can be used in lithography technology, and is not particularly limited as long as it contains the compound according to the present embodiment, and can be used for resist applications (that is, resist compositions) and the like. Further, the compound according to this embodiment is a curable composition. The curable composition can be, for example, a composition for forming an underlayer film for lithography, a composition for forming an optical article, and the like, but is not limited thereto. The curable composition may be either radiation-curable or thermosetting, but is more preferably radiation-curable. Further, the composition according to the present embodiment is produced through the steps of preparing the compound according to the above-mentioned present embodiment. For example, it can be produced by mixing the compound according to the present embodiment with other components such as a solvent by a known method.
 1)リソグラフィー用材料組成物
 本実施形態に係るリソグラフィー用材料組成物は、本実施形態に係るリソグラフィー用材料と、溶媒と、を含む。当該リソグラフィー用材料組成物は、高い感度と高い解像度を有するので、良好なレジストパターンを形成できる。また、本実施形態例に係る化合物の分子は拡散速度が適度であるため、高感度を維持したまま高解像度を示す。また、当該化合物は適度な分子量を持つために揮発しにくく、硬化時の膜減りが比較的少ないために当該組成物は平坦性の高い膜を形成できる。
1) Material Composition for Lithography The material composition for lithography according to the present embodiment contains the material for lithography according to the present embodiment and a solvent. Since the material composition for lithography has high sensitivity and high resolution, a good resist pattern can be formed. Further, since the molecule of the compound according to the present embodiment has an appropriate diffusion rate, it exhibits high resolution while maintaining high sensitivity. Further, since the compound has an appropriate molecular weight, it is difficult to volatilize, and the film loss during curing is relatively small, so that the composition can form a highly flat film.
 <レジスト膜形成用組成物の特性>
 本実施形態のリソグラフィー用材料は上述のようにレジスト用途に用いることができ、スピンコート等公知の方法によってアモルファス膜を形成することができる。また、用いる現像液の種類によって、ポジ型レジストパターン及びネガ型レジストパターンのいずれかを作り分けることができる。以下、レジスト膜形成用組成物について説明する。
<Characteristics of resist film forming composition>
The lithography material of the present embodiment can be used for resist applications as described above, and an amorphous film can be formed by a known method such as spin coating. Further, depending on the type of developer used, either a positive resist pattern or a negative resist pattern can be produced separately. Hereinafter, the composition for forming a resist film will be described.
 本実施形態におけるレジスト膜形成用組成物がポジ型レジストパターンの場合、当該組成物をスピンコートして形成したアモルファス膜の23℃における現像液に対する溶解速度は、5Å/sec以下が好ましく、0.05~5Å/secがより好ましく、0.0005~5Å/secが更に好ましい。当該溶解速度が5Å/sec以下であると現像液に不溶なレジストとすることができる。また0.0005Å/sec以上の溶解速度を有すると、解像性が向上する場合もある。これは、本実施形態に係る化合物の露光前後の溶解性の変化により、現像液に溶解する露光部と、現像液に溶解しない未露光部との界面のコントラストが大きくなるからと推測される。またラインエッジラフネスの低減、ディフェクトの低減効果がある。 When the resist film-forming composition in the present embodiment has a positive resist pattern, the dissolution rate of the amorphous film formed by spin-coating the composition in a developing solution at 23 ° C. is preferably 5 Å / sec or less, and 0. 05 to 5 Å / sec is more preferable, and 0.0005 to 5 Å / sec is even more preferable. When the dissolution rate is 5 Å / sec or less, a resist insoluble in a developing solution can be obtained. Further, when the dissolution rate is 0.0005 Å / sec or more, the resolution may be improved. It is presumed that this is because the change in solubility of the compound according to the present embodiment before and after exposure increases the contrast between the exposed portion that dissolves in the developing solution and the unexposed portion that does not dissolve in the developing solution. It also has the effect of reducing line edge roughness and reducing defects.
 本実施形態におけるレジスト膜形成用組成物がネガ型レジストパターンの場合、当該組成物をスピンコートして形成したアモルファス膜の23℃における現像液に対する溶解速度は、10Å/sec以上であることが好ましい。当該溶解速度が10Å/sec以上であると現像液に易溶で、レジストに一層向いている。また10Å/sec以上の溶解速度を有すると、解像性が向上する場合もある。これは、本実施形態に係る化合物のミクロの表面部位が溶解し、ラインエッジラフネスを低減するからと推測される。またディフェクトの低減効果がある。前記溶解速度は、23℃にて、アモルファス膜を所定時間現像液に浸漬させ、その浸漬前後の膜厚を、目視、エリプソメーター又はQCM法等の公知の方法によって測定し決定できる。 When the resist film forming composition in the present embodiment is a negative resist pattern, the dissolution rate of the amorphous film formed by spin-coating the composition in a developing solution at 23 ° C. is preferably 10 Å / sec or more. .. When the dissolution rate is 10 Å / sec or more, it is easily dissolved in a developing solution and is more suitable for a resist. Further, if the dissolution rate is 10 Å / sec or more, the resolution may be improved. It is presumed that this is because the micro surface portion of the compound according to the present embodiment is dissolved and the line edge roughness is reduced. It also has the effect of reducing defects. The dissolution rate can be determined by immersing the amorphous film in a developing solution at 23 ° C. and measuring the film thickness before and after the immersion by a known method such as visual inspection, ellipsometer or QCM method.
 本実施形態のレジスト膜形成用組成物がポジ型レジストパターンの場合、当該組成物をスピンコートして形成したアモルファス膜のKrFエキシマレーザー、極端紫外線、電子線又はX線等の放射線により露光した部分の23℃における現像液に対する溶解速度は、10Å/sec以上であることが好ましい。当該溶解速度が10Å/sec以上であると現像液に易溶で、レジストに一層向いている。また10Å/sec以上の溶解速度を有すると、解像性が向上する場合もある。これは、本実施形態に係る化合物のミクロの表面部位が溶解し、ラインエッジラフネスを低減するからと推測される。またディフェクトの低減効果がある。 When the resist film-forming composition of the present embodiment has a positive resist pattern, a portion of the amorphous film formed by spin-coating the composition exposed to radiation such as KrF excimer laser, extreme ultraviolet rays, electron beam or X-ray. The dissolution rate of the above in a developing solution at 23 ° C. is preferably 10 Å / sec or more. When the dissolution rate is 10 Å / sec or more, it is easily dissolved in a developing solution and is more suitable for a resist. Further, if the dissolution rate is 10 Å / sec or more, the resolution may be improved. It is presumed that this is because the micro surface portion of the compound according to the present embodiment is dissolved and the line edge roughness is reduced. It also has the effect of reducing defects.
 本実施形態のレジスト膜形成用組成物がネガ型レジストパターンの場合、当該組成物をスピンコートして形成したアモルファス膜のKrFエキシマレーザー、極端紫外線、電子線又はX線等の放射線により露光した部分の23℃における現像液に対する溶解速度は、5Å/sec以下が好ましく、0.05~5Å/secがより好ましく、0.0005~5Å/secが更に好ましい。当該溶解速度が5Å/sec以下であると現像液に不溶なレジストとすることができる。また0.0005Å/sec以上の溶解速度を有すると、解像性が向上する場合もある。これは、本実施形態に係る化合物の露光前後の溶解性の変化により、現像液に溶解する未露光部と、現像液に溶解しない露光部との界面のコントラストが大きくなるからと推測される。またラインエッジラフネスの低減、ディフェクトの低減効果がある。 When the resist film-forming composition of the present embodiment is a negative resist pattern, a portion of the amorphous film formed by spin-coating the composition exposed to radiation such as KrF excimer laser, extreme ultraviolet rays, electron beams or X-rays. The dissolution rate of the above in a developing solution at 23 ° C. is preferably 5 Å / sec or less, more preferably 0.05 to 5 Å / sec, still more preferably 0.0005 to 5 Å / sec. When the dissolution rate is 5 Å / sec or less, a resist insoluble in a developing solution can be obtained. Further, when the dissolution rate is 0.0005 Å / sec or more, the resolution may be improved. It is presumed that this is because the change in solubility of the compound according to the present embodiment before and after exposure increases the contrast between the unexposed portion that dissolves in the developing solution and the exposed portion that does not dissolve in the developing solution. It also has the effect of reducing line edge roughness and reducing defects.
 <レジスト膜形成用組成物の他の成分>
 本実施形態のレジスト膜形成用組成物は、本実施形態に係る化合物を固形成分として含有する。本実施形態のレジスト膜形成用組成物は、本実施形態に係る化合物以外に、更に溶媒を含有する。
<Other components of the resist film forming composition>
The resist film forming composition of the present embodiment contains the compound according to the present embodiment as a solid component. The composition for forming a resist film of the present embodiment further contains a solvent in addition to the compound according to the present embodiment.
 本実施形態のレジスト膜形成用組成物で使用される溶媒は、特に限定されないが、例えば、エチレングリコールモノメチルエーテルアセテート、エチレングリコールモノエチルエーテルアセテート、エチレングリコールモノ-n-プロピルエーテルアセテート、エチレングリコールモノ-n-ブチルエーテルアセテート等のエチレングリコールモノアルキルエーテルアセテート類;エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテルなどのエチレングリコールモノアルキルエーテル類;プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノエチルエーテルアセテート(PGMEA)、プロピレングリコールモノ-n-プロピルエーテルアセテート、プロピレングリコールモノ-n-ブチルエーテルアセテート等のプロピレングリコールモノアルキルエーテルアセテート類;プロピレングリコールモノメチルエーテル(PGME)、プロピレングリコールモノエチルエーテルなどのプロピレングリコールモノアルキルエーテル類;乳酸メチル、乳酸エチル、乳酸n-プロピル、乳酸n-ブチル、乳酸n-アミル等の乳酸エステル類;酢酸メチル、酢酸エチル、酢酸n-プロピル、酢酸n-ブチル、酢酸n-アミル、酢酸n-ヘキシル、プロピオン酸メチル、プロピオン酸エチル等の脂肪族カルボン酸エステル類;3-メトキシプロピオン酸メチル、3-メトキシプロピオン酸エチル、3-エトキシプロピオン酸メチル、3-エトキシプロピオン酸エチル、3-メトキシ-2-メチルプロピオン酸メチル、3-メトキシブチルアセテート、3-メチル-3-メトキシブチルアセテート、3-メトキシ-3-メチルプロピオン酸ブチル、3-メトキシ-3-メチル酪酸ブチル、アセト酢酸メチル、ピルビン酸メチル、ピルビン酸エチル等の他のエステル類;トルエン、キシレン等の芳香族炭化水素類;メチルエチルケトン、2-ヘプタノン、3-ヘプタノン、4-ヘプタノン、シクロペンタノン(CPN)、シクロヘキサノン(CHN)等のケトン類;N,N-ジメチルホルムアミド、N-メチルアセトアミド、N,N-ジメチルアセトアミド、N-メチルピロリドン等のアミド類;γ-ラクトン等のラクトン類等を挙げることができる。これらの溶媒は、単独で又は2種以上を使用することができる。 The solvent used in the composition for forming a resist film of the present embodiment is not particularly limited, but for example, ethylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, ethylene glycol mono-n-propyl ether acetate, ethylene glycol mono. Ethethylene glycol monoalkyl ether acetates such as -n-butyl ether acetate; ethylene glycol monoalkyl ethers such as ethylene glycol monomethyl ether and ethylene glycol monoethyl ether; propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate (PGMEA), Propropylene glycol monoalkyl ether acetates such as propylene glycol mono-n-propyl ether acetate and propylene glycol mono-n-butyl ether acetate; propylene glycol monoalkyl ethers such as propylene glycol monomethyl ether (PGME) and propylene glycol monoethyl ether; Lactic acid esters such as methyl lactate, ethyl lactate, n-propyl lactate, n-butyl lactate, n-amyl lactate; methyl acetate, ethyl acetate, n-propyl acetate, n-butyl acetate, n-amyl acetate, n-acetate Aliphatic carboxylic acid esters such as hexyl, methyl propionate, ethyl propionate; methyl 3-methoxypropionate, ethyl 3-methoxypropionate, methyl 3-ethoxypropionate, ethyl 3-ethoxypropionate, 3-methoxy- Methyl 2-methylpropionate, 3-methoxybutyl acetate, 3-methyl-3-methoxybutyl acetate, butyl 3-methoxy-3-methylpropionate, butyl 3-methoxy-3-methylbutyrate, methyl acetoacetate, pyruvate Other esters such as methyl and ethyl pyruvate; aromatic hydrocarbons such as toluene and xylene; methyl ethyl ketone, 2-heptanone, 3-heptanone, 4-heptanone, cyclopentanone (CPN), cyclohexanone (CHN) and the like. Ketones; amides such as N, N-dimethylformamide, N-methylacetamide, N, N-dimethylacetamide, N-methylpyrrolidone; lactones such as γ-lactone and the like can be mentioned. These solvents can be used alone or in combination of two or more.
 本実施形態のレジスト膜形成用組成物で使用される溶媒は、安全溶媒であることが好ましく、より好ましくは、PGMEA、酢酸ブチル、プロピオン酸エチル、及び乳酸エチル等のエステル;PGME等の多価アルコールエーテル;CHN、CPN、2-ヘプタノン、アニソール等の非プロトン性極性溶媒から選ばれる少なくとも一種であり、更に好ましくはPGMEA、PGME及びCHNから選ばれる少なくとも一種である。 The solvent used in the composition for forming a resist film of the present embodiment is preferably a safe solvent, and more preferably an ester such as PGMEA, butyl acetate, ethyl propionate, and ethyl lactate; a polyvalent value such as PGME. Alcohol ether; at least one selected from aprotic polar solvents such as CHN, CPN, 2-heptanone, anisole, and more preferably at least one selected from PGMEA, PGME and CHN.
 本実施形態のレジスト膜形成用組成物において、固形成分の量と溶媒の量との関係は、特に限定されないが、固形成分及び溶媒の合計質量100質量%に対して、固形成分1~80質量%及び溶媒20~99質量%であることが好ましく、より好ましくは固形成分1~50質量%及び溶媒50~99質量%、更に好ましくは固形成分2~40質量%及び溶媒60~98質量%であり、特に好ましくは固形成分2~10質量%及び溶媒90~98質量%である。 In the composition for forming a resist film of the present embodiment, the relationship between the amount of the solid component and the amount of the solvent is not particularly limited, but the solid component is 1 to 80% by mass with respect to 100% by mass of the total mass of the solid component and the solvent. % And 20 to 99% by mass of the solvent, more preferably 1 to 50% by mass of the solid component and 50 to 99% by mass of the solvent, still more preferably 2 to 40% by mass of the solid component and 60 to 98% by mass of the solvent. Yes, particularly preferably 2 to 10% by mass of the solid component and 90 to 98% by mass of the solvent.
 本実施形態のレジスト膜形成用組成物は、他の固形成分として、酸発生剤(C)、酸架橋剤(G)、酸拡散制御剤(E)及びその他の成分(F)からなる群より選ばれる少なくとも一種を含有してもよい。 The composition for forming a resist film of the present embodiment comprises a group consisting of an acid generator (C), an acid cross-linking agent (G), an acid diffusion control agent (E) and other components (F) as other solid components. It may contain at least one selected.
 本実施形態のレジスト膜形成用組成物において、本実施形態に係る化合物の含有量は、特に限定されないが、固形成分の全質量(本実施形態に係る化合物、酸発生剤(C)、酸架橋剤(G)、酸拡散制御剤(E)及びその他の成分(F)などの任意に使用される固形成分の総和、以下同様)の50~99.4質量%であることが好ましく、より好ましくは55~90質量%、更に好ましくは60~80質量%、特に好ましくは60~70質量%である。前記含有量の場合、解像度が一層向上し、ラインエッジラフネス(LER)が一層小さくなる。 In the composition for forming a resist film of the present embodiment, the content of the compound according to the present embodiment is not particularly limited, but the total mass of the solid component (compound according to the present embodiment, acid generator (C), acid cross-linking). The total amount of solid components arbitrarily used such as the agent (G), the acid diffusion control agent (E) and the other component (F), the same applies hereinafter) is preferably 50 to 99.4% by mass, more preferably. Is 55 to 90% by mass, more preferably 60 to 80% by mass, and particularly preferably 60 to 70% by mass. In the case of the above content, the resolution is further improved and the line edge roughness (LER) is further reduced.
 <酸発生剤(C)>
 本実施形態のレジスト膜形成用組成物は、可視光線、紫外線、エキシマレーザー、電子線、極端紫外線(EUV)、X線及びイオンビームから選ばれるいずれかの放射線の照射により直接的又は間接的に酸を発生する酸発生剤(C)を一種以上含有することが好ましい。
<Acid generator (C)>
The composition for forming a resist film of the present embodiment is directly or indirectly irradiated with any radiation selected from visible light, ultraviolet light, excimer laser, electron beam, extreme ultraviolet (EUV), X-ray and ion beam. It is preferable to contain at least one acid generator (C) that generates an acid.
 この場合、本実施形態のレジスト膜形成用組成物において、酸発生剤(C)の含有量は、固形成分の全質量の0.001~49質量%が好ましく、1~40質量%がより好ましく、3~30質量%が更に好ましく、10~25質量%が特に好ましい。前記含有量の範囲内で酸発生剤(C)を使用することにより、一層高感度でかつ一層低エッジラフネスのパターンプロファイルが得られる。 In this case, in the resist film forming composition of the present embodiment, the content of the acid generator (C) is preferably 0.001 to 49% by mass, more preferably 1 to 40% by mass, based on the total mass of the solid components. 3 to 30% by mass is more preferable, and 10 to 25% by mass is particularly preferable. By using the acid generator (C) within the above content range, a pattern profile with higher sensitivity and lower edge roughness can be obtained.
 本実施形態のレジスト膜形成用組成物では、系内に酸が発生すれば、酸の発生方法は限定されない。g線、i線などの紫外線の代わりにエキシマレーザーを使用すれば、より微細加工が可能であるし、また高エネルギー線として電子線、極端紫外線、X線、イオンビームを使用すれば更に微細加工が可能である。 In the resist film forming composition of the present embodiment, if an acid is generated in the system, the method of generating the acid is not limited. Finer processing is possible by using an excimer laser instead of ultraviolet rays such as g-rays and i-rays, and further fine processing is possible by using electron beams, extreme ultraviolet rays, X-rays, and ion beams as high-energy rays. Is possible.
 前記酸発生剤(C)は、特に限定されず、例えば国際公開第2017/033943号に開示された化合物が挙げられる。酸発生剤(C)としては、芳香環を有する酸発生剤が好ましく、アリール基を有するスルホン酸イオンを有する酸発生剤がより好ましく、ジフェニルトリメチルフェニルスルホニウム p-トルエンスルホネート、トリフェニルスルホニウム p-トルエンスルホネート、トリフェニルスルホニウム トリフルオロメタンスルホナート、トリフェニルスルホニウム ノナフルオロメタンスルホナートが特に好ましい。該酸発生剤を用いることで、ラインエッジラフネスを低減することができる。 The acid generator (C) is not particularly limited, and examples thereof include compounds disclosed in International Publication No. 2017/033943. As the acid generator (C), an acid generator having an aromatic ring is preferable, an acid generator having a sulfonic acid ion having an aryl group is more preferable, and diphenyltrimethylphenylsulfonium p-toluenesulfonate and triphenylsulfonium p-toluene are more preferable. Sulfonate, triphenylsulfonium trifluoromethanesulfonate, triphenylsulfonium nonafluoromethanesulfonate are particularly preferable. By using the acid generator, line edge roughness can be reduced.
 また、本実施形態のレジスト膜形成用組成物は、酸発生剤としてジアゾナフトキノン光活性化合物を更に含有することが好ましい。ジアゾナフトキノン光活性化合物は、ポリマー性及び非ポリマー性ジアゾナフトキノン光活性化合物を含む、ジアゾナフトキノン物質であり、一般にポジ型レジスト組成物において、感光性成分として用いられているものであれば特に限定されず、1種又は2種以上任意に選択して用いることができる。これらの中でも低ラフネスおよび溶解性の観点から、非ポリマー性ジアゾナフトキノン光活性化合物であることが好ましく、より好ましくは分子量1500以下の低分子化合物であり、さらに好ましくは分子量1200以下、特に好ましくは分子量1000以下である。このような非ポリマー性ジアゾナフトキノン光活性化合物の好ましい具体例としては、国際公開第2016/158881号に開示された非ポリマー性ジアゾナフトキノン光活性化合物が挙げられる。前記酸発生剤(C)は、単独で又は2種以上を使用することができる。 Further, it is preferable that the resist film forming composition of the present embodiment further contains a diazonaphthoquinone photoactive compound as an acid generator. The diazonaphthoquinone photoactive compound is a diazonaphthoquinone substance containing a polymeric and non-polymeric diazonaphthoquinone photoactive compound, and is particularly limited as long as it is generally used as a photosensitive component in a positive resist composition. However, one type or two or more types can be arbitrarily selected and used. Among these, from the viewpoint of low roughness and solubility, a non-polymeric diazonaphthoquinone photoactive compound is preferable, a low molecular weight compound having a molecular weight of 1500 or less is more preferable, and a molecular weight of 1200 or less is particularly preferable, and a molecular weight is particularly preferable. It is 1000 or less. Preferred specific examples of such a non-polymeric diazonaphthoquinone photoactive compound include the non-polymeric diazonaphthoquinone photoactive compound disclosed in International Publication No. 2016/158881. The acid generator (C) may be used alone or in combination of two or more.
 <酸架橋剤(G)>
 本実施形態のレジスト膜形成用組成物は、ネガ型レジスト材料として使用する場合やポジ型レジスト材料でもパターンの強度を増す為の添加剤として使用する場合に、酸架橋剤(G)を一種以上含むことが好ましい。酸架橋剤(G)とは、酸発生剤(C)から発生した酸の存在下で、本実施形態に係る化合物を分子内又は分子間架橋し得る化合物である。このような酸架橋剤(G)は、特に限定されないが、例えば本実施形態に係る化合物を架橋し得る1種以上の架橋性基を有する化合物を挙げることができる。
<Acid cross-linking agent (G)>
The resist film forming composition of the present embodiment contains one or more acid cross-linking agents (G) when used as a negative resist material or as an additive for increasing the strength of a pattern even in a positive resist material. It is preferable to include it. The acid cross-linking agent (G) is a compound capable of intramolecularly or intermolecularly cross-linking the compound according to the present embodiment in the presence of the acid generated from the acid generator (C). Such an acid cross-linking agent (G) is not particularly limited, and examples thereof include compounds having one or more cross-linking groups capable of cross-linking the compound according to the present embodiment.
 このような架橋性基の具体例としては、特に限定されないが、例えば(i)-R-OH(ここでのRは炭素数1~6のアルキレン基)、-R-O-R’(ここでのRは炭素数1~6のアルキレン基、R’は炭素数1~6のアルキル基)、-R-OCOMe(ここでのRは炭素数1~6のアルキレン基)等のヒドロキシアルキル基又はそれらから誘導される基;(ii)ホルミル基、-R-COOH(ここでのRは炭素数1~6のアルキレン基)等のカルボニル基又はそれらから誘導される基;(iii)ジメチルアミノメチル基、ジエチルアミノメチル基、ジメチロールアミノメチル基、ジエチロールアミノメチル基、モルホリノメチル基等の含窒素基含有基;(iv)グリシジルエーテル基、グリシジルエステル基、グリシジルアミノ基等のグリシジル基含有基;(v)ベンジルオキシメチル基、ベンゾイルオキシメチル基等の、炭素数1~6のアリルオキシ(炭素数1~6のアルキル基)、炭素数1~6のアラルキルオキシ(炭素数1~6のアルキル基)等の芳香族基から誘導される基;(vi)ビニル基、イソプロペニル基等の重合性多重結合含有基等を挙げることができる。酸架橋剤(G)の架橋性基としては、ヒドロキシアルキル基、及びアルコキシアルキル基等が好ましく、特にアルコキシメチル基が好ましい。 Specific examples of such a crosslinkable group are not particularly limited, but are, for example, (i) -R-OH (where R is an alkylene group having 1 to 6 carbon atoms) and -R-OR'(here). R is an alkylene group having 1 to 6 carbon atoms, R'is an alkyl group having 1 to 6 carbon atoms), -R-OCOMe (where R is an alkylene group having 1 to 6 carbon atoms) and other hydroxyalkyl groups. Or a group derived from them; a carbonyl group such as (ii) formyl group, -R-COOH (where R is an alkylene group having 1 to 6 carbon atoms) or a group derived from them; (iii) dimethylamino. Nitrogen-containing group-containing group such as methyl group, diethylaminomethyl group, dimethylolaminomethyl group, dietylolaminomethyl group, morpholinomethyl group; (iv) glycidyl group-containing group such as glycidyl ether group, glycidyl ester group and glycidylamino group. (V) Allyloxy having 1 to 6 carbon atoms (alkyl group having 1 to 6 carbon atoms) such as benzyloxymethyl group and benzoyloxymethyl group, and aralkyloxy having 1 to 6 carbon atoms (alkyl having 1 to 6 carbon atoms). Groups derived from aromatic groups such as (group); (vi) polymerizable multiple bond-containing groups such as vinyl groups and isopropenyl groups can be mentioned. As the crosslinkable group of the acid cross-linking agent (G), a hydroxyalkyl group, an alkoxyalkyl group and the like are preferable, and an alkoxymethyl group is particularly preferable.
 前記架橋性基を有する酸架橋剤(G)としては、特に限定されないが、例えば(i)メチロール基含有メラミン化合物、メチロール基含有ベンゾグアナミン化合物、メチロール基含有ウレア化合物、メチロール基含有グリコールウリル化合物、メチロール基含有フェノール化合物等のメチロール基含有化合物;(ii)アルコキシアルキル基含有メラミン化合物、アルコキシアルキル基含有ベンゾグアナミン化合物、アルコキシアルキル基含有ウレア化合物、アルコキシアルキル基含有グリコールウリル化合物、アルコキシアルキル基含有フェノール化合物等のアルコキシアルキル基含有化合物;(iii)カルボキシメチル基含有メラミン化合物、カルボキシメチル基含有ベンゾグアナミン化合物、カルボキシメチル基含有ウレア化合物、カルボキシメチル基含有グリコールウリル化合物、カルボキシメチル基含有フェノール化合物等のカルボキシメチル基含有化合物;(iv)ビスフェノールA系エポキシ化合物、ビスフェノールF系エポキシ化合物、ビスフェノールS系エポキシ化合物、ノボラック樹脂系エポキシ化合物、レゾール樹脂系エポキシ化合物、ポリ(ヒドロキシスチレン)系エポキシ化合物等のエポキシ化合物等を挙げることができる。 The acid cross-linking agent (G) having a cross-linking group is not particularly limited. Methylol group-containing compounds such as group-containing phenol compounds; (ii) alkoxyalkyl group-containing melamine compounds, alkoxyalkyl group-containing benzoguanamine compounds, alkoxyalkyl group-containing urea compounds, alkoxyalkyl group-containing glycol uryl compounds, alkoxyalkyl group-containing phenol compounds, etc. Alkoxyalkyl group-containing compounds; (iii) Carboxymethyl groups such as carboxymethyl group-containing melamine compounds, carboxymethyl group-containing benzoguanamine compounds, carboxymethyl group-containing urea compounds, carboxymethyl group-containing glycol uryl compounds, and carboxymethyl group-containing phenol compounds. Containing compounds; (iv) bisphenol A-based epoxy compounds, bisphenol F-based epoxy compounds, bisphenol S-based epoxy compounds, novolak resin-based epoxy compounds, resole resin-based epoxy compounds, poly (hydroxystyrene) -based epoxy compounds and other epoxy compounds. Can be mentioned.
 酸架橋剤(G)としては、更に、フェノール性水酸基を有する化合物、並びにアルカリ可溶性樹脂中の酸性官能基に前記架橋性基を導入し、架橋性を付与した化合物及び樹脂を使用することができる。その場合の架橋性基の導入率は、特に限定されず、フェノール性水酸基を有する化合物、及びアルカリ可溶性樹脂中の全酸性官能基に対して、例えば、5~100モル%、好ましくは10~60モル%、更に好ましくは15~40モル%に調節される。前記範囲であると、架橋反応が十分起こり、残膜率の低下、パターンの膨潤現象や蛇行等が避けられるので好ましい。 As the acid cross-linking agent (G), a compound having a phenolic hydroxyl group and a compound and a resin obtained by introducing the cross-linking group into an acidic functional group in an alkali-soluble resin and imparting cross-linking property can be used. .. In that case, the introduction rate of the crosslinkable group is not particularly limited, and is, for example, 5 to 100 mol%, preferably 10 to 60, based on the total acidic functional group in the compound having a phenolic hydroxyl group and the alkali-soluble resin. It is adjusted to mol%, more preferably 15-40 mol%. Within the above range, a cross-linking reaction occurs sufficiently, and a decrease in the residual film ratio, a pattern swelling phenomenon, meandering, and the like can be avoided, which is preferable.
 本実施形態のレジスト膜形成用組成物において酸架橋剤(G)は、アルコキシアルキル化ウレア化合物若しくはその樹脂、又はアルコキシアルキル化グリコールウリル化合物若しくはその樹脂(酸架橋剤(G1))、分子内にベンゼン環を1~6有し、ヒドロキシアルキル基又はアルコキシアルキル基を分子内全体に2以上有し、該ヒドロキシアルキル基又はアルコキシアルキル基が前記いずれかのベンゼン環に結合しているフェノール誘導体(酸架橋剤(G2))、少なくとも一つのα-ヒドロキシイソプロピル基を有する化合物(酸架橋剤(G3))が好ましい。例えば、国際公開第2017/033943号に開示された化合物が挙げられる。 In the composition for forming a resist film of the present embodiment, the acid cross-linking agent (G) is an alkoxyalkylated urea compound or a resin thereof, or an alkoxyalkylated glycol uryl compound or a resin thereof (acid cross-linking agent (G1)) in the molecule. A phenol derivative (acid) having 1 to 6 benzene rings, 2 or more hydroxyalkyl groups or alkoxyalkyl groups in the entire molecule, and the hydroxyalkyl group or alkoxyalkyl group bonded to any of the benzene rings. A cross-linking agent (G2)), a compound having at least one α-hydroxyisopropyl group (acid cross-linking agent (G3)) is preferable. For example, the compounds disclosed in International Publication No. 2017/033943 may be mentioned.
 本実施形態のレジスト膜形成用組成物において、酸架橋剤(G)の含有量は、固形成分の全質量の0.5~49質量%が好ましく、0.5~40質量%がより好ましく、1~30質量%が更に好ましく、2~20質量%が特に好ましい。前記酸架橋剤(G)の含有割合を0.5質量%以上とすると、レジスト膜のアルカリ現像液に対する溶解性の抑制効果を向上させ、残膜率が低下したり、パターンの膨潤や蛇行が生じたりするのを抑制することができるので好ましく、一方、49質量%以下とすると、レジストとしての耐熱性の低下を抑制できることから好ましい。 In the resist film forming composition of the present embodiment, the content of the acid cross-linking agent (G) is preferably 0.5 to 49% by mass, more preferably 0.5 to 40% by mass, based on the total mass of the solid components. 1 to 30% by mass is more preferable, and 2 to 20% by mass is particularly preferable. When the content ratio of the acid cross-linking agent (G) is 0.5% by mass or more, the effect of suppressing the solubility of the resist film in the alkaline developer is improved, the residual film ratio is lowered, and the pattern is swollen or tortuous. It is preferable because it can suppress the occurrence, and on the other hand, when it is 49% by mass or less, it is preferable because the decrease in heat resistance as a resist can be suppressed.
 また、前記酸架橋剤(G)中の前記酸架橋剤(G1)、前記酸架橋剤(G2)、前記酸架橋剤(G3)から選ばれる少なくとも1種の化合物の含有量も特に限定はなく、レジストパターンを形成する際に使用される基板の種類等によって種々の範囲とすることができる。 Further, the content of at least one compound selected from the acid cross-linking agent (G1), the acid cross-linking agent (G2), and the acid cross-linking agent (G3) in the acid cross-linking agent (G) is not particularly limited. , The range can be various depending on the type of the substrate used when forming the resist pattern and the like.
 <酸拡散制御剤(E)>
 本実施形態のレジスト膜形成用組成物は、放射線照射により酸発生剤から生じた酸のレジスト膜中における拡散を制御して、未露光領域での好ましくない化学反応を阻止する作用等を有する酸拡散制御剤(E)を含有してもよい。この様な酸拡散制御剤(E)を使用することにより、レジスト膜形成用組成物の貯蔵安定性が向上する。また解像度が一層向上するとともに、放射線照射前の引き置き時間、放射線照射後の引き置き時間の変動によるレジストパターンの線幅変化を抑えることができ、プロセス安定性に極めて優れたものとなる。
<Acid diffusion control agent (E)>
The resist film-forming composition of the present embodiment is an acid having an action of controlling diffusion of an acid generated from an acid generator by irradiation in the resist film and preventing an unfavorable chemical reaction in an unexposed region. A diffusion control agent (E) may be contained. By using such an acid diffusion control agent (E), the storage stability of the resist film forming composition is improved. In addition, the resolution is further improved, and changes in the line width of the resist pattern due to fluctuations in the leaving time before irradiation and the leaving time after irradiation can be suppressed, resulting in extremely excellent process stability.
 このような酸拡散制御剤(E)は、特に限定されず、例えば、窒素原子含有塩基性化合物、塩基性スルホニウム化合物、塩基性ヨードニウム化合物等の放射線分解性塩基性化合物が挙げられる。酸拡散制御剤(E)としては、例えば、国際公開第2017/033943号に開示された化合物が挙げられる。酸拡散制御剤(E)は、単独で又は2種以上を使用することができる。 Such an acid diffusion control agent (E) is not particularly limited, and examples thereof include radiolytic basic compounds such as nitrogen atom-containing basic compounds, basic sulfonium compounds, and basic iodonium compounds. Examples of the acid diffusion control agent (E) include compounds disclosed in International Publication No. 2017/033943. The acid diffusion control agent (E) may be used alone or in combination of two or more.
 酸拡散制御剤(E)の含有量は、固形成分の全質量の0.001~49質量%が好ましく、0.01~10質量%がより好ましく、0.01~5質量%が更に好ましく、0.01~3質量%が特に好ましい。酸拡散制御剤(E)の含有量が前記範囲内であると、解像度の低下、パターン形状、寸法忠実度等の劣化を一層抑制できる。更に、電子線照射から放射線照射後加熱までの引き置き時間が長くなっても、パターン上層部の形状が劣化することがない。また、酸拡散制御剤(E)の含有量が10質量%以下であると、感度、未露光部の現像性等の低下を防ぐことができる。またこのような酸拡散制御剤を使用することにより、レジスト膜形成用組成物の貯蔵安定性が向上し、また解像度が向上するとともに、放射線照射前の引き置き時間、放射線照射後の引き置き時間の変動によるレジストパターンの線幅変化を抑えることができ、プロセス安定性に極めて優れたものとなる。 The content of the acid diffusion control agent (E) is preferably 0.001 to 49% by mass, more preferably 0.01 to 10% by mass, still more preferably 0.01 to 5% by mass, based on the total mass of the solid component. 0.01 to 3% by mass is particularly preferable. When the content of the acid diffusion control agent (E) is within the above range, deterioration of resolution, pattern shape, dimensional fidelity and the like can be further suppressed. Further, even if the leaving time from the electron beam irradiation to the heating after the irradiation is long, the shape of the upper layer portion of the pattern does not deteriorate. Further, when the content of the acid diffusion control agent (E) is 10% by mass or less, it is possible to prevent deterioration of sensitivity, developability of the unexposed portion and the like. Further, by using such an acid diffusion control agent, the storage stability of the resist film forming composition is improved, the resolution is improved, and the retention time before irradiation and the retention time after irradiation are improved. It is possible to suppress the change in the line width of the resist pattern due to the fluctuation of the resist pattern, and the process stability is extremely excellent.
 <その他の成分(F)>
 本実施形態のレジスト膜形成用組成物には、本実施形態の目的を阻害しない範囲で、必要に応じて、その他の成分(F)として、溶解促進剤、溶解制御剤、増感剤、界面活性剤及び有機カルボン酸又はリンのオキソ酸若しくはその誘導体等の各種添加剤を1種又は2種以上添加することができる。その他の成分(F)としては、例えば、国際公開第2017/033943号に開示された化合物が挙げられる。
<Other ingredients (F)>
The composition for forming a resist film of the present embodiment contains a dissolution accelerator, a dissolution control agent, a sensitizer, and a surfactant as other components (F), if necessary, as long as the object of the present embodiment is not impaired. One or two or more kinds of additives such as an activator and an organic carboxylic acid or an oxo acid of phosphorus or a derivative thereof can be added. Examples of the other component (F) include compounds disclosed in International Publication No. 2017/033943.
 その他の成分(F)の合計含有量は、固形成分の全質量の0~49質量%が好ましく、0~5質量%がより好ましく、0~1質量%が更に好ましく、0質量%が特に好ましい。 The total content of the other component (F) is preferably 0 to 49% by mass, more preferably 0 to 5% by mass, further preferably 0 to 1% by mass, and particularly preferably 0% by mass of the total mass of the solid component. ..
 本実施形態のレジスト膜形成用組成物において、本実施形態に係る化合物、酸発生剤(C)、酸拡散制御剤(E)、その他の成分(F)の含有量(本実施形態に係る化合物/酸発生剤(C)/酸拡散制御剤(E)/その他の成分(F))は、固形物基準の質量%で、好ましくは50~99.4/0.001~49/0.001~49/0~49、より好ましくは55~90/1~40/0.01~10/0~5、更に好ましくは60~80/3~30/0.01~5/0~1、特に好ましくは60~70/10~25/0.01~3/0である。 In the composition for forming a resist film of the present embodiment, the content of the compound according to the present embodiment, the acid generator (C), the acid diffusion control agent (E), and other components (F) (the compound according to the present embodiment). / Acid generator (C) / Acid diffusion control agent (E) / Other component (F)) is the mass% based on the solid matter, preferably 50 to 99.4 / 0.001 to 49 / 0.001. ~ 49/0 to 49, more preferably 55 to 90/1 to 40/0.01 to 10/0 to 5, still more preferably 60 to 80/3 to 30/0.01 to 5/0 to 1, in particular. It is preferably 60 to 70/10 to 25/0.01 to 3/0.
 各成分の含有割合は、その総和が100質量%になるように各範囲から選ばれる。前記含有割合にすると、感度、解像度、現像性等の性能に一層優れる。 The content ratio of each component is selected from each range so that the total is 100% by mass. With the above content ratio, the performance such as sensitivity, resolution, and developability is further excellent.
 本実施形態のレジスト膜形成用組成物の調製方法は、特に限定されず、例えば、使用時に各成分を溶媒に溶解して均一溶液とし、その後、必要に応じて、例えば孔径0.2μm程度のフィルター等でろ過する方法等が挙げられる。 The method for preparing the resist film-forming composition of the present embodiment is not particularly limited, and for example, each component is dissolved in a solvent at the time of use to form a uniform solution, and then, if necessary, for example, a pore size of about 0.2 μm. Examples thereof include a method of filtering with a filter or the like.
 本実施形態のレジスト膜形成用組成物は、本発明の目的を阻害しない範囲で樹脂を含むことができる。樹脂は、特に限定されず、例えば、ノボラック樹脂、ポリビニルフェノール類、ポリアクリル酸、ポリビニルアルコール、スチレン-無水マレイン酸樹脂、及びアクリル酸、ビニルアルコール、又はビニルフェノールを単量体単位として含む重合体或いはこれらの誘導体などが挙げられる。当該樹脂の含有量は、特に限定されず、使用する本実施形態に係る化合物の種類に応じて適宜調節されるが、該化合物100質量部当たり、30質量部以下が好ましく、より好ましくは10質量部以下、更に好ましくは5質量部以下、特に好ましくは0質量部である。 The resist film forming composition of the present embodiment may contain a resin as long as the object of the present invention is not impaired. The resin is not particularly limited, and is, for example, a novolak resin, polyvinylphenols, polyacrylic acid, polyvinyl alcohol, styrene-maleic anhydride resin, and a polymer containing acrylic acid, vinyl alcohol, or vinylphenol as a monomer unit. Alternatively, these derivatives and the like can be mentioned. The content of the resin is not particularly limited and is appropriately adjusted according to the type of the compound according to the present embodiment to be used, but is preferably 30 parts by mass or less, more preferably 10 parts by mass, per 100 parts by mass of the compound. Parts or less, more preferably 5 parts by mass or less, and particularly preferably 0 parts by mass.
 <パターン形成方法>
 リソグラフィー用材料を用いて基板上にパターンを形成する場合、例えば、本実施形態に係るリソグラフィー用材料やこれを含む組成物(以下、これらを総じて「リソグラフィー用材料等」と称することがある)を用いて基板上に膜を形成する膜形成工程と、前記膜を露光する露光工程と、前記露光工程において露光された膜を現像してパターンを形成する現像工程と、を含むパターン形成方法を用いることができる。
<Pattern formation method>
When a pattern is formed on a substrate using a lithography material, for example, a lithography material according to the present embodiment and a composition containing the same (hereinafter, these may be collectively referred to as "lithographic material or the like"). A pattern forming method including a film forming step of forming a film on a substrate, an exposure step of exposing the film, and a developing step of developing the exposed film in the exposure step to form a pattern is used. be able to.
 例えば、本実施形態のリソグラフィー用材料等を用いてレジストパターンを形成する場合、パターン(レジストパターン)の形成方法は、特に限定されず、好適な方法として、上述したリソグラフィー用材料等を含むレジスト膜形成用組成物を基板上に塗布して膜(レジスト膜)を形成する膜形成工程と、形成された膜(レジスト膜)を露光する露光工程と、前記露光工程において露光された膜(レジスト膜)を現像してパターン(レジストパターン)を形成する現像工程とを含む方法が挙げられる。本実施形態のレジストパターンは多層プロセスにおける上層レジストとして形成することもできる。 For example, when a resist pattern is formed using the lithography material or the like of the present embodiment, the method for forming the pattern (resist pattern) is not particularly limited, and as a suitable method, a resist film containing the above-mentioned lithography material or the like is used. A film forming step of applying the forming composition onto a substrate to form a film (resist film), an exposure step of exposing the formed film (resist film), and a film (resist film) exposed in the exposure step. ) Is developed to form a pattern (resist pattern). The resist pattern of this embodiment can also be formed as an upper resist in a multilayer process.
 具体的なレジストパターンを形成する方法としては、特に限定されないが、例えば、以下の方法が挙げられる。まず、従来公知の基板上に前記組成物を、回転塗布、流延塗布、ロール塗布等の塗布手段によって塗布することによりレジスト膜を形成する。従来公知の基板とは、特に限定されず、例えば、電子部品用の基板や、これに所定の配線パターンが形成されたもの等を例示することができる。より具体的には、特に限定されないが、例えば、シリコンウェハー、銅、クロム、鉄、アルミニウム等の金属製の基板や、ガラス基板等が挙げられる。配線パターンの材料としては、特に限定されないが、例えば銅、アルミニウム、ニッケル、金等が挙げられる。また必要に応じて、前述基板上に無機系の膜又は有機系の膜が設けられたものであってもよい。無機系の膜としては、特に限定されないが、例えば、無機反射防止膜(無機BARC)が挙げられる。有機系の膜としては、特に限定されないが、例えば、有機反射防止膜(有機BARC)が挙げられる。ヘキサメチレンジシラザン等による表面処理を行ってもよい。 The method for forming a specific resist pattern is not particularly limited, and examples thereof include the following methods. First, a resist film is formed by applying the composition on a conventionally known substrate by a coating means such as rotary coating, cast coating, and roll coating. The conventionally known substrate is not particularly limited, and examples thereof include a substrate for electronic components and a substrate on which a predetermined wiring pattern is formed. More specifically, the present invention is not particularly limited, and examples thereof include a silicon wafer, a metal substrate such as copper, chromium, iron, and aluminum, and a glass substrate. The material of the wiring pattern is not particularly limited, and examples thereof include copper, aluminum, nickel, and gold. Further, if necessary, an inorganic film or an organic film may be provided on the above-mentioned substrate. The inorganic film is not particularly limited, and examples thereof include an inorganic antireflection film (inorganic BARC). The organic film is not particularly limited, and examples thereof include an organic antireflection film (organic BARC). Surface treatment with hexamethylene disilazane or the like may be performed.
 次に、必要に応じて、塗布した基板を加熱する。加熱条件は、前記組成物の含有組成等により変わるが、20~250℃が好ましく、より好ましくは20~150℃である。加熱することによって、レジストの基板に対する密着性が向上する場合があり好ましい。次いで、可視光線、紫外線、エキシマレーザー、電子線、極端紫外線(EUV)、X線、及びイオンビームからなる群から選ばれるいずれかの放射線により、レジスト膜を所望のパターンに露光する。露光条件等は、レジスト組成物の配合組成等に応じて適宜選定される。 Next, if necessary, heat the applied substrate. The heating conditions vary depending on the composition contained in the composition and the like, but are preferably 20 to 250 ° C, more preferably 20 to 150 ° C. By heating, the adhesion of the resist to the substrate may be improved, which is preferable. The resist film is then exposed to the desired pattern with any radiation selected from the group consisting of visible light, ultraviolet light, excimer lasers, electron beams, extreme ultraviolet rays (EUV), X-rays, and ion beams. The exposure conditions and the like are appropriately selected according to the compounding composition and the like of the resist composition.
 本実施形態のレジストパターンの形成方法においては、露光における高精度の微細パターンを安定して形成するために、放射線照射後に加熱するのが好ましい。加熱条件は、前記組成物の配合組成等により変わるが、20~250℃が好ましく、より好ましくは20~150℃である。 In the resist pattern forming method of the present embodiment, it is preferable to heat after irradiation in order to stably form a fine pattern with high accuracy in exposure. The heating conditions vary depending on the composition of the composition and the like, but are preferably 20 to 250 ° C, more preferably 20 to 150 ° C.
 次いで、露光されたレジスト膜を現像液で現像することにより、所定のレジストパターンを形成する。前記現像液としては、使用する本実施形態に係る化合物に対して溶解度パラメーター(SP値)の近い溶剤を選択することが好ましく、ケトン系溶剤、エステル系溶剤、アルコール系溶剤、アミド系溶剤、エーテル系溶剤等の極性溶剤、炭化水素系溶剤又はアルカリ水溶液を用いることができる。現像液の種類によって、ポジ型レジストパターン又はネガ型レジストパターンを作り分けることができるが、一般的に、ケトン系溶剤、エステル系溶剤、アルコール系溶剤、アミド系溶剤、エーテル系溶剤等の極性溶剤、炭化水素系溶剤の場合はネガ型レジストパターン、アルカリ水溶液の場合はポジ型レジストパターンが得られる。ケトン系溶剤、エステル系溶剤、アルコール系溶剤、アミド系溶剤、エーテル系溶剤、炭化水素系溶剤、アルカリ性水溶液としては、例えば、国際公開第2017/033943号に開示されたものが挙げられる。 Next, the exposed resist film is developed with a developing solution to form a predetermined resist pattern. As the developing solution, it is preferable to select a solvent having a solubility parameter (SP value) close to that of the compound according to the present embodiment to be used, and a ketone solvent, an ester solvent, an alcohol solvent, an amide solvent, and an ether. A polar solvent such as a system solvent, a hydrocarbon solvent or an alkaline aqueous solution can be used. A positive resist pattern or a negative resist pattern can be produced according to the type of the developing solution, but generally, a polar solvent such as a ketone solvent, an ester solvent, an alcohol solvent, an amide solvent, or an ether solvent is used. In the case of a hydrocarbon solvent, a negative resist pattern can be obtained, and in the case of an alkaline aqueous solution, a positive resist pattern can be obtained. Examples of the ketone solvent, ester solvent, alcohol solvent, amide solvent, ether solvent, hydrocarbon solvent, and alkaline aqueous solution include those disclosed in International Publication No. 2017/033943.
 前記溶剤は、複数混合してもよいし、性能を有する範囲内で、前記以外の溶剤や水と混合し使用してもよい。但し、本発明の効果を十二分に奏するためには、現像液全体としての含水率が70質量%未満、更には50質量%未満であることが好ましく、30質量%未満であることがより好ましく、10質量%未満であることが更に好ましく、実質的に水分を含有しないことが特に好ましい。すなわち、現像液に対する有機溶剤の含有量は、特に限定されず、現像液の全量に対して、30質量%以上100質量%以下、更には50質量%以上100質量%以下であることが好ましく、70質量%以上100質量%以下であることがより好ましく、90質量%以上100質量%以下であることが更に好ましく、95質量%以上100質量%以下であることが特に好ましい。 A plurality of the solvents may be mixed, or they may be mixed with a solvent other than the above or water as long as they have performance. However, in order to fully exert the effect of the present invention, the water content of the developer as a whole is preferably less than 70% by mass, more preferably less than 50% by mass, and more preferably less than 30% by mass. It is preferable that it is less than 10% by mass, and it is particularly preferable that it contains substantially no water. That is, the content of the organic solvent in the developing solution is not particularly limited, and is preferably 30% by mass or more and 100% by mass or less, and more preferably 50% by mass or more and 100% by mass or less with respect to the total amount of the developing solution. It is more preferably 70% by mass or more and 100% by mass or less, further preferably 90% by mass or more and 100% by mass or less, and particularly preferably 95% by mass or more and 100% by mass or less.
 特に、現像液は、ケトン系溶剤、エステル系溶剤、アルコール系溶剤、アミド系溶剤及びエーテル系溶剤から選択される少なくとも1種類の溶剤を含有する現像液が、レジストパターンの解像性やラフネス等のレジスト性能を改善するため好ましい。 In particular, the developing solution contains at least one solvent selected from a ketone solvent, an ester solvent, an alcohol solvent, an amide solvent and an ether solvent, and the developing solution contains the resolution and roughness of the resist pattern. It is preferable because it improves the resist performance of the solvent.
 現像液の蒸気圧は、特に限定されず、例えば、20℃において、5kPa以下が好ましく、3kPa以下が更に好ましく、2kPa以下が特に好ましい。現像液の蒸気圧を5kPa以下にすることにより、現像液の基板上或いは現像カップ内での蒸発が抑制され、ウェハ面内の温度均一性が向上し、結果としてウェハ面内の寸法均一性が良化する。このような蒸気圧を有する現像液としては、例えば、国際公開第2017/033943号に開示された現像液が挙げられる。 The vapor pressure of the developer is not particularly limited, and is preferably 5 kPa or less, more preferably 3 kPa or less, and particularly preferably 2 kPa or less, for example, at 20 ° C. By reducing the vapor pressure of the developer to 5 kPa or less, evaporation of the developer on the substrate or in the developing cup is suppressed, the temperature uniformity in the wafer surface is improved, and as a result, the dimensional uniformity in the wafer surface is improved. To improve. Examples of the developer having such a vapor pressure include the developer disclosed in International Publication No. 2017/033943.
 現像液には、必要に応じて界面活性剤を適当量添加することができる。界面活性剤としては特に限定されないが、例えば、イオン性や非イオン性のフッ素系又はシリコン系界面活性剤等を用いることができる。これらのフッ素又はシリコン系界面活性剤として、例えば、特開昭62-36663号公報、特開昭61-226746号公報、特開昭61-226745号公報、特開昭62-170950号公報、特開昭63-34540号公報、特開平7-230165号公報、特開平8-62834号公報、特開平9-54432号公報、特開平9-5988号公報、米国特許第5405720号明細書、同5360692号明細書、同5529881号明細書、同5296330号明細書、同5436098号明細書、同5576143号明細書、同5294511号明細書、同5824451号明細書記載の界面活性剤を挙げることができ、好ましくは、非イオン性の界面活性剤である。非イオン性の界面活性剤としては特に限定されないが、フッ素系界面活性剤又はシリコン系界面活性剤を用いることが更に好ましい。 An appropriate amount of surfactant can be added to the developer as needed. The surfactant is not particularly limited, and for example, an ionic or nonionic fluorine-based or silicon-based surfactant can be used. Examples of these fluorine- or silicon-based surfactants include JP-A-62-36663, JP-A-61-226746, JP-A-61-226745, JP-A-62-170950, and Japanese Patent Application Laid-Open No. 62-170950. Kaisho 63-34540, JP-A-7-230165, JP-A-8-62834, JP-A-9-54432, JP-A-9-5988, US Pat. No. 5,405,720, JP-A-5360692. The surfactants described in No. 5529881, No. 5296330, No. 5436098, No. 5576143, No. 5294511, and No. 5824451 can be mentioned. Preferably, it is a nonionic surfactant. The nonionic surfactant is not particularly limited, but it is more preferable to use a fluorine-based surfactant or a silicon-based surfactant.
 界面活性剤の使用量は現像液の全量に対して、通常0.001~5質量%、好ましくは0.005~2質量%、更に好ましくは0.01~0.5質量%である。 The amount of the surfactant used is usually 0.001 to 5% by mass, preferably 0.005 to 2% by mass, and more preferably 0.01 to 0.5% by mass with respect to the total amount of the developing solution.
 現像方法としては、たとえば、現像液が満たされた槽中に基板を一定時間浸漬する方法(ディップ法)、基板表面に現像液を表面張力によって盛り上げて一定時間静止することで現像する方法(パドル法)、基板表面に現像液を噴霧する方法(スプレー法)、一定速度で回転している基板上に一定速度で現像液塗出ノズルをスキャンしながら現像液を塗出しつづける方法(ダイナミックディスペンス法)などを適用することができる。パターンの現像を行う時間には特に制限はないが、好ましくは10秒間~90秒間である。 Examples of the developing method include a method of immersing the substrate in a tank filled with a developing solution for a certain period of time (dip method), and a method of developing by raising the developing solution on the surface of the substrate by surface tension and allowing it to stand still for a certain period of time (paddle). Method), a method of spraying the developer on the surface of the substrate (spray method), a method of continuously spraying the developer on the substrate rotating at a constant speed while scanning the developer dispensing nozzle at a constant speed (dynamic dispense method). ) Etc. can be applied. The time for developing the pattern is not particularly limited, but is preferably 10 seconds to 90 seconds.
 また、現像を行う工程の後に、他の溶媒に置換しながら、現像を停止する工程を実施してもよい。 Further, after the step of performing the development, a step of stopping the development may be carried out while substituting with another solvent.
 現像の後には、有機溶剤を含むリンス液を用いて洗浄する工程を含むことが好ましい。 After development, it is preferable to include a step of washing with a rinsing solution containing an organic solvent.
 現像後のリンス工程に用いるリンス液としては、架橋により硬化したレジストパターンを溶解しなければ特に制限はなく、一般的な有機溶剤を含む溶液又は水を使用することができる。前記リンス液としては、炭化水素系溶剤、ケトン系溶剤、エステル系溶剤、アルコール系溶剤、アミド系溶剤及びエーテル系溶剤から選択される少なくとも1種類の有機溶剤を含有するリンス液を用いることが好ましい。より好ましくは、現像の後に、ケトン系溶剤、エステル系溶剤、アルコール系溶剤、アミド系溶剤からなる群より選択される少なくとも1種類の有機溶剤を含有するリンス液を用いて洗浄する工程を行う。更に好ましくは、現像の後に、アルコール系溶剤又はエステル系溶剤を含有するリンス液を用いて洗浄する工程を行う。更により好ましくは、現像の後に、1価アルコールを含有するリンス液を用いて洗浄する工程を行う。特に好ましくは、現像の後に、炭素数5以上の1価アルコールを含有するリンス液を用いて洗浄する工程を行う。パターンのリンスを行う時間には特に制限はないが、好ましくは10秒間~90秒間である。 The rinsing solution used in the rinsing step after development is not particularly limited as long as the resist pattern cured by crosslinking is not dissolved, and a solution containing a general organic solvent or water can be used. As the rinsing solution, it is preferable to use a rinsing solution containing at least one organic solvent selected from a hydrocarbon solvent, a ketone solvent, an ester solvent, an alcohol solvent, an amide solvent and an ether solvent. .. More preferably, after the development, a washing step is performed using a rinsing solution containing at least one organic solvent selected from the group consisting of a ketone solvent, an ester solvent, an alcohol solvent, and an amide solvent. More preferably, after development, a step of washing with a rinsing solution containing an alcohol-based solvent or an ester-based solvent is performed. Even more preferably, after development, a step of washing with a rinsing solution containing a monohydric alcohol is performed. Particularly preferably, after development, a step of washing with a rinsing solution containing a monohydric alcohol having 5 or more carbon atoms is performed. The time for rinsing the pattern is not particularly limited, but is preferably 10 to 90 seconds.
 ここで、現像後のリンス工程で用いられる1価アルコールとしては、特に限定されないが、例えば、直鎖状、分岐状、環状の1価アルコールが挙げられ、具体的には、1-ブタノール、2-ブタノール、3-メチル-1-ブタノール、t-ブチルアルコール、1-ペンタノール、2-ペンタノール、1-ヘキサノール、4-メチル-2-ペンタノール、1-ヘプタノール、1-オクタノール、2-ヘキサノール、シクロペンタノール、2-ヘプタノール、2-オクタノール、3-ヘキサノール、3-ヘプタノール、3-オクタノール、4-オクタノールなどを用いることができ、特に好ましい炭素数5以上の1価アルコールとしては、1-ヘキサノール、2-ヘキサノール、4-メチル-2-ペンタノール、1-ペンタノール、3-メチル-1-ブタノールなどを用いることができる。 Here, the monohydric alcohol used in the rinsing step after development is not particularly limited, and examples thereof include linear, branched, and cyclic monohydric alcohols, and specifically, 1-butanol and 2 -Butanol, 3-methyl-1-butanol, t-butyl alcohol, 1-pentanol, 2-pentanol, 1-hexanol, 4-methyl-2-pentanol, 1-heptanol, 1-octanol, 2-hexanol , Cyclopentanol, 2-heptanol, 2-octanol, 3-hexanol, 3-heptanol, 3-octanol, 4-octanol and the like can be used, and a particularly preferable monohydric alcohol having 5 or more carbon atoms is 1-. Hexanol, 2-hexanol, 4-methyl-2-pentanol, 1-pentanol, 3-methyl-1-butanol and the like can be used.
 前記各成分は、複数混合してもよいし、前記以外の有機溶剤と混合し使用してもよい。 Each of the above components may be mixed in a plurality or mixed with an organic solvent other than the above.
 リンス液中の含水率は、特に限定されず、10質量%以下が好ましく、より好ましくは5質量%以下、特に好ましくは3質量%以下である。含水率を10質量%以下にすることで、より良好な現像特性を得ることができる。 The water content in the rinse liquid is not particularly limited, and is preferably 10% by mass or less, more preferably 5% by mass or less, and particularly preferably 3% by mass or less. By setting the water content to 10% by mass or less, better development characteristics can be obtained.
 現像後に用いるリンス液の蒸気圧は、20℃において0.05kPa以上、5kPa以下が好ましく、0.1kPa以上、5kPa以下がより好ましく、0.12kPa以上、3kPa以下が更に好ましい。リンス液の蒸気圧を0.05kPa以上、5kPa以下にすることにより、ウェハ面内の温度均一性がより向上し、更にはリンス液の浸透に起因した膨潤がより抑制され、ウェハ面内の寸法均一性がより良化する。 The vapor pressure of the rinse solution used after development is preferably 0.05 kPa or more and 5 kPa or less, more preferably 0.1 kPa or more and 5 kPa or less, and further preferably 0.12 kPa or more and 3 kPa or less at 20 ° C. By setting the vapor pressure of the rinsing liquid to 0.05 kPa or more and 5 kPa or less, the temperature uniformity in the wafer surface is further improved, and the swelling caused by the infiltration of the rinsing liquid is further suppressed, and the dimensions in the wafer surface are further suppressed. The uniformity is improved.
 リンス液には、界面活性剤を適当量添加して使用することもできる。 An appropriate amount of surfactant can be added to the rinse solution before use.
 リンス工程においては、現像を行ったウェハを前記の有機溶剤を含むリンス液を用いて洗浄処理する。洗浄処理の方法は特に限定されないが、例えば、一定速度で回転している基板上にリンス液を塗出しつづける方法(回転塗布法)、リンス液が満たされた槽中に基板を一定時間浸漬する方法(ディップ法)、基板表面にリンス液を噴霧する方法(スプレー法)、などを適用することができ、この中でも回転塗布方法で洗浄処理を行い、洗浄後に基板を2000rpm~4000rpmの回転数で回転させ、リンス液を基板上から除去することが好ましい。 In the rinsing process, the developed wafer is washed with the rinsing liquid containing the above-mentioned organic solvent. The method of cleaning treatment is not particularly limited, but for example, a method of continuously applying a rinse solution onto a substrate rotating at a constant speed (rotational coating method), or a method of immersing the substrate in a tank filled with the rinse solution for a certain period of time. A method (dip method), a method of spraying a rinse solution on the surface of the substrate (spray method), etc. can be applied. Among them, the cleaning treatment is performed by the rotation coating method, and after cleaning, the substrate is rotated at a rotation speed of 2000 rpm to 4000 rpm. It is preferable to rotate and remove the rinse liquid from the substrate.
 レジストパターンを形成した後、エッチングすることによりパターン配線基板が得られる。エッチングの方法はプラズマガスを使用するドライエッチング及びアルカリ溶液、塩化第二銅溶液、塩化第二鉄溶液等によるウェットエッチングなど公知の方法で行うことができる。 A pattern wiring board can be obtained by etching after forming a resist pattern. The etching method can be performed by a known method such as dry etching using plasma gas and wet etching with an alkaline solution, a ferric chloride solution, a ferric chloride solution or the like.
 レジストパターンを形成した後、めっきを行うこともできる。前記めっき法としては、特に限定されないが、例えば、銅めっき、はんだめっき、ニッケルめっき、金めっきなどがある。 It is also possible to perform plating after forming a resist pattern. The plating method is not particularly limited, and examples thereof include copper plating, solder plating, nickel plating, and gold plating.
 エッチング後の残存レジストパターンは有機溶剤で剥離することができる。前記有機溶剤として、特に限定されないが、例えば、PGMEA(プロピレングリコールモノメチルエーテルアセテート)、PGME(プロピレングリコールモノメチルエーテル)、EL(乳酸エチル)等が挙げられる。前記剥離方法としては、特に限定されないが、例えば、浸漬方法、スプレイ方式等が挙げられる。またレジストパターンが形成された配線基板は、多層配線基板でもよく、小径スルーホールを有していてもよい。 The residual resist pattern after etching can be peeled off with an organic solvent. The organic solvent is not particularly limited, and examples thereof include PGMEA (propylene glycol monomethyl ether acetate), PGME (propylene glycol monomethyl ether), and EL (ethyl lactate). The peeling method is not particularly limited, and examples thereof include a dipping method and a spray method. Further, the wiring board on which the resist pattern is formed may be a multilayer wiring board or may have a small-diameter through hole.
 本実施形態において、配線基板は、レジストパターン形成後、金属を真空中で蒸着し、その後レジストパターンを溶液で溶かす方法、すなわちリフトオフ法により形成することもできる。 In the present embodiment, the wiring board can also be formed by a method of depositing a metal in a vacuum after forming a resist pattern and then dissolving the resist pattern with a solution, that is, a lift-off method.
 2)リソグラフィー用下層膜形成用組成物、リソグラフィー用下層膜、及びパターン形成方法
 〔第一の実施形態〕
 <リソグラフィー用下層膜形成用組成物>
 本発明の第一の実施形態に係るリソグラフィー用下層膜形成用組成物は、本実施形態に係る化合物と、ケイ素含有化合物(例えば、加水分解性オルガノシラン、その加水分解物又はその加水分解縮合物)とを含有する。本実施形態のリソグラフィー用下層膜形成用組成物は、レジスト下層膜等のリソグラフィー用下層膜を形成でき、耐熱性が高く、溶媒溶解性も高い。このため、パターンの矩形性に優れる。また、膜の欠陥低減(薄膜形成)が可能で、密着性が高く、保存安定性が良好であり、高感度で長期耐光性があり、かつ良好なレジストパターン形状を付与できる。また、本実施形態例に係る化合物の分子は拡散速度が適度であるため、高感度を維持したまま高解像度を示す。さらに、当該化合物は適度な分子量を持つために揮発しにくく、硬化時の膜減りが比較的少ないために当該リソグラフィー用下層膜形成用組成物は、平坦性の高いリソグラフィー用下層膜を形成できる。
2) Composition for forming an underlayer film for lithography, an underlayer film for lithography, and a pattern forming method [first embodiment]
<Composition for forming an underlayer film for lithography>
The composition for forming an underlayer film for lithography according to the first embodiment of the present invention comprises the compound according to the present embodiment and a silicon-containing compound (for example, a hydrolyzable organosilane, a hydrolyzate thereof, or a hydrolyzed condensate thereof). ) And. The composition for forming a lower layer film for lithography of the present embodiment can form a lower layer film for lithography such as a resist underlayer film, has high heat resistance, and has high solvent solubility. Therefore, the rectangularity of the pattern is excellent. In addition, it is possible to reduce film defects (thin film formation), have high adhesion, have good storage stability, have high sensitivity, long-term light resistance, and can impart a good resist pattern shape. Further, since the molecule of the compound according to the present embodiment has an appropriate diffusion rate, it exhibits high resolution while maintaining high sensitivity. Further, since the compound has an appropriate molecular weight, it is difficult to volatilize, and the film loss during curing is relatively small, so that the composition for forming a lower layer film for lithography can form a lower layer film for lithography with high flatness.
 本実施形態のリソグラフィー用下層膜形成用組成物は、例えば、上層レジスト(フォトレジスト等)とハードマスクや有機下層膜などとの間に更にレジスト下層膜を備えた多層レジスト法に好適に用いることができる。このような多層レジスト法では、例えば、基板上の有機下層膜又はハードマスクを介してその上にレジスト下層膜を塗布法などによって形成し、そのレジスト下層膜上に上層レジスト(例えば、フォトレジスト、電子線レジスト、EUVレジスト)を形成する。そして、露光と現像とによってレジストパターンを形成し、そのレジストパターンを用いてレジスト下層膜をドライエッチングしてパターンの転写を行い、有機下層膜をエッチングすることによりパターンを転写しその有機下層膜により基板の加工を行う。 The composition for forming a lower layer film for lithography of the present embodiment is suitably used for, for example, a multilayer resist method in which a resist lower layer film is further provided between an upper layer resist (photoresist or the like) and a hard mask, an organic lower layer film, or the like. Can be done. In such a multilayer resist method, for example, a resist underlayer film is formed on an organic underlayer film or a hard mask on a substrate by a coating method or the like, and an upper layer resist (for example, photoresist, etc.) is formed on the resist underlayer film. An electron beam resist, an EUV resist) is formed. Then, a resist pattern is formed by exposure and development, the resist underlayer film is dry-etched using the resist pattern to transfer the pattern, and the pattern is transferred by etching the organic underlayer film, and the organic underlayer film is used. Process the substrate.
 即ち、本実施形態のリソグラフィー用下層膜形成用組成物を用いて形成されたリソグラフィー用下層膜(レジスト下層膜)は、上層レジストとインターミキシングを起こしにくく、また、耐熱性を有し、例えば、ハロゲン系(フッ素系)のエッチングガスに対するエッチング速度がマスクとして用いられるパターニングされた上層レジストよりも大きいため、矩形で良好なパターンを得ることができる。更に、本実施形態のリソグラフィー用下層膜形成用組成物を用いて形成されたリソグラフィー用下層膜(レジスト下層膜)は酸素系エッチングガスに対する耐性が高いため、ハードマスクなど基材上に設けられた層のパターニング時には良好なマスクとして機能することができる。本実施形態のリソグラフィー用下層膜形成用組成物は、レジスト下層膜が複数積層された態様にも用いることができる。この場合、本実施形態のリソグラフィー用下層膜形成用組成物を用いて形成されたレジスト下層膜の位置(何層目に積層されているか)は特に限定はなく、上層レジストの直下であってもよく、一番基板側に位置する層であってもよいし、レジスト下層膜で挟まれた態様であってもよい。 That is, the lithography lower layer film (resist lower layer film) formed by using the lithography lower layer film forming composition of the present embodiment is less likely to cause intermixing with the upper layer resist and has heat resistance, for example. Since the etching rate for the halogen-based (fluorine-based) etching gas is higher than that of the patterned upper-layer resist used as a mask, a good pattern can be obtained with a rectangular shape. Further, since the lithography underlayer film (resist underlayer film) formed by using the lithography underlayer film forming composition of the present embodiment has high resistance to oxygen-based etching gas, it is provided on a substrate such as a hard mask. It can function as a good mask when patterning layers. The composition for forming an underlayer film for lithography of the present embodiment can also be used in an embodiment in which a plurality of underlayer films for resist are laminated. In this case, the position of the resist lower layer film (how many layers are laminated) formed by using the composition for forming the lower layer film for lithography of the present embodiment is not particularly limited, and even if it is directly under the upper layer resist. Often, the layer may be located closest to the substrate, or may be sandwiched between resist underlayer films.
 微細なパターンを形成する上で、パターン倒れを防ぐためにレジスト膜厚が薄くなる傾向がある。レジストの薄膜化によりその下層に存在する膜にパターンを転写するためのドライエッチングは、上層の膜よりもエッチング速度が高くなければパターン転写ができない。本実施形態では、基板上に有機下層膜を介して、その上を本実施形態のレジスト下層膜(シリコン系化合物含有)で被覆し、さらにその上をレジスト膜(有機レジスト膜)で被覆することができる。有機系成分の膜と無機系成分の膜とはエッチングガスの選択によりドライエッチング速度が大きく異なり、有機系成分の膜は酸素系ガスでドライエッチング速度が大きくなり、無機系成分の膜はハロゲン含有ガスでドライエッチング速度が大きくなる。 In forming a fine pattern, the resist film thickness tends to be thin in order to prevent the pattern from collapsing. Dry etching for transferring a pattern to a film existing in the lower layer by thinning the resist cannot transfer the pattern unless the etching rate is higher than that of the upper film. In the present embodiment, the substrate is coated with the resist underlayer film (containing a silicon-based compound) of the present embodiment via the organic underlayer film, and further coated with the resist film (organic resist film). Can be done. The dry etching rate differs greatly depending on the selection of the etching gas between the organic component film and the inorganic component film. The organic component film has an oxygen-based gas and the dry etching rate increases, and the inorganic component film contains halogen. The dry etching rate increases with gas.
 例えば、パターン転写されたレジスト下層膜を用いて、その下層の有機下層膜を酸素系ガスでドライエッチングして有機下層膜にパターン転写を行い、そのパターン転写された有機下層膜で、ハロゲン含有ガスを用いて基板加工を行うことができる。本実施形態のリソグラフィー用下層膜形成用組成物を用いて形成されたリソグラフィー用下層膜(レジスト下層膜)は、密着性も良好であるため、転写パターンの倒れも抑制することができる。 For example, using a pattern-transferred resist underlayer film, the underlying organic underlayer film is dry-etched with an oxygen-based gas to perform pattern transfer to the organic underlayer film, and the pattern-transferred organic underlayer film is a halogen-containing gas. Can be used for substrate processing. Since the lithography underlayer film (resist underlayer film) formed by using the lithography underlayer film forming composition of the present embodiment has good adhesion, the transfer pattern can be suppressed from collapsing.
 また、本実施形態のリソグラフィー用下層膜形成用組成物によるレジスト下層膜は、活性光線への吸収能に優れる本実施形態に係る化合物と、ケイ素含有化合物(例えば、加水分解性オルガノシラン、その加水分解物又はその加水分解縮合物)と、を含むことにより、上層レジストの感度が向上し、上層レジストとインターミキシングを起こさず、露光及び現像後のレジスト下膜形成膜のパターンの形状が矩形になる。これにより微細なパターンによる基板加工が可能になる。 Further, the resist underlayer film formed by the composition for forming the underlayer film for lithography of the present embodiment contains the compound according to the present embodiment having excellent absorption ability to active light and a silicon-containing compound (for example, hydrolyzable organosilane and its water addition). By including (decomposed product or a hydrolyzed condensate thereof), the sensitivity of the upper layer resist is improved, intermixing with the upper layer resist does not occur, and the shape of the pattern of the resist undercoat forming film after exposure and development becomes rectangular. Become. This enables substrate processing with a fine pattern.
 また、本実施形態のリソグラフィー用下層膜形成用組成物によるレジスト下層膜は、高い耐熱性を有するので、高温ベーク条件でも使用可能である。さらに、比較的に低分子量で低粘度であることから、段差を有する基板(特に、微細なスペースやホールパターン等)であっても、隅々まで均一に充填させることが容易であり、その結果、平坦化性や埋め込み特性が比較的に有利に高められる傾向にある。 Further, since the resist underlayer film by the composition for forming the underlayer film for lithography of the present embodiment has high heat resistance, it can be used even under high temperature baking conditions. Furthermore, since it has a relatively low molecular weight and low viscosity, it is easy to uniformly fill every corner even with a substrate having a step (particularly, a fine space, a hole pattern, etc.), and as a result. , Flatness and embedding properties tend to be relatively favorably enhanced.
 前記リソグラフィー用下層膜形成用組成物は、更に、溶媒、酸発生剤、酸架橋剤、又はこれらの組合せを含むことができる。この他に任意成分として、有機ポリマー化合物、及び界面活性剤、その他、水、アルコール、及び硬化触媒等を含むことができる。塗布性及び品質安定性の点から、リソグラフィー用下層膜形成用組成物中の本実施形態に係る化合物の含有量は、0.1~70質量%であることが好ましく、0.5~50質量%であることがより好ましく、3.0~40質量%であることが特に好ましい。 The composition for forming an underlayer film for lithography can further contain a solvent, an acid generator, an acid cross-linking agent, or a combination thereof. In addition to this, an organic polymer compound, a surfactant, water, alcohol, a curing catalyst and the like can be included as optional components. From the viewpoint of coatability and quality stability, the content of the compound according to the present embodiment in the composition for forming an underlayer film for lithography is preferably 0.1 to 70% by mass, preferably 0.5 to 50% by mass. % Is more preferable, and 3.0 to 40% by mass is particularly preferable.
 <溶媒>
 本実施形態において用いる溶媒としては、本実施形態に係る化合部が少なくとも溶解するものであれば、公知のものを適宜用いることができる。例えば、国際公開第2017/188450号に開示された、リソグラフィー用下層膜形成用組成物に含まれ得る溶媒が挙げられる。当該溶媒は、安全溶媒であることが好ましく、より好ましくは、PGMEA、酢酸ブチル、プロピオン酸エチル、及び乳酸エチル等のエステル;PGME等の多価アルコールエーテル;CHN、CPN、2-ヘプタノン、アニソール等の非プロトン性極性溶媒から選ばれる少なくとも一種であり、更に好ましくはPGMEA、PGME及びCHNから選ばれる少なくとも一種である。
<Solvent>
As the solvent used in the present embodiment, a known solvent can be appropriately used as long as the compound portion according to the present embodiment is at least soluble. For example, a solvent that can be contained in the composition for forming an underlayer film for lithography disclosed in International Publication No. 2017/188450 can be mentioned. The solvent is preferably a safe solvent, more preferably an ester such as PGMEA, butyl acetate, ethyl propionate, and ethyl lactate; a polyhydric alcohol ether such as PGME; CHN, CPN, 2-heptanone, anisole and the like. At least one selected from the aprotic polar solvents of, and more preferably at least one selected from PGMEA, PGME and CHN.
 溶媒の含有量は、特に限定されないが、溶解性及び製膜上の観点から、前記リソグラフィー用下層膜形成用組成物の全固形分100質量部に対して、100~10,000質量部であることが好ましく、200~8,000質量部であることがより好ましく、200~5,000質量部であることがさらに好ましい。 The content of the solvent is not particularly limited, but is 100 to 10,000 parts by mass with respect to 100 parts by mass of the total solid content of the composition for forming a lower layer film for lithography from the viewpoint of solubility and film formation. It is preferably 200 to 8,000 parts by mass, more preferably 200 to 5,000 parts by mass.
 <酸架橋剤>
 前記リソグラフィー用下層膜形成用組成物は、ネガ型レジスト材料として使用する場合やポジ型レジスト材料でもパターンの強度を増す為の添加剤として使用する場合に、酸架橋剤を一種以上含むことができる。酸架橋剤としては、酸の存在下で、架橋を形成し得る1種以上の基(以下、「架橋性基」という。)を有する化合物を挙げることができる。例えば、国際公開第2017/188450号に開示された、リソグラフィー用下層膜形成用組成物に含まれ得る酸架橋剤が挙げられる。また、例えば、国際公開WO2013/024779号に記載のものも前記酸架橋剤の具体例として挙げることができる。
<Acid cross-linking agent>
The composition for forming an underlayer film for lithography may contain one or more acid cross-linking agents when used as a negative resist material or as an additive for increasing the strength of a pattern even in a positive resist material. .. Examples of the acid-crosslinking agent include compounds having one or more groups (hereinafter, referred to as “crosslinkable groups”) capable of forming a crosslink in the presence of an acid. For example, an acid cross-linking agent which may be contained in the composition for forming an underlayer film for lithography disclosed in International Publication No. 2017/188450 can be mentioned. Further, for example, the one described in International Publication WO2013 / 024779 can be mentioned as a specific example of the acid cross-linking agent.
 酸架橋剤の含有量は、特に限定されないが、溶解性や塗膜の形状安定性の観点から、前記リソグラフィー用下層膜形成用組成物の全固形分100質量部に対して、0.01~30質量部であることが好ましく、0.05~20質量部であることがより好ましく、0.1~10質量部であることがさらに好ましい。 The content of the acid cross-linking agent is not particularly limited, but is 0.01 to 100 parts by mass with respect to 100 parts by mass of the total solid content of the composition for forming an underlayer film for lithography from the viewpoint of solubility and shape stability of the coating film. It is preferably 30 parts by mass, more preferably 0.05 to 20 parts by mass, and even more preferably 0.1 to 10 parts by mass.
 <ケイ素含有化合物>
 ケイ素含有化合物としては、有機ケイ素含有化合物又は無機ケイ素含有化合物のいずれであってもよいが、有機ケイ素含有化合物であることが好ましい。前記無機ケイ素含有化合物としては、例えば、低温での塗布方式での成膜が可能な珪素酸化物、珪素窒化物、珪素酸化窒化物からなるポリシラザン化合物等が挙げられる。また、前記有機ケイ素含有化合物としては、例えば、ポリシルセスキオキサンベースの化合物や、加水分解性オルガノシラン、その加水分解物又はその加水分解縮合物が挙げられる。前記ポリシルセスキオキサンベースの具体的な材料については、以下に限定されないが、例えば、特開2007-226170号公報、特開2007-226204号公報に記載されたものを用いることができる。また、前記加水分解性オルガノシラン、その加水分解物、又はその加水分解縮合物としては、下記式(D1)の加水分解性オルガノシラン及び下記式(D2)からなる群より選ばれた少なくとも1種の加水分解性オルガノシラン、それらの加水分解物、又はそれらの加水分解縮合物(以下、これらを単に「式(D1)及び式(D2)からなる群より選ばれた少なくとも1種の有機ケイ素化合物」と称することがある)を含むことができる。前記リソグラフィー用下層膜形成用組成物が式(D1)及び式(D2)からなる群より選ばれた少なくとも1種の有機ケイ素化合物を含んでいると、硬化条件の調整によりSi-O結合の制御が容易であり、コスト的にも有利であり、有機系成分の導入に適している。このため、リソグラフィー用下層膜形成用組成物が式(D1)及び式(D2)からなる群より選ばれた少なくとも1種の有機ケイ素化合物を含む前記リソグラフィー用下層膜形成用組成物を用いて形成された層は、レジスト層の中間層(上層レジスト層と、基材上に設けられた有機下層膜との間の層)として有用である。
<Silicon-containing compound>
The silicon-containing compound may be either an organic silicon-containing compound or an inorganic silicon-containing compound, but is preferably an organic silicon-containing compound. Examples of the inorganic silicon-containing compound include a silicon oxide, a silicon nitride, and a polysilazane compound composed of silicon oxide nitride, which can be formed into a film by a coating method at a low temperature. Examples of the organosilicon-containing compound include polysilsesquioxane-based compounds, hydrolyzable organosilanes, hydrolyzates thereof, and hydrolyzed condensates thereof. The specific material of the polysilsesquioxane base is not limited to the following, and for example, those described in JP-A-2007-226170 and JP-A-2007-226204 can be used. The hydrolyzable organosilane, its hydrolyzate, or its hydrolyzed condensate is at least one selected from the group consisting of the hydrolyzable organosilane of the following formula (D1) and the following formula (D2). Hydrolyzable organosilanes, their hydrolysates, or their hydrolyzed condensates (hereinafter, these are simply at least one organic silicon compound selected from the group consisting of formulas (D1) and (D2). May be referred to). When the composition for forming an underlayer film for lithography contains at least one organosilicon compound selected from the group consisting of the formulas (D1) and (D2), the Si—O bond is controlled by adjusting the curing conditions. It is easy to use, is advantageous in terms of cost, and is suitable for introducing organic components. Therefore, the composition for forming the underlayer film for lithography is formed by using the composition for forming the underlayer film for lithography containing at least one organosilicon compound selected from the group consisting of the formula (D1) and the formula (D2). The resulting layer is useful as an intermediate layer of the resist layer (a layer between the upper resist layer and the organic lower layer film provided on the substrate).
 式(D1):  (RSi(R4-a
(式(D1)中、Rは、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルケニル基、エポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アルコキシアリール基、アシルオキシアリール基、イソシアヌレート基、ヒドロキシ基、環状アミノ基、又はシアノ基を有する“有機基”;或いは、それらの組み合わせを表し、且つSi-C結合によりケイ素原子と結合しているものであり、Rはアルコキシ基、アシルオキシ基又はハロゲン基を表し、aは0~3の整数を表す。)
Equation (D1): (R 3 ) a Si (R 4 ) 4-a
(In the formula (D1), R 3 is an alkyl group, an aryl group, an aralkyl group, an alkyl halide group, an aryl halide group, an aralkyl halide group, an alkenyl group, an epoxy group, an acryloyl group, a methacryloyl group, a mercapto group, and the like. An "organic group" having an alkoxyaryl group, an acyloxyaryl group, an isocyanurate group, a hydroxy group, a cyclic amino group, or a cyano group; or a combination thereof, which is bonded to a silicon atom by a Si—C bond. are those, R 4 represents an alkoxy group, an acyloxy group or a halogen group, a is an integer of 0-3.)
 式(D2):  [(RSi(R4-c
(式(D2)中、Rはアルキル基を表し、Rはアルコキシ基、アシルオキシ基又はハロゲン基を表し、Yはアルキレン基又はアリーレン基を表し、bは0又は1の整数を表し、cは0又は1の整数を表す。)
Equation (D2): [(R 5 ) c Si (R 6 ) 4-c ] 2 Y b
(In the formula (D2), R 5 represents an alkyl group, R 6 represents an alkoxy group, an acyloxy group or a halogen group, Y represents an alkylene group or an arylene group, b represents an integer of 0 or 1, and c. Represents an integer of 0 or 1.)
 前記組成物中、本実施形態に係る化合物と、ケイ素含有化合物(例えば、式(D1)及び式(D2)からなる群より選ばれた少なくとも1種の有機ケイ素化合物)と、の割合はモル比で1:2~1:200の範囲で使用することができる。良好なレジスト形状を得るためには、例えば、前記モル比で1:2~1:100の範囲で用いることができる。式(D1)及び式(D2)からなる群より選ばれた少なくとも1種の有機ケイ素化合物は、加水分解縮合物(ポリオルガノシロキサンのポリマー)として使用することが好ましい。 In the composition, the ratio of the compound according to the present embodiment to the silicon-containing compound (for example, at least one organosilicon compound selected from the group consisting of the formulas (D1) and (D2)) is a molar ratio. It can be used in the range of 1: 2 to 1: 200. In order to obtain a good resist shape, for example, it can be used in the range of 1: 2 to 1: 100 in the molar ratio. At least one organosilicon compound selected from the group consisting of the formula (D1) and the formula (D2) is preferably used as a hydrolysis condensate (polymer of polyorganosiloxane).
 式(D1)で表される加水分解性オルガノシラン中のRは、アルキル基、アリール基、アラルキル基、ハロゲン化アルキル基、ハロゲン化アリール基、ハロゲン化アラルキル基、アルケニル基、エポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、アルコキシアリール基、アシルオキシアリール基、イソシアヌレート基、ヒドロキシ基、環状アミノ基、又はシアノ基を有する“有機基”、或いは、それらの組み合わせであり、且つSi-C結合によりケイ素原子と結合しているものであり、Rはアルコキシ基、アシルオキシ基、又はハロゲン基を表し、aは0~3の整数を表す。 R 3 in the hydrolyzable organosilane represented by the formula (D1) is an alkyl group, an aryl group, an aralkyl group, an alkyl halide group, an aryl halide group, an aralkyl halide group, an alkenyl group, an epoxy group or an acryloyl. An "organic group" having a group, a methacryloyl group, a mercapto group, an alkoxyaryl group, an acyloxyaryl group, an isocyanurate group, a hydroxy group, a cyclic amino group, or a cyano group, or a combination thereof, and a Si—C bond. R 4 represents an alkoxy group, an acyloxy group, or a halogen group, and a represents an integer of 0 to 3.
 式(D2)の加水分解性オルガノシランのRはアルキル基を表し、Rはアルコキシ基、アシルオキシ基、又はハロゲン基を表し、Yはアルキレン基又はアリーレン基を表し、bは0又は1の整数を表し、cは0又は1の整数を表す。 In the hydrolyzable organosilane of the formula (D2), R 5 represents an alkyl group, R 6 represents an alkoxy group, an acyloxy group, or a halogen group, Y represents an alkylene group or an arylene group, and b represents 0 or 1. It represents an integer and c represents an integer of 0 or 1.
 式(D1)及び式(D2)で示される加水分解性オルガノシランとしては、例えば、国際公開第2017/188450号に開示された、リソグラフィー用下層膜形成用組成物に含まれ得る加水分解性オルガノシランが挙げられる。 The hydrolyzable organosilanes represented by the formulas (D1) and (D2) are, for example, hydrolyzable organosilanes which may be contained in the composition for forming an underlayer film for lithography disclosed in International Publication No. 2017/188450. Silane can be mentioned.
 本実施形態においては、本実施形態に係る化合物と、加水分解性オルガノシラン等と、を反応させずに混合体として膜を形成してもよいが、リソグラフィー用下層膜形成用組成物中の本実施形態に係る化合物と、上述の加水分解性オルガノシラン等とを、無機酸、脂肪族スルホン酸及び芳香族スルホン酸から選ばれる一種以上の化合物を酸触媒として用いて、加水分解縮合を行ってもよい。 In the present embodiment, a film may be formed as a mixture without reacting the compound according to the present embodiment with hydrolyzable organosilane or the like, but the present in the composition for forming a lower layer film for lithography may be formed. The compound according to the embodiment and the above-mentioned hydrolyzable organosilane are hydrolyzed and condensed using one or more compounds selected from inorganic acids, aliphatic sulfonic acids and aromatic sulfonic acids as an acid catalyst. May be good.
 このとき使用される酸触媒は、フッ酸、塩酸、臭化水素酸、硫酸、硝酸、過塩素酸、リン酸、メタンスルホン酸、ベンゼンスルホン酸、トルエンスルホン酸等を挙げることができる。触媒の使用量は、モノマー(本実施形態に係る化合物と加水分解性オルガノシラン等との総量)1モルに対して10-6~10モルが好ましく、より好ましくは10-5~5モル、さらに好ましくは10-4~1モルである。 Examples of the acid catalyst used at this time include hydrofluoric acid, hydrochloric acid, hydrobromic acid, sulfuric acid, nitric acid, perchloric acid, phosphoric acid, methanesulfonic acid, benzenesulfonic acid, toluenesulfonic acid and the like. The amount of the catalyst used is preferably 10 to 6 to 10 mol, more preferably 10 to 5 to 5 mol, and further preferably 10 to 5 to 5 mol, based on 1 mol of the monomer (total amount of the compound according to the present embodiment and the hydrolyzable organosilane, etc.). It is preferably 10 -4 to 1 mol.
 これらのモノマーを加水分解縮合するときの水の量は、モノマー(本実施形態に係る化合物及び加水分解性オルガノシラン等)に結合している加水分解性置換基1モル当たり0.01~100モルが好ましく、より好ましくは0.05~50モル、さらに好ましくは0.1~30モルを添加することが好ましい。100モル以下の添加であれば、反応に使用する装置が過大になることがないため経済的である。 The amount of water for hydrolyzing and condensing these monomers is 0.01 to 100 mol per mol of the hydrolyzable substituent bonded to the monomer (compound according to the present embodiment, hydrolyzable organosilane, etc.). It is preferable to add 0.05 to 50 mol, more preferably 0.1 to 30 mol, more preferably. If the addition is 100 mol or less, the equipment used for the reaction does not become excessive, which is economical.
 操作方法としては、例えば、触媒水溶液にモノマーを添加して加水分解縮合反応を開始させる。このとき、触媒水溶液に有機溶剤を加えてもよいし、モノマーを有機溶剤で希釈しておいてもよいし、両方行ってもよい。反応温度は好ましくは0~100℃、より好ましくは40~100℃である。モノマーの滴下時に5~80℃に温度を保ち、その後40~100℃で熟成させる方法が好ましい。 As an operation method, for example, a monomer is added to an aqueous catalyst solution to initiate a hydrolysis condensation reaction. At this time, an organic solvent may be added to the aqueous catalyst solution, the monomer may be diluted with the organic solvent, or both may be performed. The reaction temperature is preferably 0 to 100 ° C, more preferably 40 to 100 ° C. A method in which the temperature is maintained at 5 to 80 ° C. when the monomer is added dropwise and then aged at 40 to 100 ° C. is preferable.
 触媒水溶液に加えることのできる、又はモノマーを希釈することのできる有機溶剤としては、例えば、国際公開第2017/188450号に開示された有機溶剤が挙げられる。 Examples of the organic solvent that can be added to the aqueous catalyst solution or that can dilute the monomer include the organic solvent disclosed in International Publication No. 2017/188450.
 有機溶剤の使用量は、モノマー(本実施形態に係る化合物と加水分解性オルガノシラン等との総量)1モルに対して0~1,000mlが好ましく、特に0~500mlが好ましい。有機溶剤の使用量が1,000ml以下であれば、反応容器が過大となることがないため経済的である。 The amount of the organic solvent used is preferably 0 to 1,000 ml, particularly preferably 0 to 500 ml, per 1 mol of the monomer (total amount of the compound according to the present embodiment and the hydrolyzable organosilane, etc.). If the amount of the organic solvent used is 1,000 ml or less, the reaction vessel does not become excessive, which is economical.
 その後、必要であれば触媒の中和反応を行い、加水分解縮合反応で生成したアルコールを減圧除去し、反応混合物水溶液を得る。このとき、中和に使用することのできるアルカリ性物質の量は、触媒で使用された酸に対して0.1~2当量が好ましい。このアルカリ性物質は水中でアルカリ性を示すものであれば、任意の物質でよい。 After that, if necessary, a neutralization reaction of the catalyst is carried out, and the alcohol produced by the hydrolysis condensation reaction is removed under reduced pressure to obtain an aqueous reaction mixture solution. At this time, the amount of the alkaline substance that can be used for neutralization is preferably 0.1 to 2 equivalents with respect to the acid used in the catalyst. This alkaline substance may be any substance as long as it is alkaline in water.
 続いて、反応混合物から加水分解縮合反応で生成したアルコールなどの副生物を取り除くことが好ましい。このとき反応混合物を加熱する温度は、添加した有機溶剤と反応で発生したアルコールなどの種類によるが、好ましくは0~100℃、より好ましくは10~90℃、さらに好ましくは15~80℃である。またこのときの減圧度は、除去すべき有機溶剤及びアルコールなどの種類、排気装置、凝縮装置及び加熱温度により異なるが、好ましくは大気圧以下、より好ましくは絶対圧で80kPa以下、さらに好ましくは絶対圧で50kPa以下である。この際除去されるアルコール量を正確に知ることは難しいが、生成したアルコールなどのおよそ80質量%以上が除かれることが望ましい。 Subsequently, it is preferable to remove by-products such as alcohol produced by the hydrolysis condensation reaction from the reaction mixture. At this time, the temperature at which the reaction mixture is heated depends on the type of the added organic solvent and the alcohol generated by the reaction, but is preferably 0 to 100 ° C, more preferably 10 to 90 ° C, still more preferably 15 to 80 ° C. .. The degree of decompression at this time varies depending on the type of organic solvent and alcohol to be removed, the exhaust device, the condensing device, and the heating temperature, but is preferably atmospheric pressure or less, more preferably 80 kPa or less in absolute pressure, and even more preferably absolute. The pressure is 50 kPa or less. Although it is difficult to know exactly the amount of alcohol removed at this time, it is desirable that about 80% by mass or more of the produced alcohol or the like is removed.
 次に、反応混合物から加水分解縮合に使用した酸触媒を除去してもよい。酸触媒を除去する方法として、水と反応混合物とを混合し、生成物を有機溶剤で抽出する方法を例示できる。このとき使用する有機溶剤としては、生成物を溶解でき、水と混合させると2層分離するものが好ましい。例えば、国際公開第2017/188450号に開示された有機溶剤が挙げられる。 Next, the acid catalyst used for hydrolysis condensation may be removed from the reaction mixture. As a method for removing the acid catalyst, a method of mixing water and a reaction mixture and extracting the product with an organic solvent can be exemplified. The organic solvent used at this time is preferably one that can dissolve the product and separates into two layers when mixed with water. For example, the organic solvent disclosed in International Publication No. 2017/188450.
 さらに、反応混合物から加水分解縮合に使用した酸触媒を除去する際に、水溶性有機溶剤と水難溶性有機溶剤との混合物を使用することも可能である。例えば国際公開第2017/188450号に開示された混合物が挙げられる。 Further, when removing the acid catalyst used for hydrolysis condensation from the reaction mixture, it is also possible to use a mixture of a water-soluble organic solvent and a poorly water-soluble organic solvent. For example, the mixture disclosed in International Publication No. 2017/188450.
 水溶性有機溶剤と水難溶性有機溶剤との混合割合は、適宜選定されるが、水難溶性有機溶剤100質量部に対して、水溶性有機溶剤0.1~1,000質量部が好ましく、より好ましくは1~500質量部、さらに好ましくは2~100質量部である。 The mixing ratio of the water-soluble organic solvent and the water-soluble organic solvent is appropriately selected, but 0.1 to 1,000 parts by mass of the water-soluble organic solvent is preferable and more preferable with respect to 100 parts by mass of the water-soluble organic solvent. Is 1 to 500 parts by mass, more preferably 2 to 100 parts by mass.
 酸触媒が残留している生成物、及び酸触媒が除去された生成物、いずれの場合においても、最終的な溶剤を加え、減圧で溶剤交換することで生成物の溶液を得ることができる。このときの溶剤交換の温度は、除去すべき反応溶剤や抽出溶剤の種類によるが、好ましくは0~100℃、より好ましくは10~90℃、さらに好ましくは15~80℃である。またこのときの減圧度は、除去すべき抽出溶剤の種類、排気装置、凝縮装置及び加熱温度により異なるが、好ましくは大気圧以下、より好ましくは絶対圧で80kPa以下、さらに好ましくは絶対圧で50kPa以下である。 In either case, the product in which the acid catalyst remains or the product in which the acid catalyst is removed can be obtained by adding the final solvent and exchanging the solvent under reduced pressure to obtain a solution of the product. The temperature of the solvent exchange at this time depends on the type of the reaction solvent to be removed and the extraction solvent, but is preferably 0 to 100 ° C, more preferably 10 to 90 ° C, and further preferably 15 to 80 ° C. The degree of decompression at this time varies depending on the type of extraction solvent to be removed, the exhaust device, the condensing device, and the heating temperature, but is preferably atmospheric pressure or less, more preferably 80 kPa or less in absolute pressure, and still more preferably 50 kPa in absolute pressure. It is as follows.
 <その他の任意成分>
 前記組成物は、前記の成分の他、必要に応じて有機ポリマー化合物、架橋剤、及び界面活性剤等を含むことができる。
<Other optional ingredients>
In addition to the above components, the composition may contain an organic polymer compound, a cross-linking agent, a surfactant and the like, if necessary.
 有機ポリマー化合物を使用することにより、前記リソグラフィー用下層膜形成用組成物から形成されるレジスト下層膜のドライエッチング速度(単位時間当たりの膜厚の減少量)、減衰係数及び屈折率等を調整することができる。有機ポリマー化合物としては特に制限はなく、種々の有機ポリマーを使用することができる。縮重合ポリマー及び付加重合ポリマー等を使用することができる。例えば国際公開第2017/188450号に開示された有機ポリマー化合物が使用できる。 By using the organic polymer compound, the dry etching rate (decrease in film thickness per unit time), attenuation coefficient, refractive index, etc. of the resist underlayer film formed from the composition for forming the underlayer film for lithography is adjusted. be able to. The organic polymer compound is not particularly limited, and various organic polymers can be used. A polycondensation polymer, an addition polymerization polymer and the like can be used. For example, the organic polymer compound disclosed in International Publication No. 2017/188450 can be used.
 架橋剤を使用することにより、前記リソグラフィー用下層膜形成用組成物から形成されるレジスト下層膜のドライエッチング速度(単位時間当たりの膜厚の減少量)等を調整することができる。架橋剤としては特に制限はなく、種々の架橋剤を使用することができる。本実施形態で使用可能な架橋剤の具体例としては、例えば、メラミン化合物、グアナミン化合物、グリコールウリル化合物、ウレア化合物、エポキシ化合物、チオエポキシ化合物、イソシアネート化合物、アジド化合物、アルケニルエーテル基などの2重結合を含む化合物であって、メチロール基、アルコキシメチル基、アシロキシメチル基から選ばれる少なくとも一つの基を置換基(架橋性基)として有するものなどが挙げられるが、これらに特に限定されない。例えば国際公開第2017/188450号に開示された架橋剤が挙げられる。 By using a cross-linking agent, it is possible to adjust the dry etching rate (decrease in film thickness per unit time) of the resist underlayer film formed from the composition for forming the underlayer film for lithography. The cross-linking agent is not particularly limited, and various cross-linking agents can be used. Specific examples of the cross-linking agent that can be used in the present embodiment include double bonds such as a melamine compound, a guanamine compound, a glycol uryl compound, a urea compound, an epoxy compound, a thioepoxy compound, an isocyanate compound, an azide compound, and an alkenyl ether group. Examples of the compound containing the above include, but are not limited to, a compound having at least one group selected from a methylol group, an alkoxymethyl group and an acyloxymethyl group as a substituent (crosslinkable group). For example, the cross-linking agent disclosed in International Publication No. 2017/188450.
 前記リソグラフィー用下層膜形成用組成物において、架橋剤の含有量は、特に限定されないが、本実施形態に係る化合物100質量部に対して、1~10質量部であることが好ましく、より好ましくは1~5質量部である。上述の好ましい範囲にすることで、レジスト層とのミキシング現象の発生が抑制される傾向にあり、また、反射防止効果が高められ、架橋後の膜形成性が高められる傾向にある。 In the composition for forming an underlayer film for lithography, the content of the cross-linking agent is not particularly limited, but is preferably 1 to 10 parts by mass, more preferably 1 part by mass, based on 100 parts by mass of the compound according to the present embodiment. It is 1 to 5 parts by mass. By setting the above-mentioned preferable range, the occurrence of the mixing phenomenon with the resist layer tends to be suppressed, the antireflection effect is enhanced, and the film forming property after crosslinking tends to be enhanced.
 界面活性剤は、前記リソグラフィー用下層膜形成用組成物を基板に塗布した際に、表面欠陥等の発生を抑制するのに有効である。前記リソグラフィー用下層膜形成用組成物に含まれる界面活性剤としては、例えば、国際公開第2017/188450号に開示された界面活性剤が挙げられる。界面活性剤が使用される場合、その割合としては、本実施形態に係る化合物100質量部に対して、例えば、0.0001質量部~5質量部、又は0.001質量部~1質量部、又は0.01質量部~0.5質量部である。 The surfactant is effective in suppressing the occurrence of surface defects and the like when the composition for forming an underlayer film for lithography is applied to a substrate. Examples of the surfactant contained in the composition for forming an underlayer film for lithography include the surfactant disclosed in International Publication No. 2017/188450. When a surfactant is used, the ratio thereof is, for example, 0.0001 part to 5 parts by mass or 0.001 part to 1 part by mass with respect to 100 parts by mass of the compound according to the present embodiment. Or 0.01 parts by mass to 0.5 parts by mass.
 <リソグラフィー用下層膜及びパターン形成方法>
 本発明の第一の実施形態に係るリソグラフィー用下層膜は、前記本発明の第一の実施形態に係るリソグラフィー用下層膜形成用組成物を用いて形成することができる。本実施形態のリソグラフィー用下層膜は、多層レジスト法に用いられる、フォトレジスト(上層)の下層(レジスト下層膜)として好適に用いることができる。
<Underlayer film for lithography and pattern formation method>
The lithography underlayer film according to the first embodiment of the present invention can be formed by using the lithography underlayer film forming composition according to the first embodiment of the present invention. The lower layer film for lithography of the present embodiment can be suitably used as the lower layer (resist lower layer film) of the photoresist (upper layer) used in the multilayer resist method.
 本実施形態においては、例えば、リソグラフィー用下層膜形成用組成物を用いてレジスト下層膜を形成し、前記レジスト下層膜上に、少なくとも1層のフォトレジスト層を形成した後、該フォトレジスト層の所定の領域に放射線を照射し、現像を行うことでパターンを形成することができる。 In the present embodiment, for example, a resist underlayer film is formed using a composition for forming an underlayer film for lithography, and at least one photoresist layer is formed on the resist underlayer film, and then the photoresist layer is formed. A pattern can be formed by irradiating a predetermined area with radiation and performing development.
 また、上述のようにして作製した前記本発明の第一の実施形態に係るリソグラフィー用下層膜形成用組成物を用いた本発明の第一の実施形態に係るパターン形成方法の一態様としては、基板上に、塗布型有機下層膜材料を用いて有機下層膜を形成し、前記有機下層膜上に本発明の第一の実施形態のリソグラフィー用下層膜形成用組成物を用いてレジスト下層膜を形成し、前記レジスト下層膜上に上層レジスト膜組成物を用いて上層レジスト膜を形成し、前記上層レジスト膜に上層レジストパターンを形成し、前記上層レジストパターンをマスクにして前記レジスト下層膜にエッチングでパターンを転写し、パターンが転写された前記レジスト下層膜をマスクにして前記有機下層膜にエッチングでパターンを転写し、さらにパターンが転写された前記有機下層膜をマスクにして前記基板(被加工体)にエッチングでパターンを転写するパターン形成方法を挙げることができる。 Further, as one aspect of the pattern forming method according to the first embodiment of the present invention using the composition for forming a lower layer film for lithography according to the first embodiment of the present invention prepared as described above. An organic underlayer film is formed on the substrate by using a coating type organic underlayer film material, and a resist underlayer film is formed on the organic underlayer film by using the composition for forming a lower layer film for lithography according to the first embodiment of the present invention. The resist film is formed, an upper resist film is formed on the resist lower layer film using the upper resist film composition, an upper resist pattern is formed on the upper resist film, and the upper resist pattern is used as a mask to etch the resist lower layer film. The pattern is transferred with A pattern forming method in which a pattern is transferred to a body) by etching can be mentioned.
 本発明の第一の実施形態に係るパターン形成方法の別の態様として、基板上に炭素を主成分とする有機ハードマスクをCVD法で形成し、前記有機ハードマスク上に本発明の第一の実施形態のリソグラフィー用下層膜形成用組成物を用いてレジスト下層膜を形成し、前記レジスト下層膜上に上層レジスト膜組成物を用いて上層レジスト膜を形成し、前記上層レジスト膜に上層レジストパターンを形成し、該上層レジストパターンをマスクにして前記レジスト下層膜にエッチングでパターンを転写し、パターンが転写された前記レジスト下層膜をマスクにして前記有機ハードマスクにエッチングでパターンを転写し、さらにパターンが転写された前記有機ハードマスクをマスクにして前記基材(被加工体)にエッチングでパターンを転写するパターン形成方法を挙げることができる。 As another aspect of the pattern forming method according to the first embodiment of the present invention, an organic hard mask containing carbon as a main component is formed on a substrate by a CVD method, and the first aspect of the present invention is formed on the organic hard mask. A resist lower layer film is formed using the composition for forming a lower layer film for lithography of the embodiment, an upper layer resist film is formed on the resist lower layer film using the upper layer resist film composition, and an upper layer resist pattern is formed on the upper layer resist film. The upper resist pattern is used as a mask to transfer the pattern to the resist lower layer film by etching, and the resist lower layer film to which the pattern is transferred is used as a mask to transfer the pattern to the organic hard mask by etching. Examples thereof include a pattern forming method in which the pattern is transferred to the substrate (workpiece) by etching using the organic hard mask on which the pattern is transferred as a mask.
 前記基材としては、例えば、半導体基板を用いることができる。前記半導体基板としては、シリコン基板が一般的に用いることができるが、特に限定されず、Si、アモルファスシリコン(α-Si)、p-Si、SiO、SiN、SiON、W、TiN、Al等で被加工層と異なる材質のものを用いることができる。 As the base material, for example, a semiconductor substrate can be used. As the semiconductor substrate, a silicon substrate can be generally used, but the present invention is not particularly limited, and Si, amorphous silicon (α-Si), p-Si, SiO 2 , SiN, SiON, W, TiN, Al and the like can be used. It is possible to use a material different from that of the layer to be processed.
 また、前記基材(被加工体;前記半導体基板を含む)を構成する金属としては、ケイ素、チタン、タングステン、ハフニウム、ジルコニウム、クロム、ゲルマニウム、銅、アルミニウム、インジウム、ガリウム、ヒ素、パラジウム、鉄、タンタル、イリジウム、又はモリブデンのいずれか、或いはこれらの合金を用いることができる。 The metals constituting the base material (workpiece; including the semiconductor substrate) include silicon, titanium, tungsten, hafnium, zirconium, chromium, germanium, copper, aluminum, indium, gallium, arsenic, palladium, and iron. , Tantalum, iridium, or molybdenum, or alloys thereof.
 また、半導体基板上に被加工層(被加工部分)として、金属膜、金属炭化膜、金属酸化膜、金属窒化膜、金属酸化炭化膜、又は金属酸化窒化膜のいずれかが成膜されたもの等を用いることができる。このような金属を含む被加工層としては、例えば、Si、SiO、SiN、SiON、SiOC、p-Si、α-Si、TiN、WSi、BPSG、SOG、Cr、CrO、CrON、MoSi、W、W-Si、Al、Cu、Al-Si等並びに種々の低誘電膜及びそのエッチングストッパー膜が用いられ、通常、50~10,000nm、特に100~5,000nmの厚さに形成し得る。 Further, a metal film, a metal carbide film, a metal oxide film, a metal nitride film, a metal oxide carbide film, or a metal oxide nitride film is formed on a semiconductor substrate as a layer to be processed (processed portion). Etc. can be used. Examples of the layer to be processed containing such a metal include Si, SiO 2 , SiN, SiON, SiOC, p-Si, α-Si, TiN, WSi, BPSG, SOG, Cr, CrO, CrON, MoSi, W. , W—Si, Al, Cu, Al—Si and the like, various low dielectric films and etching stopper films thereof are used, and can be usually formed to a thickness of 50 to 10,000 nm, particularly 100 to 5,000 nm.
 本実施形態のパターン形成方法では、基板上に、有機下層膜、又は有機ハードマスクを形成することができる。このうち、有機下層膜は塗布型有機下層膜材料から回転塗布法等を用いて形成することができ、有機ハードマスクは炭素を主成分とする有機ハードマスクの材料からCVD法を用いて形成することができる。このような有機下層膜及び有機ハードマスクの種類等は、特に限定されないが、上層レジスト膜が露光によりパターン形成を行う場合は、十分な反射防止膜機能を発現するものが好ましい。このような有機下層膜又は有機ハードマスクを形成することで、サイズ変換差を生じさせることなく上層レジスト膜で形成されたパターンを基材(被加工体)上に転写することができる。尚、「炭素を主成分とする」ハードマスクとは、固形分の50質量%以上がアモルファスカーボンとも呼ばれa-C:Hと表示されるアモルファス水素化炭素等の炭素系材料で構成されているハードマスクを意味する。a-C:H膜は、様々な技術によって堆積させることができるが、プラズマ化学気相堆積(PECVD)が、費用効率及び膜質調整可能性のために広く使用されている。前記ハードマスクの例としては、例えば、特表2013-526783号公報に記載のものを参照することができる。 In the pattern forming method of the present embodiment, an organic underlayer film or an organic hard mask can be formed on the substrate. Of these, the organic underlayer film can be formed from the coating type organic underlayer film material by the rotary coating method or the like, and the organic hard mask is formed from the material of the organic hard mask containing carbon as a main component by the CVD method. be able to. The types of such an organic lower layer film and an organic hard mask are not particularly limited, but when the upper layer resist film forms a pattern by exposure, it is preferable that the upper layer resist film exhibits a sufficient antireflection film function. By forming such an organic underlayer film or an organic hard mask, the pattern formed by the upper layer resist film can be transferred onto the substrate (workpiece) without causing a size conversion difference. The "carbon-based" hard mask is composed of a carbon-based material such as amorphous hydride carbon in which 50% by mass or more of the solid content is also called amorphous carbon and is labeled as a-C: H. Means a hard mask that is. A-C: H films can be deposited by a variety of techniques, but plasma chemical vapor deposition (PECVD) is widely used for cost efficiency and film quality adjustability. As an example of the hard mask, for example, those described in Japanese Patent Application Laid-Open No. 2013-526783 can be referred to.
 本実施形態のパターンの形成方法に使用される本実施形態のレジスト下膜形成用組成物を用いたレジスト下層膜は、リソグラフィー用下層膜形成用組成物からスピンコート法等で有機下層膜等が設けられた被加工体上に作製することが可能である。レジスト下膜をスピンコート法で形成する場合、スピンコート後、溶剤を蒸発させ、上層レジスト膜とのミキシング防止を目的として、架橋反応を促進させるためにベークをすることが望ましい。ベーク温度は50~500℃の範囲内が好ましい。このとき、製造されるデバイスの構造にもよるが、デバイスへの熱ダメージを少なくするため、ベーク温度は400℃以下が特に好ましい。ベーク時間は10秒~300秒の範囲内が好ましく用いられる。 The resist underlayer film using the resist underlayer film forming composition of the present embodiment used in the pattern forming method of the present embodiment is obtained from the composition for forming the underlayer film for lithography by an organic underlayer film or the like by a spin coating method or the like. It can be manufactured on the provided workpiece. When the resist undercoat is formed by the spin coating method, it is desirable to evaporate the solvent after spin coating and bake in order to promote the crosslinking reaction for the purpose of preventing mixing with the upper resist film. The bake temperature is preferably in the range of 50 to 500 ° C. At this time, although it depends on the structure of the manufactured device, the baking temperature is particularly preferably 400 ° C. or lower in order to reduce heat damage to the device. The baking time is preferably in the range of 10 seconds to 300 seconds.
 また、本実施形態のパターン形成方法では、上層レジスト膜にパターンを形成する方法として、波長が300nm以下の光又はEUV光を用いたリソグラフィー法;電子線直接描画法、及び誘導自己組織化法のいずれかの方法を好適に用いることができる。このような方法を用いることで、レジスト上層膜上に微細なパターンを形成することができる。 Further, in the pattern forming method of the present embodiment, as a method of forming a pattern on the upper resist film, a lithography method using light having a wavelength of 300 nm or less or EUV light; an electron beam direct drawing method and an induced self-organization method. Either method can be preferably used. By using such a method, a fine pattern can be formed on the resist upper layer film.
 前記上層レジスト膜組成物としては、上述の上層レジスト膜にパターンを形成する方法に応じて適宜選択することができる。例えば、300nm以下の光又はEUV光を用いたリソグラフィーを行う場合、上層レジスト膜組成物としては、化学増幅型のフォトレジスト膜材料を用いることができる。このようなフォトレジスト膜材料としては、フォトレジスト膜を形成して露光を行った後に、アルカリ現像液を用いて露光部を溶解することによりポジ型パターンを形成するものや、有機溶媒からなる現像液を用いて未露光部を溶解することによりネガ型パターンを形成するものを例示できる。 The upper-layer resist film composition can be appropriately selected depending on the method for forming a pattern on the above-mentioned upper-layer resist film. For example, when performing lithography using light of 300 nm or less or EUV light, a chemically amplified photoresist film material can be used as the upper resist film composition. As such a photoresist film material, a photoresist film is formed and exposed, and then a positive pattern is formed by dissolving an exposed portion with an alkaline developer, or a development made of an organic solvent. An example thereof is one in which a negative pattern is formed by dissolving an unexposed portion with a liquid.
 本実施形態のリソグラフィー用下層膜形成用組成物より形成されるレジスト下層膜は、リソグラフィープロセスにおいて使用される光の波長によっては、その光を吸収することがある。そして、そのような場合には、基板からの反射光を防止する効果を有する反射防止膜として機能することができる。 The resist underlayer film formed from the lithography underlayer film forming composition of the present embodiment may absorb the light depending on the wavelength of the light used in the lithography process. Then, in such a case, it can function as an antireflection film having an effect of preventing the reflected light from the substrate.
 また、EUVレジストの下層膜としてはハードマスクとしての機能以外に以下の目的にも使用できる。EUVレジストとインターミキシングすることなく、EUV露光(波長13.5nm)に際して好ましくない露光光、例えば上述のUVやDUV(ArF光、KrF光)の基板又は界面からの反射を防止することができるEUVレジストの下層反射防止膜として、本実施形態に係るリソグラフィー用下層膜形成用組成物を用いることができる。EUVレジストの下層で効率的に反射を防止することができる。また、前記下層膜形成用組成物はEUVの吸収能に優れることから、上層レジスト組成物の増感作用を発現することが可能であり、感度向上に寄与する。EUVレジスト下層膜として用いた場合は、プロセスはフォトレジスト用下層膜と同様に行うことができる。 In addition to the function as a hard mask, the EUV resist underlayer film can also be used for the following purposes. EUV that can prevent the reflection of unfavorable exposure light, for example, the above-mentioned UV or DUV (ArF light, KrF light) from the substrate or interface during EUV exposure (wavelength 13.5 nm) without intermixing with the EUV resist. As the lower layer antireflection film of the resist, the composition for forming the lower layer film for lithography according to the present embodiment can be used. Reflection can be efficiently prevented in the lower layer of the EUV resist. Further, since the composition for forming the lower layer film is excellent in the ability to absorb EUV, it is possible to exhibit the sensitizing effect of the upper layer resist composition, which contributes to the improvement of sensitivity. When used as an EUV resist underlayer, the process can be carried out in the same manner as the photoresist underlayer.
 〔第二の実施形態〕
 <リソグラフィー用下層膜形成用組成物>
 本発明の第二の実施形態に係るリソグラフィー用下層膜形成用組成物は、本実施形態に係る化合物を含有するリソグラフィー用下層膜形成用組成物である。本実施形態のリソグラフィー用下層膜形成用組成物は、膜の欠陥低減(薄膜形成)が可能で、保存安定性が良好であり、高感度で長期耐光性があり、かつ良好なレジストパターン形状を付与できる。本実施形態のリソグラフィー用下層膜形成用組成物は、ケイ素含有化合物を含まないことができる。
[Second embodiment]
<Composition for forming an underlayer film for lithography>
The composition for forming an underlayer film for lithography according to a second embodiment of the present invention is a composition for forming an underlayer film for lithography containing a compound according to the present embodiment. The composition for forming an underlayer film for lithography of the present embodiment is capable of reducing film defects (thin film formation), has good storage stability, is highly sensitive, has long-term light resistance, and has a good resist pattern shape. Can be granted. The composition for forming an underlayer film for lithography of the present embodiment may not contain a silicon-containing compound.
 本実施形態のリソグラフィー用下層膜形成用組成物は、湿式プロセスが適用可能であり、耐熱性、密着性、段差埋め込み特性、特に平坦性に優れるフォトレジスト下層膜を形成するために有用なリソグラフィー用下層膜形成用組成物を実現することができる。そして、このリソグラフィー用下層膜形成用組成物は、架橋密度を比較的高くすることが可能で、溶媒溶解性も高い、特定構造を有する化合物を用いているため、ベーク時の膜の劣化が抑制され、フッ素ガス系プラズマエッチング等に対するエッチング耐性にも優れた下層膜を形成することができる。さらには、レジスト層との密着性にも優れるので、優れたレジストパターンを形成することができる。本実施形態のリソグラフィー用下層膜形成用組成物は、特に耐熱性、段差埋め込み特性及び平坦性に優れるため、例えば、複数のレジスト層のうち最下層に設けられるレジスト下層膜形成用の組成物として用いることができる。ただし、本実施形態のリソグラフィー用下層膜形成用組成物を用いて形成されたレジスト下層膜は、更に基板との間に他のレジスト下層を含むものであってもよい。 The composition for forming an underlayer film for lithography of the present embodiment is applicable to a wet process, and is useful for forming a photoresist underlayer film excellent in heat resistance, adhesion, step embedding characteristics, and particularly flatness. A composition for forming a lower layer film can be realized. Since this composition for forming an underlayer film for lithography uses a compound having a specific structure, which can have a relatively high crosslink density and high solvent solubility, deterioration of the film during baking is suppressed. Therefore, it is possible to form an underlayer film having excellent etching resistance to fluorine gas-based plasma etching and the like. Furthermore, since it has excellent adhesion to the resist layer, an excellent resist pattern can be formed. Since the composition for forming a lower layer film for lithography of the present embodiment is particularly excellent in heat resistance, step embedding characteristics and flatness, for example, as a composition for forming a lower layer film of a resist provided in the lowermost layer among a plurality of resist layers. Can be used. However, the resist underlayer film formed by using the composition for forming the underlayer film for lithography of the present embodiment may further include another resist underlayer between the substrate and the resist underlayer.
 本実施形態に係るリソグラフィー用下層膜形成用組成物は、本実施形態に係る化合物の他に、溶媒、酸発生剤、酸架橋剤などを更に含むことができる。更に、任意成分として、塩基性化合物、その他、水、アルコール、及び硬化触媒等を含むことができる。塗布性及び品質安定性の点から、リソグラフィー用下層膜形成用組成物中の本実施形態に係る化合物の含有量は、0.1~70質量%であることが好ましく、0.5~50質量%であることがより好ましく、3.0~40質量%であることが特に好ましい。 The composition for forming an underlayer film for lithography according to the present embodiment may further contain a solvent, an acid generator, an acid cross-linking agent and the like in addition to the compound according to the present embodiment. Further, as an optional component, a basic compound, other substances, water, alcohol, a curing catalyst and the like can be included. From the viewpoint of coatability and quality stability, the content of the compound according to the present embodiment in the composition for forming an underlayer film for lithography is preferably 0.1 to 70% by mass, preferably 0.5 to 50% by mass. % Is more preferable, and 3.0 to 40% by mass is particularly preferable.
 <溶媒>
 本実施形態において用いる溶媒としては、第一の実施形態で説明したものが挙げられる。その量も第一の実施形態で説明した通りである。
<Solvent>
Examples of the solvent used in the present embodiment include those described in the first embodiment. The amount is also as described in the first embodiment.
 <酸架橋剤>
 上述のように本実施形態のリソグラフィー用下層膜形成用組成物は、インターミキシングを抑制する等の観点から、必要に応じて酸架橋剤を含有していてもよい。本実施形態で使用可能な酸架橋剤としては、例えば、メラミン化合物、エポキシ化合物、グアナミン化合物、グリコールウリル化合物、ウレア化合物、チオエポキシ化合物、イソシアネート化合物、アジド化合物、アルケニルエーテル基などの2重結合を含む化合物であって、メチロール基、アルコキシメチル基、アシロキシメチル基から選ばれる少なくとも一つの基を置換基(架橋性基)として有するものなどが挙げられるが、これらに特に限定されない。なお、これらの酸架橋剤は、1種を単独で、或いは2種以上を組み合わせて用いることができる。また、これらは添加剤として用いてもよい。また、ヒドロキシ基を含む化合物も架橋剤として用いることができる。前記酸架橋剤の具体例としては、例えば、国際公開2013/024779号に記載のものが挙げられる。
<Acid cross-linking agent>
As described above, the composition for forming an underlayer film for lithography of the present embodiment may contain an acid cross-linking agent, if necessary, from the viewpoint of suppressing intermixing and the like. Examples of the acid cross-linking agent that can be used in the present embodiment include double bonds such as a melamine compound, an epoxy compound, a guanamine compound, a glycoluril compound, a urea compound, a thioepoxy compound, an isocyanate compound, an azido compound, and an alkenyl ether group. Examples of the compound include those having at least one group selected from a methylol group, an alkoxymethyl group and an acyloxymethyl group as a substituent (crosslinkable group), but the compound is not particularly limited thereto. In addition, these acid cross-linking agents can be used individually by 1 type or in combination of 2 or more types. Moreover, these may be used as an additive. Further, a compound containing a hydroxy group can also be used as a cross-linking agent. Specific examples of the acid cross-linking agent include those described in International Publication No. 2013/024779.
 本実施形態のリソグラフィー用下層膜形成用組成物において、酸架橋剤の含有量は、特に限定されないが、前記リソグラフィー用下層膜形成用組成物の全固形分100質量に対して、5~50質量部であることが好ましく、より好ましくは10~40質量部である。上述の好ましい範囲にすることで、レジスト層とのミキシング現象の発生が抑制される傾向にあり、また、反射防止効果が高められ、架橋後の膜形成性が高められる傾向にある。 In the composition for forming an underlayer film for lithography of the present embodiment, the content of the acid cross-linking agent is not particularly limited, but is 5 to 50 mass with respect to 100 mass by mass of the total solid content of the composition for forming an underlayer film for lithography. The amount is preferably 10 to 40 parts by mass, more preferably 10 to 40 parts by mass. By setting the above-mentioned preferable range, the occurrence of the mixing phenomenon with the resist layer tends to be suppressed, the antireflection effect is enhanced, and the film forming property after crosslinking tends to be enhanced.
 <酸発生剤>
 本実施形態のリソグラフィー用下層膜形成用組成物は、熱による架橋反応をさらに促進させるなどの観点から、必要に応じて酸発生剤を含有していてもよい。酸発生剤としては、熱分解によって酸を発生するもの、光照射によって酸を発生するものなどが知られているが、いずれのものも使用することができる。前記酸発生剤としては、例えば、国際公開WO2013/024779号に記載のものを用いることができる。
<Acid generator>
The composition for forming an underlayer film for lithography of the present embodiment may contain an acid generator, if necessary, from the viewpoint of further promoting the cross-linking reaction by heat. As the acid generator, those that generate acid by thermal decomposition, those that generate acid by light irradiation, and the like are known, but any of them can be used. As the acid generator, for example, the one described in International Publication No. WO2013 / 024779 can be used.
 本実施形態のリソグラフィー用下層膜形成用組成物において、酸発生剤の含有量は、特に限定されないが、前記リソグラフィー用下層膜形成用組成物の全固形分100質量部に対して、0.1~50質量部であることが好ましく、より好ましくは0.5~40質量部である。上述の好ましい範囲にすることで、酸発生量が多くなって架橋反応が高められる傾向にあり、また、レジスト層とのミキシング現象の発生が抑制される傾向にある。 In the composition for forming an underlayer film for lithography of the present embodiment, the content of the acid generator is not particularly limited, but is 0.1 with respect to 100 parts by mass of the total solid content of the composition for forming an underlayer film for lithography. It is preferably about 50 parts by mass, more preferably 0.5 to 40 parts by mass. By setting the above-mentioned preferable range, the amount of acid generated tends to increase and the crosslinking reaction tends to be enhanced, and the occurrence of the mixing phenomenon with the resist layer tends to be suppressed.
 <塩基性化合物>
 さらに、本実施形態のリソグラフィー用下層膜形成用組成物は、保存安定性を向上させる等の観点から、塩基性化合物を含有していてもよい。
<Basic compound>
Further, the composition for forming an underlayer film for lithography of the present embodiment may contain a basic compound from the viewpoint of improving storage stability and the like.
 塩基性化合物は、酸発生剤より微量に発生した酸が架橋反応を進行させるのを防ぐための、酸に対するクエンチャーの役割を果たす。このような塩基性化合物としては、例えば、第一級、第二級又は第三級の脂肪族アミン類、混成アミン類、芳香族アミン類、複素環アミン類、カルボキシル基を有する含窒素化合物、スルホニル基を有する含窒素化合物、水酸基を有する含窒素化合物、ヒドロキシフェニル基を有する含窒素化合物、アルコール性含窒素化合物、アミド誘導体、イミド誘導体等が挙げられるが、これらに特に限定されない。塩基性化合物の具体例としては、例えば、国際公開2013/024779号に記載のものが挙げられる。 The basic compound acts as a quencher for the acid to prevent the acid generated in a smaller amount than the acid generator from advancing the cross-linking reaction. Examples of such basic compounds include primary, secondary or tertiary aliphatic amines, mixed amines, aromatic amines, heterocyclic amines, and nitrogen-containing compounds having a carboxyl group. Examples thereof include a nitrogen-containing compound having a sulfonyl group, a nitrogen-containing compound having a hydroxyl group, a nitrogen-containing compound having a hydroxyphenyl group, an alcoholic nitrogen-containing compound, an amide derivative, an imide derivative and the like, but the present invention is not particularly limited thereto. Specific examples of the basic compound include those described in International Publication No. 2013/024779.
 本実施形態のリソグラフィー用下層膜形成用組成物において、塩基性化合物の含有量は、特に限定されないが、前記リソグラフィー用下層膜形成用組成物の全固形分100質量部に対して、0.001~2質量部であることが好ましく、より好ましくは0.01~1質量部である。上述の好ましい範囲にすることで、架橋反応を過度に損なうことなく保存安定性が高められる傾向にある。 In the composition for forming an underlayer film for lithography of the present embodiment, the content of the basic compound is not particularly limited, but is 0.001 with respect to 100 parts by mass of the total solid content of the composition for forming an underlayer film for lithography. It is preferably about 2 parts by mass, more preferably 0.01 to 1 part by mass. By setting the above-mentioned preferable range, the storage stability tends to be enhanced without excessively impairing the crosslinking reaction.
 また、本実施形態のリソグラフィー用下層膜形成用組成物は、熱硬化性の付与や吸光度をコントロールする目的で、他の樹脂又は化合物を含有していてもよい。このような他の樹脂又は化合物としては、ナフトール樹脂、キシレン樹脂ナフトール変性樹脂、ナフタレン樹脂のフェノール変性樹脂、ポリヒドロキシスチレン、ジシクロペンタジエン樹脂、(メタ)アクリレート、ジメタクリレート、トリメタクリレート、テトラメタクリレート、ビニルナフタレン、ポリアセナフチレンなどのナフタレン環、フェナントレンキノン、フルオレンなどのビフェニル環、チオフェン、インデンなどのヘテロ原子を有する複素環を含む樹脂や芳香族環を含まない樹脂;ロジン系樹脂、シクロデキストリン、アダマンタン(ポリ)オール、トリシクロデカン(ポリ)オール及びそれらの誘導体等の脂環構造を含む樹脂又は化合物等が挙げられるが、これらに特に限定されない。さらに、本実施形態のリソグラフィー用下層膜形成用組成物は、公知の添加剤を含有していてもよい。前記公知の添加剤としては、以下に限定されないが、例えば、紫外線吸収剤、界面活性剤、着色剤、ノニオン系界面活性剤が挙げられる。 Further, the composition for forming an underlayer film for lithography of the present embodiment may contain another resin or compound for the purpose of imparting thermosetting property and controlling the absorbance. Examples of such other resins or compounds include naphthalene resin, xylene resin, naphthalene-modified resin, phenol-modified resin of naphthalene resin, polyhydroxystyrene, dicyclopentadiene resin, (meth) acrylate, dimethacrylate, trimethacrylate, and tetramethacrylate. Resins containing naphthalene rings such as vinylnaphthalene and polyacenaphthalene, biphenyl rings such as phenanthrenquinone and fluorene, and heterocycles having heteroatoms such as thiophene and indene, and resins not containing aromatic rings; rosin-based resins and cyclodextrin. , Adamantane (poly) all, tricyclodecane (poly) all and resins or compounds containing an alicyclic structure such as derivatives thereof, but are not particularly limited thereto. Further, the composition for forming an underlayer film for lithography of the present embodiment may contain a known additive. Examples of the known additives include, but are not limited to, ultraviolet absorbers, surfactants, colorants, and nonionic surfactants.
 <リソグラフィー用レジスト下層膜及びパターン形成方法>
 本発明の第二の実施形態に係るリソグラフィー用レジスト下層膜は、前記本発明の第二の実施形態に係るリソグラフィー用下層膜形成用組成物を用いて形成される。本実施形態において形成されたパターンは、例えば、レジストパターンや回路パターンとして用いることができる。
<Resist underlayer film for lithography and pattern formation method>
The resist underlayer film for lithography according to the second embodiment of the present invention is formed by using the composition for forming the underlayer film for lithography according to the second embodiment of the present invention. The pattern formed in this embodiment can be used, for example, as a resist pattern or a circuit pattern.
 また、本発明の第二の実施形態に係るパターン形成方法は、基板上に、本発明の第二の実施形態のリソグラフィー用下層膜形成用組成物を用いてレジスト下層膜を形成する工程(A-1工程)と、前記レジスト下層膜上に、少なくとも1層のフォトレジスト層を形成する工程(A-2工程)と、前記A-2工程において少なくとも1層のフォトレジスト層を形成した後、前記フォトレジスト層の所定の領域に放射線を照射し、現像を行う工程(A-3工程)と、を有する。なお、“フォトレジスト層”とは、レジスト層の最外層、即ちレジスト層中最も表側(基板とは逆側)に設けられる層を意味する。 Further, the pattern forming method according to the second embodiment of the present invention is a step of forming a resist underlayer film on a substrate using the composition for forming a underlayer film for lithography according to the second embodiment of the present invention (A). -1 step), a step of forming at least one photoresist layer on the resist underlayer film (step A-2), and a step of forming at least one photoresist layer in the A-2 step. It has a step (A-3 step) of irradiating a predetermined region of the photoresist layer with radiation to develop the photoresist layer. The "photoresist layer" means the outermost layer of the resist layer, that is, the layer provided on the outermost side (opposite side of the substrate) of the resist layer.
 さらに、本発明の第二の実施形態の他のパターン形成方法は、基板上に、本発明の第二の実施形態のリソグラフィー用下層膜形成用組成物を用いてレジスト下層膜を形成する工程(B-1工程)と、前記下層膜上に、レジスト中間層膜材料(例えば、珪素含有レジスト層)を用いてレジスト中間層膜を形成する工程(B-2工程)と、前記レジスト中間層膜上に、少なくとも1層のフォトレジスト層を形成する工程(B-3工程)と、前記B-3工程において少なくとも1層のフォトレジスト層を形成した後、前記フォトレジスト層の所定の領域に放射線を照射し、現像してレジストパターンを形成する工程(B-4工程)と、前記B-4工程においてレジストパターンが形成された後、前記レジストパターンをマスクとして前記レジスト中間層膜をエッチングし、得られた中間層膜パターンをエッチングマスクとして前記下層膜をエッチングし、得られた下層膜パターンをエッチングマスクとして基板をエッチングすることで基板にパターンを形成する工程(B-5工程)と、を有する。 Further, another pattern forming method of the second embodiment of the present invention is a step of forming a resist underlayer film on a substrate by using the composition for forming a underlayer film for lithography according to the second embodiment of the present invention. B-1 step), a step of forming a resist intermediate layer film on the lower layer film using a resist intermediate layer film material (for example, a resist layer containing silicon) (step B-2), and the resist intermediate layer film. After forming at least one photoresist layer on the surface (step B-3) and forming at least one photoresist layer in the step B-3, radiation is applied to a predetermined region of the photoresist layer. After the resist pattern was formed in the step of forming a resist pattern by irradiating and developing the resist (step B-4) and the step B-4, the resist intermediate layer film was etched using the resist pattern as a mask. A step (B-5 step) of etching the lower layer film using the obtained intermediate layer film pattern as an etching mask and etching the substrate using the obtained lower layer film pattern as an etching mask to form a pattern on the substrate. Have.
 本実施形態のリソグラフィー用レジスト下層膜は、本実施形態のリソグラフィー用下層膜形成用組成物から形成されるものであれば、その形成方法は特に限定されず、公知の手法を適用することができる。例えば、本実施形態のリソグラフィー用下層膜形成用組成物をスピンコートやスクリーン印刷等の公知の塗布法或いは印刷法などで基板上に付与した後、有機溶媒を揮発させるなどして除去することで、レジスト下層膜を形成することができる。 As long as the resist underlayer film for lithography of the present embodiment is formed from the composition for forming the underlayer film for lithography of the present embodiment, the forming method thereof is not particularly limited, and a known method can be applied. .. For example, the composition for forming an underlayer film for lithography of the present embodiment is applied onto a substrate by a known coating method such as spin coating or screen printing or a printing method, and then removed by volatilizing an organic solvent. , A resist underlayer film can be formed.
 レジスト下層膜の形成時には、上層レジスト(例えば、フォトレジスト層やレジスト中間層膜)とのミキシング現象の発生を抑制するとともに架橋反応を促進させるために、ベーク処理を施すことが好ましい。この場合、ベーク温度は、特に限定されないが、80~450℃の範囲内であることが好ましく、より好ましくは200~400℃である。また、ベーク時間も、特に限定されないが、10秒間~300秒間の範囲内であることが好ましい。なお、レジスト下層膜の厚さは、要求性能に応じて適宜選定することができ、特に限定されないが、通常、30~20,000nm程度であることが好ましく、より好ましくは50~15,000nmとすることが好ましい。 When forming the resist lower layer film, it is preferable to perform a baking treatment in order to suppress the occurrence of a mixing phenomenon with an upper layer resist (for example, a photoresist layer or a resist intermediate layer film) and promote a crosslinking reaction. In this case, the baking temperature is not particularly limited, but is preferably in the range of 80 to 450 ° C, more preferably 200 to 400 ° C. The baking time is also not particularly limited, but is preferably in the range of 10 seconds to 300 seconds. The thickness of the resist underlayer film can be appropriately selected according to the required performance and is not particularly limited, but is usually preferably about 30 to 20,000 nm, more preferably 50 to 15,000 nm. It is preferable to do so.
 基板上にレジスト下層膜を作製した後、フォトレジスト層とレジスト下層膜との間にレジスト中間層膜を設けることができる。例えば、2層プロセスの場合はレジスト下層膜の上に珪素含有レジスト層又は通常の炭化水素からなる単層レジスト等をレジスト中間層膜として設けることができる。また、例えば、3層プロセスの場合は、レジスト中間層膜とフォトレジスト層と間に珪素含有中間層、さらにその上に珪素を含まない単層レジスト層を作製することが好ましい。これらフォトレジスト層、レジスト中間層膜、及びこれら層の間に設けられるレジスト層を形成するためのフォトレジスト材料としては公知のものを使用することができる。 After forming the resist underlayer film on the substrate, the resist intermediate layer film can be provided between the photoresist layer and the resist underlayer film. For example, in the case of a two-layer process, a silicon-containing resist layer, a single-layer resist made of ordinary hydrocarbons, or the like can be provided as a resist intermediate layer film on the resist underlayer film. Further, for example, in the case of a three-layer process, it is preferable to prepare a silicon-containing intermediate layer between the resist intermediate layer film and the photoresist layer, and a silicon-free single-layer resist layer on the silicon-containing intermediate layer. Known photoresist materials can be used for forming the photoresist layer, the resist intermediate layer film, and the resist layer provided between these layers.
 例えば、2層プロセス用の珪素含有レジスト材料としては、酸素ガスエッチング耐性の観点から、ベースポリマーとしてポリシルセスキオキサン誘導体又はビニルシラン誘導体等の珪素原子含有ポリマーを使用し、さらに有機溶媒、必要により塩基性化合物等を含むポジ型のフォトレジスト材料が好ましく用いられる。ここで珪素原子含有ポリマーとしては、この種のレジスト材料において用いられている公知のポリマーを使用することができる。 For example, as the silicon-containing resist material for the two-layer process, a silicon atom-containing polymer such as a polysilsesquioxane derivative or a vinylsilane derivative is used as the base polymer from the viewpoint of oxygen gas etching resistance, and an organic solvent is used, if necessary. A positive photoresist material containing a basic compound or the like is preferably used. Here, as the silicon atom-containing polymer, a known polymer used in this type of resist material can be used.
 また、例えば、3層プロセス用の珪素含有中間層としてはポリシルセスキオキサンベースの中間層が好ましく用いられる。レジスト中間層膜に反射防止膜として効果を持たせることによって、効果的に反射を抑えることができる傾向にある。例えば、193nm露光用プロセスにおいて、レジスト下層膜として芳香族基を多く含み基板エッチング耐性が高い材料を用いると、k値が高くなり、基板反射が高くなる傾向にあるが、レジスト中間層膜で反射を抑えることによって、基板反射を0.5%以下にすることができる。このような反射防止効果がある中間層としては、以下に限定されないが、193nm露光用としてはフェニル基又は珪素-珪素結合を有する吸光基を導入された、酸或いは熱で架橋するポリシルセスキオキサンが好ましく用いられる。 Further, for example, a polysilsesquioxane-based intermediate layer is preferably used as the silicon-containing intermediate layer for the three-layer process. By giving the resist intermediate layer film an effect as an antireflection film, it tends to be possible to effectively suppress reflection. For example, in the process for 193 nm exposure, if a material containing a large amount of aromatic groups and having high substrate etching resistance is used as the resist underlayer film, the k value tends to be high and the substrate reflection tends to be high, but the resist intermediate layer film reflects. By suppressing the above, the substrate reflection can be reduced to 0.5% or less. The intermediate layer having such an antireflection effect is not limited to the following, but for 193 nm exposure, a phenyl group or an absorbent group having a silicon-silicon bond is introduced, and the polysilseskioki crosslinked with an acid or heat. Sun is preferably used.
 また、Chemical Vapour Deposition(CVD)法で形成したレジスト中間層膜を用いることもできる。CVD法で作製した反射防止膜としての効果が高い中間層としては、以下に限定されないが、例えば、SiON膜が知られている。一般的には、CVD法よりスピンコート法やスクリーン印刷等の湿式プロセスによるレジスト中間層膜の形成の方が、簡便でコスト的なメリットがある。なお、3層プロセスにおける上層レジストは、ポジ型でもネガ型でもどちらでもよく、また、通常用いられている単層レジストと同じものを用いることができる。 Further, a resist intermediate layer film formed by the Chemical Vapor Deposition (CVD) method can also be used. The intermediate layer having a high effect as an antireflection film produced by the CVD method is not limited to the following, and for example, a SiON film is known. In general, the formation of a resist intermediate layer film by a wet process such as a spin coating method or screen printing is simpler and more cost effective than the CVD method. The upper layer resist in the three-layer process may be either a positive type or a negative type, and the same single-layer resist as normally used can be used.
 さらに、本実施形態のレジスト下層膜は、通常の単層レジスト用の反射防止膜或いはパターン倒れ抑制のための下地材として用いることもできる。本実施形態のレジスト下層膜は、下地加工のためのエッチング耐性に優れるため、下地加工のためのハードマスクとしての機能も期待できる。 Further, the resist underlayer film of the present embodiment can also be used as an antireflection film for a normal single-layer resist or a base material for suppressing pattern collapse. Since the resist underlayer film of the present embodiment has excellent etching resistance for base processing, it can be expected to function as a hard mask for base processing.
 上述の公知のフォトレジスト材料によりレジスト層を形成する場合においては、前記レジスト下層膜を形成する場合と同様に、スピンコート法やスクリーン印刷等の湿式プロセスが好ましく用いられる。また、レジスト材料をスピンコート法などで塗布した後、通常、プリベークが行われるが、このプリベークは、ベーク温度80~180℃、及び、ベーク時間10秒間~300秒間の範囲で行うことが好ましい。その後、常法にしたがい、露光を行い、ポストエクスポジュアーベーク(PEB)、現像を行うことで、レジストパターンを得ることができる。なお、各レジスト膜の厚さは特に制限されないが、一般的には、30nm~500nmが好ましく、より好ましくは50nm~400nmである。 When the resist layer is formed from the above-mentioned known photoresist material, a wet process such as a spin coating method or screen printing is preferably used as in the case of forming the resist underlayer film. Further, after applying the resist material by a spin coating method or the like, prebaking is usually performed, and this prebaking is preferably performed in a range of a baking temperature of 80 to 180 ° C. and a baking time of 10 seconds to 300 seconds. After that, a resist pattern can be obtained by performing exposure, post-exposure baking (PEB), and development according to a conventional method. The thickness of each resist film is not particularly limited, but is generally preferably 30 nm to 500 nm, more preferably 50 nm to 400 nm.
 また、露光光は、使用するフォトレジスト材料に応じて適宜選択して用いればよい。一般的には、波長300nm以下の高エネルギー線、具体的には248nm、193nm、157nmのエキシマレーザー、3~20nmの軟X線、電子ビーム、X線等を挙げることができる。 Further, the exposure light may be appropriately selected and used according to the photoresist material used. In general, high-energy rays having a wavelength of 300 nm or less, specifically, excimer lasers having a wavelength of 248 nm, 193 nm, and 157 nm, soft X-rays having a wavelength of 3 to 20 nm, electron beams, X-rays, and the like can be mentioned.
 上述の方法により形成されるレジストパターンは、本実施形態のレジスト下層膜によってパターン倒れが抑制されたものとなる。そのため、本実施形態のレジスト下層膜を用いることで、より微細なパターンを得ることができ、また、そのレジストパターンを得るために必要な露光量を低下させることができる。 The resist pattern formed by the above method is such that the pattern collapse is suppressed by the resist underlayer film of the present embodiment. Therefore, by using the resist underlayer film of the present embodiment, a finer pattern can be obtained, and the exposure amount required to obtain the resist pattern can be reduced.
 次に、得られたレジストパターンをマスクにしてエッチングを行う。2層プロセスにおけるレジスト下層膜のエッチングとしては、ガスエッチングが好ましく用いられる。ガスエッチングとしては、酸素ガスを用いたエッチングが好適である。酸素ガスに加えて、He、Arなどの不活性ガスや、CO、CO、NH、SO、N、NO、Hガスを加えることも可能である。また、酸素ガスを用いずに、CO、CO、NH、N、NO、Hガスだけでガスエッチングを行うこともできる。特に後者のガスは、パターン側壁のアンダーカット防止のための側壁保護のために好ましく用いられる。 Next, etching is performed using the obtained resist pattern as a mask. Gas etching is preferably used as the etching of the resist underlayer film in the two-layer process. As the gas etching, etching using oxygen gas is preferable. In addition to oxygen gas, it is also possible to add an inert gas such as He or Ar, or CO, CO 2 , NH 3 , SO 2 , N 2 , NO 2 , or H 2 gas. It is also possible to perform gas etching using only CO, CO 2 , NH 3 , N 2 , NO 2 , and H 2 gases without using oxygen gas. In particular, the latter gas is preferably used to protect the side wall to prevent undercutting of the side wall of the pattern.
 一方、3層プロセスにおける中間層(フォトレジスト層とレジスト下層膜との間に位置する層)のエッチングにおいても、ガスエッチングが好ましく用いられる。ガスエッチングとしては、上述の2層プロセスにおいて説明したものと同様のものが適用可能である。とりわけ、3層プロセスにおける中間層の加工は、フロン系のガスを用いてレジストパターンをマスクにして行うことが好ましい。その後、上述したように中間層パターンをマスクにして、例えば酸素ガスエッチングを行うことで、レジスト下層膜の加工を行うことができる。 On the other hand, gas etching is also preferably used for etching the intermediate layer (the layer located between the photoresist layer and the resist underlayer film) in the three-layer process. As the gas etching, the same one as described in the above-mentioned two-layer process can be applied. In particular, the processing of the intermediate layer in the three-layer process is preferably performed by using a fluorocarbon-based gas and using the resist pattern as a mask. After that, the resist underlayer film can be processed by, for example, performing oxygen gas etching using the intermediate layer pattern as a mask as described above.
 ここで、中間層として無機ハードマスク中間層膜を形成する場合は、CVD法やALD法等で、珪素酸化膜、珪素窒化膜、珪素酸化窒化膜(SiON膜)が形成される。窒化膜の形成方法としては、以下に限定されないが、例えば、特開2002-334869号公報、WO2004/066377に記載された方法を用いることができる。このような中間層膜の上に直接フォトレジスト膜を形成することができるが、中間層膜の上に有機反射防止膜(BARC)をスピンコートで形成して、その上にフォトレジスト膜を形成してもよい。 Here, when an inorganic hard mask intermediate layer film is formed as an intermediate layer, a silicon oxide film, a silicon nitride film, and a silicon oxide nitride film (SiON film) are formed by a CVD method, an ALD method, or the like. The method for forming the nitride film is not limited to the following, and for example, the method described in JP-A-2002-334869 and WO2004 / 0666377 can be used. A photoresist film can be formed directly on such an intermediate layer film, but an organic antireflection film (BARC) is formed on the intermediate layer film by spin coating, and a photoresist film is formed on the organic antireflection film (BARC). You may.
 中間層として、ポリシルセスキオキサンベースの中間層も好ましく用いられる。レジスト中間膜に反射防止膜として効果を持たせることによって、効果的に反射を抑えることができる傾向にある。ポリシルセスキオキサンベースの中間層の具体的な材料については、以下に限定されないが、例えば、特開2007-226170号公報、特開2007-226204号公報に記載されたものを用いることができる。 As the intermediate layer, a polysilsesquioxane-based intermediate layer is also preferably used. By giving the resist interlayer film an effect as an antireflection film, it tends to be possible to effectively suppress reflection. The specific material of the polysilsesquioxane-based intermediate layer is not limited to the following, and for example, those described in JP-A-2007-226170 and JP-A-2007-226204 can be used. ..
 また、基板のエッチングも、常法によって行うことができ、例えば、基板がSiO、SiNであればフロン系ガスを主体としたエッチング、p-SiやAl、Wでは塩素系、臭素系ガスを主体としたエッチングを行うことができる。基板をフロン系ガスでエッチングする場合、2層レジストプロセスの珪素含有レジストと3層プロセスの珪素含有中間層は、基板加工と同時に剥離される。一方、塩素系或いは臭素系ガスで基板をエッチングした場合は、珪素含有レジスト層又は珪素含有中間層の剥離が別途行われ、一般的には、基板加工後にフロン系ガスによるドライエッチング剥離が行われる。 Etching of the substrate can also be performed by a conventional method. For example, if the substrate is SiO 2 or SiN, etching mainly composed of chlorofluorocarbons, and if the substrate is p—Si, Al, or W, chlorine-based or bromine-based gas is used. Mainly etching can be performed. When the substrate is etched with a fluorocarbon-based gas, the silicon-containing resist in the two-layer resist process and the silicon-containing intermediate layer in the three-layer process are peeled off at the same time as the substrate is processed. On the other hand, when the substrate is etched with a chlorine-based or bromine-based gas, the silicon-containing resist layer or the silicon-containing intermediate layer is separately peeled off, and generally, dry etching peeling is performed with a fluorocarbon-based gas after the substrate is processed. ..
 本実施形態のレジスト下層膜は、これら基板のエッチング耐性に優れる。なお、基板としては、公知のものを適宜選択して使用することができ、特に限定されないが、Si、α-Si、p-Si、SiO、SiN、SiON、W、TiN、Al等が挙げられる。また、基板は、基材(支持体)上に被加工膜(被加工基板)を有する積層体であってもよい。このような被加工膜としては、Si、SiO、SiON、SiN、p-Si、α-Si、W、W-Si、Al、Cu、Al-Si等種々のLow-k膜及びそのストッパー膜等が挙げられ、通常、基材(支持体)とは異なる材質のものが用いられる。なお、加工対象となる基板或いは被加工膜の厚さは、特に限定されないが、通常、50nm~10,000nm程度であることが好ましく、より好ましくは75nm~5,000nmである。 The resist underlayer film of the present embodiment is excellent in etching resistance of these substrates. As the substrate, a known substrate can be appropriately selected and used, and the present invention is not particularly limited, and examples thereof include Si, α-Si, p-Si, SiO 2 , SiN, SiON, W, TiN, and Al. Be done. Further, the substrate may be a laminated body having a film to be processed (substrate to be processed) on a base material (support). As such a film to be processed, various Low-k films such as Si, SiO 2 , SiON, SiN, p-Si, α-Si, W, W-Si, Al, Cu, Al-Si and their stopper films and stopper films thereof. Etc., and usually, a material different from the base material (support) is used. The thickness of the substrate or the film to be processed is not particularly limited, but is usually preferably about 50 nm to 10,000 nm, and more preferably 75 nm to 5,000 nm.
 本実施形態のレジスト下層膜は段差を有する基板への埋め込み平坦性に優れる。埋め込み平坦性の評価方法としては、公知のものを適宜選択して使用することができ、特に限定はされないが、例えば、段差を有するシリコン製基板上に所定の濃度に調整した各化合物の溶液をスピンコートにより塗布し、110℃にて90秒間の溶媒除去乾燥を行い、所定の厚みとなるように下層膜を形成した後、240~300℃程度の温度で所定時間ベーク後のライン&スペース領域とパターンのない開放領域との下層膜厚みの差(ΔT)をエリプソメーターにより測定することにより、段差基板に対する埋め込み平坦性を評価することができる。 The resist underlayer film of the present embodiment is excellent in embedding flatness in a substrate having a step. As a method for evaluating the embedding flatness, a known method can be appropriately selected and used, and is not particularly limited. For example, a solution of each compound adjusted to a predetermined concentration is placed on a silicon substrate having a step. It is applied by spin coating, and the solvent is removed and dried at 110 ° C. for 90 seconds to form an underlayer film having a predetermined thickness, and then the line & space area is baked at a temperature of about 240 to 300 ° C. for a predetermined time. By measuring the difference (ΔT) between the thickness of the lower layer film and the open region without the pattern with an ellipsometer, the embedding flatness with respect to the stepped substrate can be evaluated.
 3)光学物品形成用組成物及び光学物品
 本実施形態に係る光学部品形成用組成物は、本実施形態に係る化合物を含有する光学部品形成用組成物である。該光学部品形成用組成物は、光学物品の形成に有用に用いられる。本実施形態の光学部品形成用組成物は、本実施形態に係る化合物を含有することにより、得られる光学物品の高屈折率及び高透明性が期待でき、さらに、保存安定性、構造体形成能(膜形成能)、耐熱性が期待される。
3) Optical article forming composition and optical article The optical component forming composition according to the present embodiment is an optical component forming composition containing the compound according to the present embodiment. The composition for forming an optical component is usefully used for forming an optical article. By containing the compound according to the present embodiment, the composition for forming an optical component of the present embodiment can be expected to have a high refractive index and high transparency of the obtained optical article, and further, storage stability and structure forming ability. (Film forming ability) and heat resistance are expected.
 光学物品の屈折率は光学部品の小型化や集光率の向上の観点から、1.65以上が好ましく、1.70以上がより好ましく、1.75以上が更に好ましい。光学物品の透明性は集光率の向上の観点から、70%以上が好ましく、80%以上がより好ましく、90%以上が更に好ましい。 The refractive index of the optical article is preferably 1.65 or more, more preferably 1.70 or more, still more preferably 1.75 or more, from the viewpoint of miniaturization of optical components and improvement of light collection rate. From the viewpoint of improving the light collection rate, the transparency of the optical article is preferably 70% or more, more preferably 80% or more, still more preferably 90% or more.
 屈折率の測定方法は特に制限されず公知の方法が用いられる。例えば、分光エリプソメトリー法、最小偏角法、臨界角法(アッベ式、プルフリッヒ式)、Vブロック法、プリズムカプラ法や液浸法(ベッケ線法)が挙げられる。透明性の測定方法は特に制限されず公知の方法が用いられる。例えば、分光光度計や分光エリプソメトリー法が挙げられる。 The method for measuring the refractive index is not particularly limited, and a known method is used. For example, spectroscopic ellipsometry method, minimum declination method, critical angle method (Abbe method, Prurich method), V-block method, prism coupler method and immersion method (Becke line method) can be mentioned. The method for measuring transparency is not particularly limited, and a known method is used. For example, spectrophotometers and spectroscopic ellipsometry methods can be mentioned.
 また該光学部品形成用組成物を硬化して得られる、光学物品を形成する本実施形態に係る硬化物は、三次元架橋物であることができ、低温から高温までの広範囲の熱処理によって着色が抑制され、高屈折率及び高透明性が期待できる。 Further, the cured product according to the present embodiment for forming an optical article obtained by curing the composition for forming an optical component can be a three-dimensional crosslinked product, and can be colored by a wide range of heat treatment from low temperature to high temperature. It is suppressed, and high refractive index and high transparency can be expected.
 本実施形態の光学部品形成用組成物は、本実施形態に係る化合物以外に、更に溶媒を含有することができる。該溶媒としては、前述した本実施形態のリソグラフィー用下層膜形成用組成物に用いられる溶媒と同様であることができる。 The composition for forming an optical component of the present embodiment may further contain a solvent in addition to the compound according to the present embodiment. The solvent can be the same as the solvent used in the composition for forming the underlayer film for lithography of the present embodiment described above.
 本実施形態の光学部品形成用組成物において、固形成分の量と溶媒の量との関係は、特に限定されないが、固形成分及び溶媒の合計100質量%に対して、固形成分1~80質量%及び溶媒20~99質量%であることが好ましく、より好ましくは固形成分1~50質量%及び溶媒50~99質量%、更に好ましくは固形成分2~40質量%及び溶媒60~98質量%であり、特に好ましくは固形成分2~10質量%及び溶媒90~98質量%である。なお、本実施形態の光学部品形成用組成物は溶媒を含まないこともできる。 In the composition for forming an optical component of the present embodiment, the relationship between the amount of the solid component and the amount of the solvent is not particularly limited, but the solid component is 1 to 80% by mass with respect to the total of 100% by mass of the solid component and the solvent. And the solvent is preferably 20 to 99% by mass, more preferably 1 to 50% by mass of the solid component and 50 to 99% by mass of the solvent, still more preferably 2 to 40% by mass of the solid component and 60 to 98% by mass of the solvent. Particularly preferably, the solid component is 2 to 10% by mass and the solvent is 90 to 98% by mass. The composition for forming an optical component of the present embodiment may not contain a solvent.
 本実施形態の光学部品形成用組成物は、他の固形成分として、酸架橋剤(G)、酸拡散制御剤(E)及びその他の成分(F)からなる群より選ばれる少なくとも一種を含有してもよい。 The composition for forming an optical component of the present embodiment contains at least one selected from the group consisting of an acid cross-linking agent (G), an acid diffusion control agent (E) and another component (F) as other solid components. You may.
 本実施形態の光学部品形成用組成物において、本実施形態に係る化合物の含有量は、特に限定されないが、固形成分の全質量(本実施形態に係る化合物、酸架橋剤(G)、酸拡散制御剤(E)及びその他の成分(F)などの任意に使用される固形成分の総和、以下同様)の50~99.4質量%であることが好ましく、より好ましくは55~90質量%、更に好ましくは60~80質量%、特に好ましくは60~70質量%である。 In the composition for forming an optical component of the present embodiment, the content of the compound according to the present embodiment is not particularly limited, but the total mass of the solid component (compound according to the present embodiment, acid cross-linking agent (G), acid diffusion). It is preferably 50 to 99.4% by mass, more preferably 55 to 90% by mass, based on the total of the solid components arbitrarily used such as the control agent (E) and the other component (F), the same applies hereinafter). It is more preferably 60 to 80% by mass, and particularly preferably 60 to 70% by mass.
 <酸発生剤(C)>
 本実施形態の光学部品形成用組成物は、熱により直接的又は間接的に酸を発生する酸発生剤(C)を一種以上含有することが好ましい。前記酸発生剤(C)は、特に限定されず、例えば前述した本実施形態のリソグラフィー用下層膜形成用組成物に含まれ得る酸発生剤(C)と同様であることができる。
<Acid generator (C)>
The composition for forming an optical component of the present embodiment preferably contains at least one acid generator (C) that directly or indirectly generates an acid by heat. The acid generator (C) is not particularly limited, and can be, for example, the same as the acid generator (C) that can be contained in the composition for forming an underlayer film for lithography of the present embodiment described above.
 本実施形態の光学部品形成用組成物において、酸発生剤(C)の含有量は、固形成分の全質量の0.001~49質量%が好ましく、1~40質量%がより好ましく、3~30質量%が更に好ましく、10~25質量%が特に好ましい。前記含有量の範囲内で酸発生剤(C)を使用することにより、一層高屈折率が得られる。 In the composition for forming an optical component of the present embodiment, the content of the acid generator (C) is preferably 0.001 to 49% by mass, more preferably 1 to 40% by mass, or 3 to 40% by mass of the total mass of the solid components. 30% by mass is more preferable, and 10 to 25% by mass is particularly preferable. By using the acid generator (C) within the range of the content, a higher refractive index can be obtained.
 <酸架橋剤(G)>
 本実施形態の光学部品形成用組成物は、構造体の強度を増す為の添加剤として使用する場合に、酸架橋剤(G)を一種以上含むことが好ましい。酸架橋剤(G)は、特に限定されず、例えば前述した本実施形態のリソグラフィー用下層膜形成用組成物に含まれ得る酸架橋剤(G)と同様であることができる。
<Acid cross-linking agent (G)>
The composition for forming an optical component of the present embodiment preferably contains one or more acid cross-linking agents (G) when used as an additive for increasing the strength of the structure. The acid cross-linking agent (G) is not particularly limited, and can be, for example, the same as the acid cross-linking agent (G) that can be contained in the composition for forming an underlayer film for lithography of the present embodiment described above.
 本実施形態の光学部品形成用組成物において、酸架橋剤(G)の含有量は、固形成分の全質量の0.5~49質量%が好ましく、0.5~40質量%がより好ましく、1~30質量%が更に好ましく、2~20質量%が特に好ましい。前記酸架橋剤(G)の含有割合を0.5質量%以上とすると、光学部品形成用組成物の有機溶媒に対する溶解性の抑制効果を向上させることができるので好ましく、一方、49質量%以下とすると、光学部品形成用組成物としての耐熱性の低下を抑制できることから好ましい。 In the composition for forming an optical component of the present embodiment, the content of the acid cross-linking agent (G) is preferably 0.5 to 49% by mass, more preferably 0.5 to 40% by mass, based on the total mass of the solid components. 1 to 30% by mass is more preferable, and 2 to 20% by mass is particularly preferable. When the content ratio of the acid cross-linking agent (G) is 0.5% by mass or more, it is preferable because the effect of suppressing the solubility of the composition for forming an optical component in an organic solvent can be improved, while 49% by mass or less. This is preferable because it can suppress a decrease in heat resistance as a composition for forming an optical component.
 また、前記酸架橋剤(G)中の前記酸架橋剤(G1)、前記酸架橋剤(G2)、前記酸架橋剤(G3)から選ばれる少なくとも1種の化合物の含有量も特に限定はなく、光学部品形成用組成物を形成する際に使用される基板の種類等によって種々の範囲とすることができる。 Further, the content of at least one compound selected from the acid cross-linking agent (G1), the acid cross-linking agent (G2), and the acid cross-linking agent (G3) in the acid cross-linking agent (G) is not particularly limited. , The range can be various depending on the type of the substrate used when forming the composition for forming an optical component.
 <酸拡散制御剤(E)>
 本実施形態の光学部品形成用組成物は、酸発生剤から生じた酸の光学部品形成用組成物中における拡散を制御して、好ましくない化学反応を阻止する作用等を有する酸拡散制御剤(E)を含有してもよい。この様な酸拡散制御剤(E)を使用することにより、光学部品形成用組成物の貯蔵安定性が向上する。また解像度が一層向上するとともに、加熱後の引き置き時間の変動による構造体の線幅変化を抑えることができ、プロセス安定性に極めて優れたものとなる。酸拡散制御剤(E)は、特に限定されず、例えば前述した本実施形態のリソグラフィー用下層膜形成用組成物に含まれ得る酸拡散制御剤(E)と同様であることができる。
<Acid diffusion control agent (E)>
The composition for forming an optical component of the present embodiment is an acid diffusion control agent having an action of controlling diffusion of an acid generated from an acid generator in the composition for forming an optical component to prevent an unfavorable chemical reaction ( E) may be contained. By using such an acid diffusion control agent (E), the storage stability of the composition for forming an optical component is improved. In addition, the resolution is further improved, and changes in the line width of the structure due to fluctuations in the leaving time after heating can be suppressed, resulting in extremely excellent process stability. The acid diffusion control agent (E) is not particularly limited, and can be, for example, the same as the acid diffusion control agent (E) that can be contained in the composition for forming a lower layer film for lithography of the present embodiment described above.
 酸拡散制御剤(E)の含有量は、固形成分の全質量の0.001~49質量%が好ましく、0.01~10質量%がより好ましく、0.01~5質量%が更に好ましく、0.01~3質量%が特に好ましい。酸拡散制御剤(E)の含有量が前記範囲内であると、解像度の低下、パターン形状、寸法忠実度等の劣化を一層抑制できる。更に、電子線照射から放射線照射後加熱までの引き置き時間が長くなっても、パターン上層部の形状が劣化することがない。また、酸拡散制御剤(E)の含有量が10質量%以下であると、感度、未露光部の現像性等の低下を防ぐことができる。またこのような酸拡散制御剤を使用することにより、光学部品形成用組成物の貯蔵安定性が向上し、また解像度が向上するとともに、放射線照射前の引き置き時間、放射線照射後の引き置き時間の変動による光学部品形成用組成物の線幅変化を抑えることができ、プロセス安定性に極めて優れたものとなる。 The content of the acid diffusion control agent (E) is preferably 0.001 to 49% by mass, more preferably 0.01 to 10% by mass, still more preferably 0.01 to 5% by mass, based on the total mass of the solid component. 0.01 to 3% by mass is particularly preferable. When the content of the acid diffusion control agent (E) is within the above range, deterioration of resolution, pattern shape, dimensional fidelity and the like can be further suppressed. Further, even if the leaving time from the electron beam irradiation to the heating after the irradiation is long, the shape of the upper layer portion of the pattern does not deteriorate. Further, when the content of the acid diffusion control agent (E) is 10% by mass or less, it is possible to prevent deterioration of sensitivity, developability of the unexposed portion and the like. Further, by using such an acid diffusion control agent, the storage stability of the composition for forming an optical component is improved, the resolution is improved, and the retention time before irradiation and the retention time after irradiation are improved. It is possible to suppress the change in the line width of the composition for forming an optical component due to the fluctuation of the above, and the process stability is extremely excellent.
 <その他の成分(F)>
 本実施形態の光学部品形成用組成物には、本実施形態の目的を阻害しない範囲で、必要に応じて、その他の成分(F)として、溶解促進剤、溶解制御剤、増感剤、界面活性剤及び有機カルボン酸又はリンのオキソ酸若しくはその誘導体等の各種添加剤を1種又は2種以上添加することができる。その他の成分(F)としては、例えば前述した本実施形態のリソグラフィー用下層膜形成用組成物に含まれ得るその他の成分(F)と同様であることができる。
<Other ingredients (F)>
The composition for forming an optical component of the present embodiment contains a dissolution accelerator, a dissolution control agent, a sensitizer, and a surfactant as other components (F), if necessary, as long as the object of the present embodiment is not impaired. One or two or more kinds of additives such as an activator and an organic carboxylic acid or an oxo acid of phosphorus or a derivative thereof can be added. The other component (F) can be, for example, the same as the other component (F) that can be contained in the composition for forming a lower layer film for lithography of the present embodiment described above.
 その他の成分(F)の合計含有量は、固形成分の全質量の0~49質量%が好ましく、0~5質量%がより好ましく、0~1質量%が更に好ましく、0質量%が特に好ましい。 The total content of the other component (F) is preferably 0 to 49% by mass, more preferably 0 to 5% by mass, further preferably 0 to 1% by mass, and particularly preferably 0% by mass of the total mass of the solid component. ..
 本実施形態の光学部品形成用組成物において、本実施形態に係る化合物、酸拡散制御剤(E)、その他の成分(F)の含有量(本実施形態に係る化合物/酸拡散制御剤(E)/その他の成分(F))は、固形物基準の質量%で、好ましくは50~99.4/0.001~49/0.001~49/0~49、より好ましくは55~90/1~40/0.01~10/0~5、更に好ましくは60~80/3~30/0.01~5/0~1、特に好ましくは60~70/10~25/0.01~3/0である。各成分の含有割合は、その総和が100質量%になるように各範囲から選ばれる。前記含有割合にすると、感度、解像度、現像性等の性能に一層優れる。 In the composition for forming an optical component of the present embodiment, the content of the compound, the acid diffusion control agent (E), and the other component (F) according to the present embodiment (compound / acid diffusion control agent (E) according to the present embodiment). ) / Other components (F)) are in mass% based on solid matter, preferably 50 to 99.4 / 0.001 to 49 / 0.001 to 49/0 to 49, and more preferably 55 to 90 /. 1 to 40/0.01 to 10/0 to 5, more preferably 60 to 80/3 to 30/0.01 to 5/0 to 1, particularly preferably 60 to 70/10 to 25/0.01 to It is 3/0. The content ratio of each component is selected from each range so that the total is 100% by mass. With the above content ratio, the performance such as sensitivity, resolution, and developability is further excellent.
 本実施形態の光学部品形成用組成物の調製方法は、特に限定されず、例えば、使用時に各成分を溶媒に溶解して均一溶液とし、その後、必要に応じて、例えば孔径0.2μm程度のフィルター等でろ過する方法等が挙げられる。 The method for preparing the composition for forming an optical component of the present embodiment is not particularly limited, and for example, each component is dissolved in a solvent at the time of use to form a uniform solution, and then, if necessary, for example, a pore size of about 0.2 μm. Examples thereof include a method of filtering with a filter or the like.
 本実施形態の光学部品形成用組成物は、本発明の目的を阻害しない範囲で他の樹脂を含むことができる。他の樹脂は、特に限定されず、例えば、ノボラック樹脂、ポリビニルフェノール類、ポリアクリル酸、ポリビニルアルコール、スチレン-無水マレイン酸樹脂、及びアクリル酸、ビニルアルコール、又はビニルフェノールを単量体単位として含む重合体或いはこれらの誘導体などが挙げられる。当該樹脂の含有量は、特に限定されず、使用する本実施形態に係る化合物の種類に応じて適宜調節される。 The composition for forming an optical component of the present embodiment may contain other resins as long as the object of the present invention is not impaired. Other resins are not particularly limited and include, for example, novolak resin, polyvinylphenols, polyacrylic acid, polyvinyl alcohol, styrene-maleic anhydride resin, and acrylic acid, vinyl alcohol, or vinylphenol as a monomer unit. Examples thereof include polymers and derivatives thereof. The content of the resin is not particularly limited, and is appropriately adjusted according to the type of the compound according to the present embodiment to be used.
 また本実施形態の硬化物は、前記光学部品形成用組成物を硬化して得られ、各種樹脂として使用することができる。これらの硬化物は、高融点、高屈折率及び高透明性といった様々な特性を付与する高汎用性の材料として様々な用途に用いることができる。なお、当該硬化物は、前記組成物を光照射、加熱等の各組成に対応した公知の方法を用いることによって得ることができる。 Further, the cured product of the present embodiment is obtained by curing the composition for forming an optical component, and can be used as various resins. These cured products can be used for various purposes as highly versatile materials imparting various properties such as high melting point, high refractive index and high transparency. The cured product can be obtained by irradiating the composition with a known method corresponding to each composition such as light irradiation and heating.
 これらの硬化物は、エポキシ樹脂、ポリカーボネート樹脂、アクリル樹脂等の各種合成樹脂として、更には、機能性を活かしてレンズ、光学シート等の光学部品として用いることができる。 These cured products can be used as various synthetic resins such as epoxy resin, polycarbonate resin, and acrylic resin, and further, by utilizing their functionality, as optical parts such as lenses and optical sheets.
[精製方法]
 本実施態様の化合物の精製方法は、当該化合物又はその誘導体を、水と任意に混和しない有機溶媒を含む溶媒に溶解させて溶液(B)を得る工程と、得られた溶液(B)と酸性の水溶液とを接触させて、前記化合物又はその誘導体中の不純物を抽出する第一抽出工程と、を備える。
[Purification method]
The method for purifying a compound according to this embodiment is a step of dissolving the compound or a derivative thereof in a solvent containing an organic solvent that is not arbitrarily mixed with water to obtain a solution (B), and the obtained solution (B) and acidity. The present invention comprises a first extraction step of contacting the compound with an aqueous solution of the above to extract impurities in the compound or a derivative thereof.
 具体的に、水と任意に混和しない有機溶媒に前記化合物を溶解させ、その溶液を酸性水溶液と接触させ抽出処理を行うことにより、当該化合物に含まれる金属分を水相に移行させた後、有機相と水相を分離して精製する。当該方法により、前記化合物又はその誘導体中の種々の金属の含有量を著しく低減させることができる。前記化合物の誘導体とは、例えば、当該化合物同士または当該化合物と他の化合物と反応させて得られる樹脂等が挙げられる。以下、本実施態様の化合物又はその誘導体を、まとめて「本実施態様の化合物等」とも称する。 Specifically, the compound is dissolved in an organic solvent that is arbitrarily immiscible with water, and the solution is brought into contact with an acidic aqueous solution for extraction treatment to transfer the metal content contained in the compound to the aqueous phase. The organic phase and the aqueous phase are separated and purified. By this method, the content of various metals in the compound or its derivative can be significantly reduced. Examples of the derivative of the compound include a resin obtained by reacting the compounds with each other or with the compound and another compound. Hereinafter, the compound of the present embodiment or a derivative thereof is also collectively referred to as "the compound of the present embodiment".
 水と任意に混和しない有機溶媒とは、20~90℃のいずれの温度においても水に対する溶解度が50質量%未満である有機溶媒をいう。当該溶解度は生産性の観点から、25質量%未満であることが好ましい。水と任意に混和しない有機溶媒としては、限定されないが、半導体製造プロセスに安全に適用できる有機溶媒が好ましい。使用する有機溶媒の量は、本発明の化合物に対して、通常1~100質量倍程度である。 The organic solvent that is not miscible with water means an organic solvent having a solubility in water of less than 50% by mass at any temperature of 20 to 90 ° C. From the viewpoint of productivity, the solubility is preferably less than 25% by mass. The organic solvent that is arbitrarily immiscible with water is not limited, but an organic solvent that can be safely applied to the semiconductor manufacturing process is preferable. The amount of the organic solvent used is usually about 1 to 100 times by mass with respect to the compound of the present invention.
 精製方法に使用される溶媒の具体例としては、例えば、国際公開WO2015/080240号公報に記載されているものが挙げられる。これらの溶媒は、1種を単独で、又は2種以上を組み合わせて用いられる。これらの中でも、トルエン、2-ヘプタノン、シクロヘキサノン、シクロペンタノン、メチルイソブチルケトン、プロピレングリコールモノメチルエーテルアセテート、酢酸エチル等が好ましく、特にシクロヘキサノン、プロピレングリコールモノメチルエーテルアセテートが好ましい。 Specific examples of the solvent used in the purification method include those described in International Publication WO2015 / 080240. These solvents may be used alone or in combination of two or more. Among these, toluene, 2-heptanone, cyclohexanone, cyclopentanone, methyl isobutyl ketone, propylene glycol monomethyl ether acetate, ethyl acetate and the like are preferable, and cyclohexanone and propylene glycol monomethyl ether acetate are particularly preferable.
 酸性の水溶液としては、一般に知られる有機、無機系化合物を水に溶解させた水溶液の中から適宜選択される。例えば、国際公開WO2015/080240号公報に記載されているものが挙げられる。これらの酸性の水溶液は、1種を単独で、又は2種以上を組み合わせて用いられる。これらの中でも、塩酸、硫酸、硝酸及びリン酸からなる群より選ばれる1種以上の鉱酸水溶液;又は、酢酸、プロピオン酸、蓚酸、マロン酸、コハク酸、フマル酸、マレイン酸、酒石酸、クエン酸、メタンスルホン酸、フェノールスルホン酸、p-トルエンスルホン酸及びトリフルオロ酢酸からなる群より選ばれる1種以上の有機酸水溶液が好ましい。この際に用いる水は、本発明の目的に沿って、金属含有量の少ないもの、例えばイオン交換水等が好適に用いられる。 The acidic aqueous solution is appropriately selected from the generally known aqueous solutions of organic and inorganic compounds dissolved in water. For example, those described in International Publication WO2015 / 080240 can be mentioned. These acidic aqueous solutions may be used alone or in combination of two or more. Among these, one or more mineral acid aqueous solutions selected from the group consisting of hydrochloric acid, sulfuric acid, nitric acid and phosphoric acid; or acetic acid, propionic acid, oxalic acid, malonic acid, succinic acid, fumaric acid, maleic acid, tartaric acid and citrus. One or more organic acid aqueous solutions selected from the group consisting of acid, methanesulfonic acid, phenolsulfonic acid, p-toluenesulfonic acid and trifluoroacetic acid are preferable. As the water used at this time, for the purpose of the present invention, water having a low metal content, for example, ion-exchanged water or the like is preferably used.
 酸性の水溶液のpHは限定されないが、酸性度が過度に高い水溶液は本発明の化合物に悪影響を及ぼすことがあるので好ましくない。通常、pH範囲は0~5程度であり、より好ましくはpH0~3程度である。 The pH of the acidic aqueous solution is not limited, but an aqueous solution with excessively high acidity may adversely affect the compound of the present invention, which is not preferable. Usually, the pH range is about 0 to 5, and more preferably about pH 0 to 3.
 酸性の水溶液の使用量は限定されないが、量が過少であると金属除去のための抽出回数多くする必要があり、逆に過多であると全体の液量が多くなり作業性が低下することがある。水溶液の使用量は、本実施態様の化合物等と前記有機溶媒を含む溶液に対して通常10~200質量%であり、好ましくは20~100質量%である。 The amount of the acidic aqueous solution used is not limited, but if the amount is too small, it is necessary to increase the number of extractions for removing the metal, and conversely, if the amount is too large, the total amount of the liquid increases and the workability may decrease. be. The amount of the aqueous solution used is usually 10 to 200% by mass, preferably 20 to 100% by mass, based on the solution containing the compound and the like of the present embodiment and the organic solvent.
 抽出処理を行う際の温度は、通常20~90℃であり、好ましくは30~80℃の範囲である。抽出操作は、例えば、撹拌等を行って両者をよく混合した後、静置することにより行われる。これにより、本実施態様の化合物等に含まれていた金属分が水相に移行する。また本処理により、溶液の酸性度が低下するので本発明の化合物の変質を抑制することができる。 The temperature at which the extraction process is performed is usually 20 to 90 ° C, preferably 30 to 80 ° C. The extraction operation is performed, for example, by stirring or the like to mix the two well, and then allowing the mixture to stand. As a result, the metal content contained in the compound or the like of the present embodiment is transferred to the aqueous phase. Further, since the acidity of the solution is lowered by this treatment, deterioration of the compound of the present invention can be suppressed.
 前記処理後の混合物からデカンテーション等により本実施態様の化合物等を含む油相を回収する。静置する時間は限定されないが、混合物を静置する時間が過度に短いと有機溶媒を含む油相と水相との分離が十分でなくなるので好ましくない。静置する時間は通常1分以上であり、より好ましくは10分以上であり、さらに好ましくは30分以上である。抽出処理は1回でもよりが、複数回行ってもよい。 The oil phase containing the compound and the like of this embodiment is recovered from the mixture after the treatment by decantation or the like. The standing time is not limited, but it is not preferable if the standing time of the mixture is excessively short because the separation between the oil phase containing the organic solvent and the aqueous phase becomes insufficient. The standing time is usually 1 minute or more, more preferably 10 minutes or more, still more preferably 30 minutes or more. The extraction process may be performed once or more than once.
 回収された油相は水での洗浄処理、すなわち水を用いた抽出処理(第二抽出工程)に供されることが好ましい。当該処理は、前述の通りに行うことができる。このようにして得た洗浄後の油相は水を含むことがあるが、当該水は減圧蒸留等により容易に除去できる。油相には、必要により有機溶媒を加えて本発明の化合物の濃度を調整することができる。当該油相を、減圧蒸留、再沈殿等の公知の処理に供することで、目的化合物を単離できる。 It is preferable that the recovered oil phase is subjected to a washing treatment with water, that is, an extraction treatment using water (second extraction step). The process can be performed as described above. The oil phase after washing thus obtained may contain water, and the water can be easily removed by vacuum distillation or the like. If necessary, an organic solvent can be added to the oil phase to adjust the concentration of the compound of the present invention. The target compound can be isolated by subjecting the oil phase to a known treatment such as vacuum distillation or reprecipitation.
 以下、実施例を挙げて、本実施形態を更に具体的に説明する。但し、本発明は、これらの実施例に限定されない。 Hereinafter, this embodiment will be described in more detail with reference to examples. However, the present invention is not limited to these examples.
 [実施例1]
1)BCA[4]-co-BAB-DMHDOの合成
 100mlナスフラスコに2,5-ジメチル-2,5-ヘキサンジオール(17mmol、2.49g)、ピリジン(40mmol、3.22ml)、CCl(40ml)を加え、0℃に冷却し、ブロモアセチルブロマイド(40mmol、8.07g)をゆっくり加え、1時間撹拌した。その後、室温で24時間撹拌し反応を行った。反応終了後、重力濾過で塩を取り除き、ろ液をジエチルエーテルで希釈し、1NのHCl水溶液、飽和重曹水を用いてこの順で洗浄した。溶液を乾燥後、濃縮し、薄黄色固体を得た。得られた生成物をH-NMRおよびIRで分析した。これらのスペクトルを図1及び2に示す。収量は5.14g、収率は82%であった。
[Example 1]
1) Synthesis of BCA [4] -co-BAB-DMHDO In a 100 ml eggplant flask, 2,5-dimethyl-2,5-hexanediol (17 mmol, 2.49 g), pyridine (40 mmol, 3.22 ml), CCl 4 ( 40 ml) was added, the mixture was cooled to 0 ° C., bromoacetyl bromide (40 mmol, 8.07 g) was slowly added, and the mixture was stirred for 1 hour. Then, the reaction was carried out by stirring at room temperature for 24 hours. After completion of the reaction, the salt was removed by gravity filtration, the filtrate was diluted with diethyl ether, and washed with 1N aqueous HCl solution and saturated aqueous sodium hydrogen carbonate solution in this order. The solution was dried and then concentrated to give a pale yellow solid. The resulting product was analyzed by 1 H-NMR and IR. These spectra are shown in FIGS. 1 and 2. The yield was 5.14 g and the yield was 82%.
Figure JPOXMLDOC01-appb-C000037
 
Figure JPOXMLDOC01-appb-C000037
 
 試験管にBCA[4](0.4mmol、0.26g)、KCO(2mmol、0.276g)、TBAB(0.1mmol、0.032g)、NMP(12ml)を加え、80℃で2時間撹拌しフェノキシドを生成させた。その後、前述のとおり合成したBAB-DMHDO(0.8mmol、0.310g)を慎重に加え、24時間反応を行った。反応終了後、0.5NのHCl水溶液に再沈し、桐山ロート(登録商標)を用いて濾過を行った。水で十分に洗浄し、60℃で一日以上減圧乾燥して乳茶色固体を得た。得られた生成物をH-NMR、IR、GPCによって解析した。収量は0.344g、収率は60%であった。また熱分析を行った。これらのスペクトルを図3及び4に、分析結果を表1に示す。 BCA [4] in a test tube (0.4mmol, 0.26g), K 2 CO 3 (2mmol, 0.276g), TBAB (0.1mmol, 0.032g), NMP and (12 ml) was added, at 80 ° C. Stirring for 2 hours produced phenoxide. Then, BAB-DMHDO (0.8 mmol, 0.310 g) synthesized as described above was carefully added, and the reaction was carried out for 24 hours. After completion of the reaction, the mixture was re-submerged in a 0.5 N aqueous HCl solution and filtered using Kiriyama Rohto (registered trademark). It was thoroughly washed with water and dried under reduced pressure at 60 ° C. for at least one day to obtain a milky brown solid. The resulting product was analyzed by 1 H-NMR, IR, GPC. The yield was 0.344 g and the yield was 60%. Thermal analysis was also performed. These spectra are shown in FIGS. 3 and 4, and the analysis results are shown in Table 1.
Figure JPOXMLDOC01-appb-C000038
 
Figure JPOXMLDOC01-appb-C000038
 
Figure JPOXMLDOC01-appb-T000039
Figure JPOXMLDOC01-appb-T000039
 2)BCA[4]-co-BAB-DMHDOの評価
 溶媒としてPGMEAを用い、これにBCA[4]-co-BAB-DMHDOと、光酸発生剤(PAG)を溶解してレジスト膜形成用組成物を調製した。固形分濃度は5質量%とし、BCA[4]-co-BAB-DMHDO:PAGの質量比を100:10とした。スピンコータを用いて薄膜(膜厚:約60nm)を形成し、露光、現像、リンス行い感度曲線を作成した。成膜条件・露光量・現像条件を以下に示す。感度評価の結果、E=0.8mJ/cmと非常に高感度特性を有することが明らかになった。
2) Evaluation of BCA [4] -co-BAB-DMHDO PGMEA is used as a solvent, and BCA [4] -co-BAB-DMHDO and a photoacid generator (PAG) are dissolved therein to form a resist film. The thing was prepared. The solid content concentration was 5% by mass, and the mass ratio of BCA [4] -co-BAB-DMHDO: PAG was 100:10. A thin film (film thickness: about 60 nm) was formed using a spin coater, and exposure, development, and rinsing were performed to create a sensitivity curve. The film formation conditions, exposure amount, and development conditions are shown below. As a result of the sensitivity evaluation, it was clarified that it has a very high sensitivity characteristic of E 0 = 0.8 mJ / cm 2.
成膜条件
  Slope 30s、4000RPM
  Prebake 110℃ 1min
現像条件
  PEB 110℃ 1min
  2.38質量%TMAH水溶液に30s間浸漬
  純水に15s間浸漬
Film formation conditions Slope 30s, 4000 RPM
Prebake 110 ℃ 1min
Development conditions PEB 110 ℃ 1min
Immerse in 2.38 mass% TMAH aqueous solution for 30s Immersion in pure water for 15s
 [実施例2]
 2,5-ジメチル-2,5-ヘキサンジオール(17mmol、2.49g)の代わりに2,7-ジメチル-2,7-オクタンジオール(17mmol、2.73g)を使用し、BCA[4](0.4mmol、0.26g)の代わりにBCA[8](0.2mmol、0.26g)を用いる以外は実施例1と同様にして、BCA[8]-co-BAB-DMODO、0.2gを得た。得られたBCA[8]-co-BAB-DMODOについて実施例1と同様にして感度評価した結果、E=1.0mJ/cmと高感度であった。
[Example 2]
Using 2,7-dimethyl-2,7-octanediol (17 mmol, 2.73 g) instead of 2,5-dimethyl-2,5-hexanediol (17 mmol, 2.49 g), BCA [4] ( BCA [8] -co-BAB-DMODO, 0.2 g in the same manner as in Example 1 except that BCA [8] (0.2 mmol, 0.26 g) was used instead of 0.4 mmol (0.26 g). Got As a result of sensitivity evaluation of the obtained BCA [8] -co-BAB-DMODO in the same manner as in Example 1, the sensitivity was as high as E 0 = 1.0 mJ / cm 2.
Figure JPOXMLDOC01-appb-C000040
 
Figure JPOXMLDOC01-appb-C000040
 
Figure JPOXMLDOC01-appb-C000041
 
Figure JPOXMLDOC01-appb-C000041
 
[比較例1]AC-1をレジスト材料として用いた組成物の評価結果
 2-メチル-2-メタクリロイルオキシアダマンタン4.15g、メタクリルロイルオキシ-γ-ブチロラクトン3.00g、3-ヒドロキシ-1-アダマンチルメタクリレート2.08g、及びアゾビスイソブチロニトリル0.38gを、テトラヒドロフラン80mLに溶解させて反応溶液とした。当該反応溶液を、窒素雰囲気下で、反応温度を63℃に保持して22時間重合させた後、反応溶液を400mLのn-ヘキサン中に滴下した。得られた生成樹脂を凝固精製し、生成した白色粉末をろ過した後、減圧下40℃で一晩乾燥させて、下記式で示されるAC-1を得た。AC-1を用いた以外は実施例1と同様にして感度評価を行ったところ、本実施態様の化合物であるBCA[4]-co-BAB-DMHDO、BCA[8]-co-BAB-DMODOよりも劣っていることが明らかとなった。
Figure JPOXMLDOC01-appb-C000042
 
[Comparative Example 1] Evaluation result of a composition using AC-1 as a resist material 2-methyl-2-methacryloyloxyadamantane 4.15 g, methacrylloyloxy-γ-butyrolactone 3.00 g, 3-hydroxy-1-adamantyl 2.08 g of methacrylate and 0.38 g of azobisisobutyronitrile were dissolved in 80 mL of tetrahydrofuran to prepare a reaction solution. The reaction solution was polymerized under a nitrogen atmosphere at a reaction temperature of 63 ° C. for 22 hours, and then the reaction solution was added dropwise to 400 mL of n-hexane. The obtained produced resin was coagulated and purified, and the produced white powder was filtered and then dried under reduced pressure at 40 ° C. overnight to obtain AC-1 represented by the following formula. When the sensitivity was evaluated in the same manner as in Example 1 except that AC-1 was used, BCA [4] -co-BAB-DMHDO and BCA [8] -co-BAB-DMODO, which are the compounds of this embodiment, were evaluated. It turned out to be inferior to.
Figure JPOXMLDOC01-appb-C000042

Claims (27)

  1.  ポリフェノール部位を有する化合物であって、当該ポリフェノールの水酸基が、酸又はアルカリ条件下で解離する解離性結合を含有する基で分子内架橋されている化合物。 A compound having a polyphenol moiety, in which the hydroxyl group of the polyphenol is intramolecularly crosslinked with a group containing a dissociative bond that dissociates under acid or alkaline conditions.
  2.  前記ポリフェノールがカリックスアレーンである、請求項1に記載の化合物。 The compound according to claim 1, wherein the polyphenol is calixarene.
  3.  下記式(P-0C)、又は(P-1C)で表される化合物である、請求項1又2に記載の化合物。
    Figure JPOXMLDOC01-appb-C000001
     
    (式中、
     L~Lは各々独立して、単結合;置換基を有していてもよい炭素数1~20の直鎖状アルキレン基;置換基を有していてもよい炭素数3~20の分岐状アルキレン基;置換基を有していてもよい炭素数3~20のシクロアルキレン基;置換基を有していてもよい炭素数6~24のアリーレン基;-O-;-OC(=O)-;-OC(=O)O-;-O-R-C(=O)O-;-N(R20)-C(=O)-;-N(R20)-C(=O)O-;-S-;-SO-;-SO-およびこれらの任意の組み合わせからなる群から選択される二価の有機基であり、
     Rは炭素数1~10のアルキレン基であり、
     R20は水素原子又は置換基を有していてもよい炭素数1~10のアルキル基であり、
     R16~R19は各々独立して、置換基を有していてもよい炭素数1~20の直鎖状アルキル基;置換基を有していてもよい炭素数3~20のシクロアルキル基;置換基を有していてもよい炭素数6~20のアリール基;置換基を有していてもよい炭素数1~20のアルコキシ基;シアノ基;ニトロ基;水酸基;複素環基;ハロゲン原子;カルボキシル基;炭素数1~20のアルキルシリル基;酸により解離する性質を有する、炭素数2~20の置換メチル基、炭素数3~20の1-置換エチル基、炭素数4~20の1-置換-n-プロピル基、炭素数3~20の1-分岐アルキル基、炭素数1~20のシリル基、炭素数2~20のアシル基、炭素数2~20の1-置換アルコキシアルキル基、炭素数2~20の環状エーテル基、炭素数2~20のアルコキシカルボニル基、アルコキシカルボニルアルキル基;又は水素原子であり、
     R12~R15は各々独立して、水素原子、炭素数2~20のアルキル基、又は下記式(P-0C-1)で表わされる基であり、
    Figure JPOXMLDOC01-appb-C000002
     
     R21は各々独立して、置換基を有していてもよい炭素数1~20のアルキル基;置換基を有していてもよい炭素数3~20のシクロアルキル基;置換基を有していてもよい炭素数6~20のアリール基;置換基を有していてもよい炭素数1~20のアルコキシ基;シアノ基;ニトロ基;複素環基;ハロゲン原子;カルボキシル基;炭素数1~20のアルキルシリル基;酸により解離する性質を有する、炭素数2~20の置換メチル基、炭素数3~20の1-置換エチル基、炭素数4~20の1-置換-n-プロピル基、炭素数3~20の1-分岐アルキル基、炭素数1~20のシリル基、炭素数2~20のアシル基、炭素数2~20の1-置換アルコキシアルキル基、炭素数2~20の環状エーテル基、炭素数2~20のアルコキシカルボニル基、又はアルコキシカルボニルアルキル基であり、
     m7~10は独立して1~4の整数であり、
     pは独立して0~5の整数である。
     ただしL16~L19のうち少なくとも2つの基はベンゼン環とエーテル結合しており、分子内架橋基を形成する。)
    Figure JPOXMLDOC01-appb-C000003
     
    (式中、
     L~L12は各々独立して、前記二価の有機基であり、
     R30~R37は各々独立して、前記R16~R19で定義された基であり、
     R22~R29は各々独立して、前記R12~R15で定義された基であり、
     m11~18は独立して1~4の整数であり、
     ただしL30~L1237のうち少なくとも2つの基はベンゼン環とエーテル結合しており、分子内架橋基を形成する。)
    The compound according to claim 1 or 2, which is a compound represented by the following formula (P-0C) or (P-1C).
    Figure JPOXMLDOC01-appb-C000001

    (During the ceremony,
    L 1 to L 4 are independently single-bonded; a linear alkylene group having 1 to 20 carbon atoms which may have a substituent; and 3 to 20 carbon atoms which may have a substituent. Branched alkylene group; cycloalkylene group having 3 to 20 carbon atoms which may have a substituent; arylene group having 6 to 24 carbon atoms which may have a substituent; -O-; -OC (= O)-; -OC (= O) O-; -OR 2- C (= O) O-; -N (R 20 ) -C (= O)-; -N (R 20 ) -C ( = O) O-; -S-; -SO-; -SO 2-, a divalent organic group selected from the group consisting of any combination thereof.
    R 2 is an alkylene group having 1 to 10 carbon atoms,
    R 20 is an alkyl group having 1 to 10 carbon atoms which may have a hydrogen atom or a substituent.
    Each of R 16 to R 19 is an independent linear alkyl group having 1 to 20 carbon atoms which may have a substituent; and a cycloalkyl group having 3 to 20 carbon atoms which may have a substituent. An aryl group having 6 to 20 carbon atoms which may have a substituent; an alkoxy group having 1 to 20 carbon atoms which may have a substituent; a cyano group; a nitro group; a hydroxyl group; a heterocyclic group; a halogen. Atomic; carboxyl group; alkylsilyl group having 1 to 20 carbon atoms; substituted methyl group having 2 to 20 carbon atoms, 1-substituted ethyl group having 3 to 20 carbon atoms, and 4 to 20 carbon atoms having the property of being dissociated by an acid. 1-substituted-n-propyl group, 1-branched alkyl group with 3 to 20 carbon atoms, silyl group with 1 to 20 carbon atoms, acyl group with 2 to 20 carbon atoms, 1-substituted alkoxy with 2 to 20 carbon atoms An alkyl group, a cyclic ether group having 2 to 20 carbon atoms, an alkoxycarbonyl group having 2 to 20 carbon atoms, an alkoxycarbonylalkyl group; or a hydrogen atom.
    R 12 to R 15 are independent hydrogen atoms, alkyl groups having 2 to 20 carbon atoms, or groups represented by the following formula (P-0C-1).
    Figure JPOXMLDOC01-appb-C000002

    Each of R 21 independently has an alkyl group having 1 to 20 carbon atoms which may have a substituent; a cycloalkyl group having 3 to 20 carbon atoms which may have a substituent; and a substituent. It may have an aryl group having 6 to 20 carbon atoms; an alkoxy group having 1 to 20 carbon atoms which may have a substituent; a cyano group; a nitro group; a heterocyclic group; a halogen atom; a carboxyl group; a carbon number of 1 carbon group. Alkylsilyl group to 20; substituted methyl group having 2 to 20 carbon atoms, 1-substituted ethyl group having 3 to 20 carbon atoms, 1-substituted-n-propyl group having 4 to 20 carbon atoms, which have the property of being dissociated by an acid. Group, 1-branched alkyl group with 3 to 20 carbon atoms, silyl group with 1 to 20 carbon atoms, acyl group with 2 to 20 carbon atoms, 1-substituted alkoxyalkyl group with 2 to 20 carbon atoms, 2 to 20 carbon atoms A cyclic ether group, an alkoxycarbonyl group having 2 to 20 carbon atoms, or an alkoxycarbonylalkyl group.
    m 7 to 10 are independently integers of 1 to 4,
    p 7 is an integer of 0 to 5 independently.
    However, at least two groups of L 1 R 16 to L 4 R 19 are ether-bonded to the benzene ring to form an intramolecular cross-linking group. )
    Figure JPOXMLDOC01-appb-C000003

    (During the ceremony,
    L 5 to L 12 are independently divalent organic groups, respectively.
    R 30 to R 37 are independent groups defined by the above R 16 to R 19.
    R 22 to R 29 are independent groups defined by the above R 12 to R 15, respectively.
    m 11 to 18 are independently integers of 1 to 4,
    However, at least two groups of L 5 R 30 to L 12 R 37 are ether-bonded to the benzene ring to form an intramolecular cross-linking group. )
  4.  下記式(P-0A)、又は(P-1A)で表される、請求項1~3に記載の化合物。
    Figure JPOXMLDOC01-appb-C000004
     
    (式中、Rは炭素数1~10の直鎖状アルキル基又は炭素数3~10の分岐状アルキル基であり、
     D~Dのうち少なくとも2以上の基は、ベンゼン環とエーテル結合する分子内架橋基を形成し、当該架橋に関与しない基はOH基である。)
    Figure JPOXMLDOC01-appb-C000005
     
    (式中、Rは炭素数1~10の直鎖状アルキル基又は炭素数3~10の分岐状アルキル基であり、
     D~D12のうち少なくとも2以上の基は、ベンゼン環とエーテル結合する分子内架橋基を形成し、当該架橋に関与しない基はOH基である。)
    The compound according to claim 1 to 3, which is represented by the following formula (P-0A) or (P-1A).
    Figure JPOXMLDOC01-appb-C000004

    (In the formula, RA is a linear alkyl group having 1 to 10 carbon atoms or a branched alkyl group having 3 to 10 carbon atoms.
    At least two or more groups of D 1 to D 4 form an intramolecular cross-linking group that ether-bonds to the benzene ring, and the group that does not participate in the cross-linking is an OH group. )
    Figure JPOXMLDOC01-appb-C000005

    (Wherein, R B is a linear alkyl group or branched alkyl group having 3 to 10 carbon atoms having 1 to 10 carbon atoms,
    At least two or more groups of D 5 to D 12 form an intramolecular cross-linking group that ether-bonds to the benzene ring, and the group that does not participate in the cross-linking is an OH group. )
  5.  前記解離性結合がエステル結合である、請求項1~4のいずれかに記載の化合物。 The compound according to any one of claims 1 to 4, wherein the dissociative bond is an ester bond.
  6.  前記分子内架橋基が下記式(C-0)で表される、請求項1~5のいずれかに記載の化合物。
    Figure JPOXMLDOC01-appb-C000006
     
    (式(C-0)中、AはR16~R19に由来する2価の基であるか、又はAはR30~R37に由来する2価の基である。)
    The compound according to any one of claims 1 to 5, wherein the intramolecular cross-linking group is represented by the following formula (C-0).
    Figure JPOXMLDOC01-appb-C000006

    (In formula (C-0), A is a divalent group derived from R 16 to R 19 , or A is a divalent group derived from R 30 to R 37).
  7.  前記式(P-0C)中の分子内架橋基が下記式(C-0A)で表される、請求項1~6のいずれかに記載の化合物。
    Figure JPOXMLDOC01-appb-C000007
     
    The compound according to any one of claims 1 to 6, wherein the intramolecular cross-linking group in the formula (P-0C) is represented by the following formula (C-0A).
    Figure JPOXMLDOC01-appb-C000007
  8.  下記式(M-0)で表される、請求項1~7のいずれかに記載の化合物。
    Figure JPOXMLDOC01-appb-C000008
     
    (式(M-0)中、Xは以下の式(X-0)で表される基である。)
    Figure JPOXMLDOC01-appb-C000009
     
    (式(X-0)中、AはR16~R19に由来する2価の基である。)
    The compound according to any one of claims 1 to 7, which is represented by the following formula (M-0).
    Figure JPOXMLDOC01-appb-C000008

    (In the formula (M-0), X 0 is a group represented by the following formula (X-0).)
    Figure JPOXMLDOC01-appb-C000009

    (In formula (X-0), A is a divalent group derived from R 16 to R 19.)
  9.  下記式(M-0A)で表される、請求項8に記載の化合物。
    Figure JPOXMLDOC01-appb-C000010
      
    (式(M-0A)中、Xは以下の式(X-0A)で表される基である。)
    Figure JPOXMLDOC01-appb-C000011
     
    The compound according to claim 8, which is represented by the following formula (M-0A).
    Figure JPOXMLDOC01-appb-C000010

    (In the formula (M-0A), X 0 is a group represented by the following formula (X-0A).)
    Figure JPOXMLDOC01-appb-C000011
  10.  前記式(P-1C)中の分子内架橋基が下記式(C-1A)で表される、請求項1~6のいずれかに記載の化合物。
    Figure JPOXMLDOC01-appb-C000012
     
    The compound according to any one of claims 1 to 6, wherein the intramolecular cross-linking group in the formula (P-1C) is represented by the following formula (C-1A).
    Figure JPOXMLDOC01-appb-C000012
  11.  下記式(M-1)で表される、請求項1~6又は10のいずれかに記載の化合物。
    Figure JPOXMLDOC01-appb-C000013
     
    (式(M-1)中、Xは以下の式(X-1)で表される基である。)
    Figure JPOXMLDOC01-appb-C000014
     
    (式(X-1)中、AはR30~R37に由来する2価の基である。)
    The compound according to any one of claims 1 to 6 or 10, represented by the following formula (M-1).
    Figure JPOXMLDOC01-appb-C000013

    (In the formula (M-1), X 1 is a group represented by the following formula (X-1).)
    Figure JPOXMLDOC01-appb-C000014

    (In formula (X-1), A is a divalent group derived from R 30 to R 37.)
  12.  下記式(M-1A)で表される、請求項11に記載の化合物。
    Figure JPOXMLDOC01-appb-C000015
      
    (式(M-1A)中、Xは以下の式(X-1A)で表される基である。)
    Figure JPOXMLDOC01-appb-C000016
     
    The compound according to claim 11, which is represented by the following formula (M-1A).
    Figure JPOXMLDOC01-appb-C000015

    (In the formula (M-1A), X 1 is a group represented by the following formula (X-1A).)
    Figure JPOXMLDOC01-appb-C000016
  13.  ポリフェノールと、酸又はアルカリ条件下で解離する解離性結合を含有する架橋剤とを反応させて、前記ポリフェノールの2以上の水酸基を、前記化合物で分子内架橋する工程を備える、請求項1~12のいずれかに記載の化合物の製造方法。 Claims 1 to 12 include a step of reacting a polyphenol with a cross-linking agent containing a dissociative bond that dissociates under acid or alkaline conditions to intramolecularly cross-link two or more hydroxyl groups of the polyphenol with the compound. The method for producing a compound according to any one of.
  14.  前記架橋剤が、下記式(C-hal)で表される、請求項13に記載の製造方法。
    Figure JPOXMLDOC01-appb-C000017
     
    (式(C-hal)中、Xは各々独立してハロゲン原子であり、
     AはR16~R19に由来する2価の基であるか、又はAはR30~R37に由来する2価の基である。)
    The production method according to claim 13, wherein the cross-linking agent is represented by the following formula (C-hal).
    Figure JPOXMLDOC01-appb-C000017

    (In the formula (C-hal), each X is an independently halogen atom, and
    A is a divalent group derived from R 16 to R 19 , or A is a divalent group derived from R 30 to R 37. )
  15.  前記架橋剤が、下記式(C-0hal)又は(C-1hal)で表される、請求項14に記載の製造方法。
    Figure JPOXMLDOC01-appb-C000018
       
    (式中、Xは各々独立してハロゲン原子である。)
    The production method according to claim 14, wherein the cross-linking agent is represented by the following formula (C-0hal) or (C-1hal).
    Figure JPOXMLDOC01-appb-C000018

    (In the formula, X is an independent halogen atom.)
  16.  請求項1~12のいずれかに記載の化合物又はその誘導体を含有するレジスト膜形成用組成物。 A composition for forming a resist film containing the compound according to any one of claims 1 to 12 or a derivative thereof.
  17.  溶媒、酸発生剤、酸架橋剤、及びこれらの組合せからなる群より選択される成分を更に含有する、請求項16に記載のレジスト膜形成用組成物。 The composition for forming a resist film according to claim 16, further containing a component selected from the group consisting of a solvent, an acid generator, an acid cross-linking agent, and a combination thereof.
  18.  請求項16又は17に記載の組成物から形成されたレジスト膜。 A resist film formed from the composition according to claim 16 or 17.
  19.  請求項16又は17に記載のレジスト膜形成用組成物を用いて基板上に膜を形成する膜形成工程と、
     前記膜を露光する露光工程と、
     前記露光工程において露光された膜を現像してパターンを形成する現像工程と、
    を含むパターン形成方法。
    A film forming step of forming a film on a substrate by using the resist film forming composition according to claim 16 or 17.
    The exposure process for exposing the film and
    A developing step of developing a film exposed in the exposure step to form a pattern, and a developing step of forming a pattern.
    Pattern forming method including.
  20.  請求項1~12のいずれかに記載の化合物又はその誘導体を含有する硬化性組成物。 A curable composition containing the compound according to any one of claims 1 to 12 or a derivative thereof.
  21.  ケイ素含有化合物を更に含有する、請求項20に記載の硬化性組成物。 The curable composition according to claim 20, further containing a silicon-containing compound.
  22.  前記ケイ素含有化合物が、加水分解性オルガノシラン、その加水分解物又はその加水分解縮合物である、請求項21に記載の硬化性組成物。 The curable composition according to claim 21, wherein the silicon-containing compound is a hydrolyzable organosilane, a hydrolyzate thereof, or a hydrolyzed condensate thereof.
  23.  溶媒、酸発生剤、酸架橋剤、及びこれらの組合せからなる群より選択される成分を更に含有する、請求項20~22のいずれかに記載の硬化性組成物。 The curable composition according to any one of claims 20 to 22, further containing a component selected from the group consisting of a solvent, an acid generator, an acid cross-linking agent, and a combination thereof.
  24.  請求項20~23のいずれかに記載の硬化性組成物から形成された下層膜。 An underlayer film formed from the curable composition according to any one of claims 20 to 23.
  25.  請求項20~23のいずれかに記載の硬化性組成物を用いてレジスト下層膜を形成する工程と、
     前記レジスト下層膜上に、少なくとも1層のフォトレジスト層を形成する工程と、
     前記フォトレジスト層の所定の領域に放射線を照射し、現像を行う工程と、
    を備える、パターン形成方法。
    A step of forming a resist underlayer film using the curable composition according to any one of claims 20 to 23,
    A step of forming at least one photoresist layer on the resist underlayer film and
    A step of irradiating a predetermined area of the photoresist layer with radiation to develop the photoresist layer,
    A pattern forming method.
  26.  請求項20~23のいずれかに記載の硬化性組成物から形成された光学物品。 An optical article formed from the curable composition according to any one of claims 20 to 23.
  27.  請求項1~12のいずれかに記載の化合物又はその誘導体を、水と任意に混和しない有機溶媒を含む溶媒に溶解させて溶液(B)を得る工程と、
     得られた溶液(B)と酸性の水溶液とを接触させて、前記化合物又はその誘導体中の不純物を抽出する第一抽出工程と、
    を含む精製方法。
     
    A step of dissolving the compound according to any one of claims 1 to 12 or a derivative thereof in a solvent containing an organic solvent that is arbitrarily immiscible with water to obtain a solution (B).
    The first extraction step of bringing the obtained solution (B) into contact with an acidic aqueous solution to extract impurities in the compound or its derivative.
    Purification method including.
PCT/JP2021/017657 2020-05-11 2021-05-10 Compound, production method therefor, composition, resist film, and pattern formation method WO2021230185A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022521895A JPWO2021230185A1 (en) 2020-05-11 2021-05-10

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2020083104 2020-05-11
JP2020-083102 2020-05-11
JP2020-083104 2020-05-11
JP2020083102 2020-05-11

Publications (1)

Publication Number Publication Date
WO2021230185A1 true WO2021230185A1 (en) 2021-11-18

Family

ID=78525889

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2021/017657 WO2021230185A1 (en) 2020-05-11 2021-05-10 Compound, production method therefor, composition, resist film, and pattern formation method

Country Status (3)

Country Link
JP (1) JPWO2021230185A1 (en)
TW (1) TW202201127A (en)
WO (1) WO2021230185A1 (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009046395A (en) * 2007-08-13 2009-03-05 Jsr Corp Method for producing resorcinol derivative
WO2012014435A1 (en) * 2010-07-30 2012-02-02 三菱瓦斯化学株式会社 Compound, radiation-sensitive composition, and method for forming resist pattern
JP2017088847A (en) * 2015-08-24 2017-05-25 学校法人 関西大学 Polymer compound, radiation-sensitive composition and pattern forming method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009046395A (en) * 2007-08-13 2009-03-05 Jsr Corp Method for producing resorcinol derivative
WO2012014435A1 (en) * 2010-07-30 2012-02-02 三菱瓦斯化学株式会社 Compound, radiation-sensitive composition, and method for forming resist pattern
JP2017088847A (en) * 2015-08-24 2017-05-25 学校法人 関西大学 Polymer compound, radiation-sensitive composition and pattern forming method

Non-Patent Citations (9)

* Cited by examiner, † Cited by third party
Title
DOI: 10.2116 *
GUTSCHE, C. D. ET AL.: "Calixarenes. 27. Synthesis, Characterization, and Complexation Studies of Double-Cavity Calix[4]arenes", JOURNAL OF ORGANIC CHEMISTRY, vol. 57, no. 1 6, 1992, pages 4527 - 4539, DOI: 10.1021/jo00042a038 *
MAEKAWA, H. ET AL.: "Higher Sensitive Extreme Ultraviolet (EUV) Resist Materials Derived From p-t-Butylcalix[n]arenes (n = 4 and 8", JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY, vol. 33, no. 1, 2020, pages 45 - 51 *
SUGIOKA, T. ET AL.: "Synthesis of Fully Lower-Rim, Carbonate-Bridged Calix[8]arenes and Their Curing Behavior", JOURNAL OF POLYMER SCIENCE, vol. 39, no. 8, 2001, pages 1149 - 1155, DOI: 10.1002/pola.1091 *
VAN LOON, J. ET AL.: "Calix[4]arenes Bridged at the Lower Rim", JOURNAL OF ORGANIC CHEMISTRY, vol. 55, no. 17, 1990, pages 5176 - 5179, DOI: 10.1021/jo00304a037 *
vol. 26, no. 2, 2005, pages 98 - 110 *
YANG, F. ET AL.: "Regioselective Bridging of Calixarenes - Syntheses, Structural Elucidation and Extraction Studies of 1,2-Calix[n]dioxocrowns (n = 6, 8", EUROPEAN JOURNAL OF ORGANIC CHEMISTRY, 2001, pages 365 - 368, DOI: 10.1002/1099-0690(200101)2001:2<365::AID-EJOC365>3.0.CO *
ZHENG, Q. ET AL.: "Synthesis of New Chromogenic Calix[4]crowns and Molecular Recognition of Alkylamines", TETRAHEDRON, vol. 53, no. 30, 1997, pages 10345 - 10356, XP004105922, DOI: 10.1016/S0040-4020(97)00653-4 *
ZHONG, Z. ET AL.: "Synthesis of ester group-containing p-tert- butylcalixcrowns", SYNTHETIC COMMUNICATIONS, vol. 26, no. 2, 1996, pages 307 - 313, DOI: 10.1080/00397919608003619 *

Also Published As

Publication number Publication date
JPWO2021230185A1 (en) 2021-11-18
TW202201127A (en) 2022-01-01

Similar Documents

Publication Publication Date Title
JP6487942B2 (en) Antireflection coating composition and method for producing the same
EP3039484B1 (en) Stable metal compounds as hardmasks and filling materials, their compositions and methods of use
TWI459142B (en) Positive-working photoimageable bottom antireflective coating
TWI405788B (en) Hardmask composition having antireflective properties and method of patterning material using the same
US7989144B2 (en) Antireflective coating composition
TWI669353B (en) Metal hardmask composition and processes for forming fine patterns on semiconductor substrates
TWI304519B (en) Negative-working photoimageable bottom antireflective coating
JP5822358B2 (en) Anti-reflective coating composition containing fused aromatic rings
US6165682A (en) Radiation sensitive copolymers, photoresist compositions thereof and deep UV bilayer systems thereof
JP2011520148A (en) Anti-reflective coating composition
JP2005517972A (en) Positive photoimageable bottom antireflection coating
JP5418906B2 (en) Anti-reflective coating composition
WO2020226150A1 (en) Compound and production method thereof, resin, composition, resist film, pattern forming method, underlayer film for lithography, optical component, and method for purifying compound or resin
WO2021230185A1 (en) Compound, production method therefor, composition, resist film, and pattern formation method
WO2021230184A1 (en) Compound, production method therefor, acid generator, composition, resist film, underlayer film, pattern formation method, and optical component
KR100959190B1 (en) DEVELOPER-SOLUBLE BOTTOM ANTI-REFLECTIVE COATING COMPOSITION FOR DUVDeep UV LITHOGRAPHY AND PROCESS OF PRODUCING PATTERNED MATERIALS BY USING THE SAME
WO2021049472A1 (en) Compound, resin, composition, resist film, pattern forming method, underlayer film, and optical article
WO1999042903A1 (en) RADIATION SENSITIVE TERPOLYMER, PHOTORESIST COMPOSITIONS THEREOF AND 193 nm BILAYER SYSTEMS
WO2006096221A1 (en) Low refractive index polymers as underlayers for silicon-containing photoresists
WO2001022162A2 (en) Radiation sensitive copolymers, photoresist compositions thereof and deep uv bilayer systems thereof

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 21804190

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2022521895

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 21804190

Country of ref document: EP

Kind code of ref document: A1