WO2020140718A1 - 掩模版制作方法和掩模版 - Google Patents

掩模版制作方法和掩模版 Download PDF

Info

Publication number
WO2020140718A1
WO2020140718A1 PCT/CN2019/124788 CN2019124788W WO2020140718A1 WO 2020140718 A1 WO2020140718 A1 WO 2020140718A1 CN 2019124788 W CN2019124788 W CN 2019124788W WO 2020140718 A1 WO2020140718 A1 WO 2020140718A1
Authority
WO
WIPO (PCT)
Prior art keywords
corner
graphics
opc
pattern
symmetry
Prior art date
Application number
PCT/CN2019/124788
Other languages
English (en)
French (fr)
Inventor
陈洁
王谨恒
朱斌
张斌
张剑
Original Assignee
无锡华润上华科技有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 无锡华润上华科技有限公司 filed Critical 无锡华润上华科技有限公司
Publication of WO2020140718A1 publication Critical patent/WO2020140718A1/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes

Definitions

  • This application relates to the field of semiconductor technology, and in particular to a reticle manufacturing method and a reticle.
  • the OPC Optical Proximity Effect Correction
  • the existing OPC method satisfies the requirements of the intermediate CD by sacrificing the corner CD, which will result in the corners being rounded and unable to meet some special needs of users.
  • the current existing OPC method is used to correct 0.11um(ULL In the special cell of ultra-low leakage, due to the large arcing of TO corner, the following requirements cannot be met: 1) FG (floating gate) covers TO, the smaller the difference between the size of the middle CD and the edge CD, the better; 2) The angle formed by the TO corner and FG cannot be too small.
  • a reticle manufacturing method is provided.
  • a mask making method including:
  • OPC operations are performed on the design graphics including the pre-processed corner graphics
  • the corner graphics in the provided design graphics can be pre-processed, and then the design graphics containing the pre-processed corner graphics can be OPC-calculated according to the OPC program, and the graphics produced after the OPC calculation
  • the reticle can not only ensure that the intermediate CD meets the actual demand, but also ensure that the corner CD meets the actual demand, effectively avoiding the problem that the corner CD is too rounded and cannot meet the user's needs by sacrificing the corner CD to meet the intermediate CD.
  • a reticle including:
  • a mask pattern is formed on the body.
  • the mask pattern is formed as an axisymmetric pattern and has a symmetry axis.
  • the mask pattern includes a first pattern, a second pattern, a third pattern, and a fourth pattern that are connected in sequence, and the second pattern is vertical
  • the width in the direction of the axis of symmetry is greater than or equal to the width of the first figure in the direction perpendicular to the axis of symmetry
  • the width of the third figure in the direction perpendicular to the axis of symmetry is less than or equal to the width of the second figure in the direction perpendicular to the axis of symmetry.
  • the width of the four figures in the direction perpendicular to the axis of symmetry is greater than or equal to the width of the second figure in the direction perpendicular to the axis of symmetry.
  • Figure 1 is a design diagram when FG covers TO
  • FIG. 2 is a schematic diagram of the TO mask plate obtained by using the existing OPC method
  • FIG. 3 is a photolithography pattern obtained by performing photolithography through the TO mask shown in FIG. 2;
  • FIG. 4 is a lithography pattern obtained by performing FG lithography on the basis of the lithography pattern shown in FIG. 3;
  • FIG. 5 is a schematic diagram of the existence of Poly residue in the lithography pattern shown in FIG. 4;
  • FIG. 6 is a flow chart of a method for making a reticle in an embodiment
  • FIG. 7 is a flowchart of preprocessing the corner pattern in one embodiment
  • FIG. 8 is a schematic diagram of size decomposition of a corner figure in an embodiment
  • FIG. 9 is a schematic diagram of a reticle in an embodiment
  • FIG. 10 is a flowchart of verifying the graphics after the OPC operation in one embodiment
  • 11 is a flowchart of verifying whether the difference between the key size of the graph after the OPC operation and the target key size is within the second preset range in one embodiment
  • FIG. 12 is a flowchart of a reticle manufacturing method in another embodiment
  • FIG. 13 is a schematic diagram of three simulation corner figures obtained by OPC simulation in an embodiment
  • FIG. 14 is a schematic structural view of a reticle in the first embodiment
  • 15 is a schematic view of the structure of the reticle in the second embodiment.
  • the OPC method can be used to make certain corrections to the design drawings.
  • the existing OPC method It is through sacrificing the corner CD (key size) to meet the requirements of the intermediate CD, which will result in the corners being rounded and unable to meet some special needs of users.
  • the current existing OPC method is used to correct 0.11um ULL (ultra-low leakage) )
  • FG floating gate
  • the following requirements cannot be met: 1) FG (floating gate) covers TO, the smaller the difference between the size of the middle CD and the edge CD, the better; 2) The angle formed by the TO corner and FG cannot be too small.
  • FG covers TO to form small cells (bits), a plurality of repeated small cells form cells (cell), and TO is square in design layout (Layout).
  • the TO in FIG. 1 is corrected using the existing OPC method, the CD of the obtained reticle is shown in FIG. 2, and accordingly, the lithographic pattern obtained on the product wafer is shown in FIG. 3. It can be seen from Fig. 3 that when the TO in Fig.
  • the CD of the corner will be sacrificed, such as the size at the position of 1-4, that is, the corner will be rounded, and the corner round Arcing to ensure the size of the 5-6 position, so that the size of the 5-6 position meets the design size, that is, by sacrificing the corner CD to meet the needs of the intermediate CD.
  • the present application proposes a reticle manufacturing method and device.
  • FIG. 6 is a flowchart of a reticle manufacturing method in an embodiment. As shown in FIG. 6, the reticle manufacturing method includes:
  • Step 602 establish an OPC program.
  • the lithography process conditions can be determined first, and the OPC data corresponding to the lithography process conditions can be collected, and then the OPC model can be created based on the OPC data, and the OPC program can be established according to the OPC model. Realization, will not repeat them here.
  • Step 604 providing design graphics, and pre-processing the corner graphics in the design graphics.
  • the corner graphics in the design graphics may be pre-processed, so that the pre-processed corner graphics meet the preset requirements.
  • the designer can first perform the overall graphic design of TO according to the requirements to obtain the TO design graphic.
  • the design graphic includes intermediate graphics and corner graphics. Among them, in order to effectively avoid using the existing OPC method to design graphics
  • the intermediate CD caused by the OPC correction meets the requirements, and the CD after the corner is rounded is quite different from the target corner CD.
  • the corner graphics can be pre-processed to ensure that the corner CD also meets the requirements.
  • preprocessing the corner graphics in the design graphics includes:
  • Step 702 Perform size decomposition on the corner figure.
  • the size decomposition of the corner graphics includes: using the vertices of the corner graphics as endpoints, and performing size decomposition on the corner graphics. For example, before performing OPC correction on the design graphics, you can refer to Figure 8 to decompose the design of the corner position in different sizes, that is, mark the corner graphics in different sizes, and each label corresponds to a label (such as A, B , C, ...) to distinguish.
  • Step 704 Determine the size data of the decomposed corner figure.
  • the decomposed corner graphics are labeled with dimension data, that is, each dimension is assigned.
  • dimension data that is, each dimension is assigned.
  • Step 706 Perform OPC simulation based on the size data of the corner figure to obtain a corner figure.
  • the OPC simulation can be implemented by using existing technology, and details are not repeated here.
  • Step 708 Determine whether the difference between the key size of the corner simulation figure and the target corner key size is within a first preset range, where the first preset range can be calibrated according to actual conditions.
  • Step 710 if not, adjust the size data of the corner figure, and perform OPC simulation based on the adjusted size data of the corner figure, until the difference between the key size of the corner simulation figure obtained after the simulation and the target corner key size is at Within the first preset range, the adjusted corner pattern is used as the pre-processed corner pattern.
  • the corner simulation graphics and the corner simulation graphics CD can be obtained, and then compared with the target corner CD to calculate the difference between the two, and Determine whether the difference between the two is within the first preset range. If it is, it means that the corner CD based on the lithography pattern finally obtained according to the current corner pattern corresponding to Table 1 can meet the user's needs. At this time, the corner pattern corresponding to Table 1 is used as the final corner pattern; if not, it is based on the current table 1 The corner CD of the lithographic pattern finally obtained by the corresponding corner pattern cannot meet the user's needs.
  • the size data of the corner pattern can be adjusted, for example, when the arc effect When it is not good, the difference at the corresponding position will be larger. At this time, the size data of the corner figure corresponding to the position with the larger difference can be adjusted. The adjustment amount can be determined according to the difference. Assuming the adjusted corner figure The size data is shown in Table 2:
  • Step 606 Perform OPC operations on the design graphics including the pre-processed corner graphics according to the OPC program.
  • the corner graphics in the design graphics are the pre-processed corner graphics. Since the pre-processed corner graphics can meet the preset requirements, Therefore, after the overall OPC operation, the obtained graphic data can meet the requirements of the intermediate CD and the corner CD, thereby effectively avoiding the intermediate CD caused by the OPC correction of the entire design graphics using the existing OPC method.
  • the difference between the CD after the corner is rounded and the target corner CD is large, resulting in the problem that the corner of the lithography pattern cannot meet the demand.
  • a reticle is produced according to the OPC calculated graphics.
  • FIG. 9 shows a schematic diagram of the corner portion of the reticle obtained according to the graphic data after OPC calculation.
  • the method before the reticle is created according to the OPC-calculated graphics, the method further includes:
  • Step 1002 verify whether the difference between the key size of the graph after the OPC operation and the target key size is within a second preset range, where the second preset range can be calibrated according to actual conditions.
  • verifying whether the difference between the key size of the graph after the OPC operation and the target key size is within the second preset range includes:
  • Step 1102 Perform OPC simulation on the graphics after the OPC operation to obtain the key size of the graphics after the OPC operation.
  • Step 1104 Compare the key size of the graph after the OPC operation with the target key size to obtain the difference between the two.
  • Step 1106 Determine whether the difference is within the second preset range.
  • the OPC simulation of the graphics after the OPC operation may be performed first to obtain the CD of the graphics after the OPC operation, Then calculate the difference between the CD of the graph after the OPC operation and the target CD, and finally determine whether the difference is within the second preset range.
  • Step 1004 if it is, create a reticle according to the figure after the OPC calculation.
  • Step 1006 if not, then perform OPC calculation on the design graphics containing the pre-processed corner graphics again according to the OPC program.
  • the graphics after the OPC operation are also verified, such as comparing the difference between the CD of the graphics after the OPC operation and the target CD Yes, if the target CD is not satisfied, repeat the OPC operation on the design graphics containing the pre-processed corner graphics according to the OPC program until the final CD of the OPC-calculated graphics meets the target CD, the loop stops, according to The final pattern after OPC calculation is to make a mask.
  • the corner graphics in the provided design graphics are pre-processed to make the pre-processed corner graphics meet the preset requirements, and then the design graphics including the pre-processed corner graphics are processed according to the OPC program OPC operation, and make masks according to the graphics after OPC operation, so that it can not only meet the needs of the intermediate CD, but also meet the needs of the corner CD, which effectively avoids the excessive rounding of the corner caused by the middle CD by sacrificing the corner CD to meet the middle CD.
  • the problem of user needs eliminates the risk of defects that may exist in the data processing flow of the unit area.
  • the entire process can be fully programmed, and the program is highly portable. Compared with the traditional manual OPC method, the effect is higher.
  • preprocessing the corner graphics in the design graphics includes:
  • Step 1202 Perform size decomposition on the corner figure.
  • the size decomposition of the corner graphics includes: using the vertices of the corner graphics as endpoints, and performing size decomposition on the corner graphics.
  • Figure 8 you can refer to Figure 8 to decompose the design of the corner position in different sizes, that is, mark the corner graphics with different sizes, and each label corresponds to a label (such as A, B, C, ...) to distinguish .
  • Step 1204 Determine at least one set of size data of the decomposed corner figure to obtain at least one corner figure.
  • the designer can first give multiple sets of dimensional data for corner figures. For example, three sets of dimensional data for corner figures can be given, as shown in Table 3, and then make a mask based on the corresponding corner figures for the three sets of dimensional data. To obtain multiple graphics on the same reticle for R & D personnel to analyze.
  • performing OPC operations on the design graphics including the pre-processed corner graphics includes: Step 1206, performing OPC operations on the design graphics including different corner graphics, respectively, to obtain at least one first graphic.
  • the corner figures corresponding to the first set of size data in Table 3 can be OPC-calculated to obtain the first set of graphic data, and the second set of sizes in Table 3 can be obtained according to the created OPC program Perform the OPC operation on the corner graphics corresponding to the data to obtain the second group of graphics data, and perform the OPC operation on the corner graphics corresponding to the third group of size data in Table 3 according to the created OPC program to obtain the third group of graphics data, As shown in Figure 13.
  • manufacturing a reticle according to the graphics after the OPC operation includes: Step 1208, according to the position data information in the preset identification layer, making a reticle according to at least one first graphic, where the preset identification layer includes position data information .
  • three patterns are produced on the same mask according to the three sets of pattern data, and then lithography is performed through the mask, so that the same corner pattern is targeted on the same wafer
  • Three lithographic patterns can be obtained, so that the R&D personnel can collect multiple sets of different data on the same wafer for analysis at a time to determine which CD is more in line with the needs of their own factories, for example, which corners are more rounded Meet the needs of your own factory, which can not only reduce the cost of the mask, but also effectively reduce the subsequent analysis problems caused by the differences from different wafers.
  • a marking layer can be set for each set of size data of the corner graphics.
  • the marking layer includes position data information, which is used to determine the position of the graphics obtained by the OPC operation on the mask, to prevent the same position based on The problem of making multiple patterns for different graphics makes it easier to achieve the goal of obtaining multiple graphics on the same mask at the same time.
  • the size of the corner graphics is decomposed, and multiple sets of size data are given to the same corner graphics according to user needs, so that multiple different graphics data can be obtained at the same time through the same OPC program, and then based on multiple different graphics data Obtain multiple different patterns on the same reticle, and then perform lithography according to the reticle with multiple different patterns, so that multiple lithography patterns can be obtained on the same wafer, which is beneficial to R&D personnel on the same wafer at a time Collect different data corresponding to the same corner pattern for analysis, which can not only reduce the cost of multiple reticles, but also effectively reduce the subsequent analysis problems caused by the differences from different wafers.
  • a reticle is provided. As shown in FIG. 14, the reticle includes: a body 1; a mask pattern 11 is formed on the body 1, the mask pattern 11 is formed as an axisymmetric pattern and has a symmetry axis, The mask pattern 11 includes a first figure 111, a second figure 112, a third figure 113, and a fourth figure 114 that are connected in sequence, and the width d2 of the second figure 112 in the direction perpendicular to the axis of symmetry is greater than or equal to the first figure 111 in The width d1 in the direction perpendicular to the axis of symmetry, the width d3 of the third figure d3 in the direction perpendicular to the axis of symmetry is less than or equal to the width d2 of the second figure 112 in the direction perpendicular to the axis of symmetry, and the fourth figure d4 is perpendicular to the axis of symmetry The width d4 in the direction is greater than or equal to the
  • the mask pattern 11 may include four parts, namely a first pattern 111, a second pattern 112, a third pattern 113, and a fourth pattern 114, wherein the first pattern 111 is related to a part of the lithography pattern to be formed
  • the third pattern 113 and the fourth pattern 114 correspond to the corner portion of the lithography pattern to be formed
  • the second pattern 112 corresponds to a part of the lithography pattern to be formed and the connection portion of the corner portion.
  • the first pattern 111 corresponds to the middle part and the other end of the rectangular to be formed
  • the third pattern 113 corresponds to one end of the rectangle to be formed
  • the second pattern 112 corresponds to the middle portion of the rectangle to be formed and the connection portion at one end, so that the rectangle formed by the mask pattern 11 not only It can make the middle part meet the actual demand, and can ensure that one end meets the arcing requirement, and at the same time ensure that the connecting part of the rectangular middle part and one end meets the actual demand.
  • the first figure 111 and the third figure 113 are formed as rectangles extending along the axis of symmetry.
  • the width d3 of the third graph 113 in the direction perpendicular to the axis of symmetry is greater than the width in the direction along the axis of symmetry
  • the width d1 of the first graph 111 in the direction perpendicular to the axis of symmetry is less than The width in the direction of the axis of symmetry, so the third figure 113 can be regarded as a horizontal rectangle in the figure, and the first figure 111 is a longitudinal rectangle in the figure.
  • the second figure 112 is formed as a substantially trapezoid extending along the axis of symmetry, and in the direction from the first figure 111 toward the fourth figure 114, the second figure 112 is perpendicular to the symmetry
  • the width in the axial direction increases stepwise.
  • the second figure 112 may be composed of a plurality of rectangles with different widths in the direction perpendicular to the axis of symmetry, and the width gradually increases in the direction from the first figure 111 toward the fourth figure 114, which may make
  • the connecting portion of the middle portion and the corner portion of the formed lithographic pattern will not be recessed inward, so that the connecting portion meets actual needs.
  • the fourth figure 114 is formed in a “concave” shape, and the height of the protrusion of the “concave” shape in the direction of the axis of symmetry is smaller than that of the third figure 113 in the direction of the axis of symmetry the height of.
  • the fourth pattern 114 can be formed by rectangles with protruding portions at both ends, so that the corner portion of the lithographic pattern formed by the mask pattern 11 can be effectively reduced in circular arc, so that the corner portion meets actual needs .
  • the mask pattern 11 includes a plurality of mask patterns 11, wherein the size of each mask pattern 11 may be different, for example, the size of each mask pattern 11 at the reference K is different, or the size at the reference J Partially different, etc., so that multiple lithographic patterns can be obtained on the same wafer through multiple mask patterns 11, which is beneficial to R&D personnel to collect different data corresponding to the same design pattern on the same wafer at one time for analysis, thereby Not only can reduce the cost of multiple reticles, but also can effectively reduce the subsequent analysis problems caused by differences from different wafers.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

一种掩模版制作方法,包括:建立OPC程序;提供设计图形,对设计图形中的转角图形进行预处理;根据OPC程序,对包含预处理后的转角图形的设计图形进行OPC运算;按照OPC运算后的图形制作掩模版,从而不仅可以保证中间CD满足实际需求,而且可以保证转角CD满足实际需求,有效避免了通过牺牲转角CD来满足中间CD导致的转角过于圆弧化而无法满足用户需求的问题。

Description

掩模版制作方法和掩模版 技术领域
本申请涉及半导体技术领域,特别是涉及一种掩模版制作方法和掩模版。
背景技术
这里的陈述仅提供与本申请有关的背景信息,而不必然地构成示例性技术。
随着超大规模集成电路的飞速发展,集成电路制造工艺变得越来越复杂和精细。在0.13um及以下技术节点的关键层次中,如TO(有源区层次)、GT(栅氧层次)以及An(金属连线层次)等关键层次的CD(关键尺寸)越来越小,某些关键层次的CD已经接近甚至小于光刻工艺中所使用的光波的波长248nm,因此在光刻中的曝光过程中,由于光的干涉和衍射现象,实际产品晶片上得到的光刻图形与掩膜版图形之间存在一定的变形和偏差,光刻中的这种误差直接影响电路性能和生产成品率。
相关技术中为了消除上述误差,通常使用OPC(光学邻近效应矫正)方法对设计图进行一定的修正。但是,已有的OPC方式是通过牺牲转角CD来满足中间CD的要求,这样就会导致转角圆弧化后无法满足用户的一些特殊需求,例如,用当前已有OPC方式来修正0.11um ULL(超低漏电)的特殊单元(cell)时,由于TO转角圆弧化较大,导致无法满足下述需求:1)FG(浮栅)覆盖TO,中间CD与边缘CD尺寸相差越小越好;2)TO转角与FG形成的夹角不能过小。
发明内容
根据本申请的各种实施例,提供一种掩模版制作方法。
一种掩模版制作方法,包括:
建立OPC程序;
提供设计图形,对设计图形中的转角图形进行预处理;
根据OPC程序,对包含预处理后的转角图形的设计图形进行OPC运算;
按照OPC运算后的图形制作掩模版。
上述掩模版制作方法,可先对提供的设计图形中的转角图形进行预处理,然后再根据OPC程序,对包含预处理后的转角图形的设计图形进行OPC运算,并按照OPC运算后的图形制作掩模版,从而不仅可以保证中间CD满足实际需求,而且可以保证转角CD满足实际需求,有效避免了通过牺牲转角CD来满足中间CD导致的转角过于圆弧化而无法满足用户需求的问题。
一种掩模版,包括:
本体;
本体上形成有掩模图形,掩模图形形成为轴对称图形且具有对称轴线,掩模图形包括依次连通的第一图形、第二图形、第三图形和第四图形,且第二图形在垂直于对称轴线方向上的宽度大于等于第一图形在垂直于对称轴线方向上的宽度,第三图形在垂直于对称轴线方向上的宽度小于等于第二图形在垂直于对称轴线方向上的宽度,第四图形在垂直于对称轴线方向上的宽度大于等于第二图形在垂直于对称轴线方向上的宽度。
本申请的一个或多个实施例的细节在下面的附图和描述中提出。本申请的其他特征、目的和优点将从说明书、附图以及权利要求书变得明显。
附图说明
为了更清楚地说明本申请实施例或示例性技术中的技术方案,下面将对实施例或示例性技术描述中所需要使用的附图作简单地介绍,显而易见地,下面描述中的附图仅仅是本申请的一些实施例,对于本领域普通技术人员来 讲,在不付出创造性劳动的前提下,还可以根据这些附图获得其他实施例的附图。
图1为FG覆盖TO时的设计图;
图2为采用已有OPC方式获得的TO掩膜版的示意图;
图3为通过图2所示的TO掩膜版进行光刻获得的光刻图形;
图4为在图3所示的光刻图形的基础上进行FG光刻所获得光刻图形;
图5为图4所示的光刻图形中存在Poly残留的示意图;
图6为一个实施例中掩模版制作方法的流程图;
图7为一个实施例中对转角图形进行预处理的流程图;
图8为一个实施例中对转角图形进行尺寸分解的示意图;
图9为一个实施例中掩模版的示意图;
图10为一个实施例中对OPC运算后的图形进行验证的流程图;
图11为一个实施例中验证OPC运算后的图形的关键尺寸与目标关键尺寸的差值是否处于第二预设范围内的流程图;
图12为另一个实施例中掩模版制作方法的流程图;
图13为一个实施例中经OPC仿真获得的三个仿真转角图形的示意图;
图14为第一个实施例中掩模版的结构示意图;
图15为第二个实施例中掩模版的结构示意图。
具体实施方式
为了使本申请的目的、技术方案及优点更加清楚明白,以下结合附图及实施例,对本申请进行进一步详细说明。应当理解,此处所描述的具体实施例仅仅用以解释本申请,并不用于限定本申请。
在本申请的描述中,需要理解的是,术语“中心”、“横向”、“上”、“下”“左”、“右”、“竖直”、“水平”、“顶”、“底”、“内”以及“外”等指示的方位或位置关系为基于附图所示的方位或位置关系,仅是为了便于描述本申请和简化描述,而不是指示或暗示所指的装置或元件必须具有特定的方位、以 特定的方位构造和操作,因此不能理解为对本申请的限制。此外,需要说明的是,当元件被称为“形成在另一元件上”时,它可以直接连接到另一元件上或者可能同时存在居中元件。当一个元件被认为是“连接”另一个元件,它可以直接连接到另一元件或者同时存在居中元件。相反,当元件被称作“直接在”另一元件“上”时,不存在中间元件。
正如背景技术部分所述,为了消除实际产品晶片上得到的光刻图形与掩膜版图形之间存在的变形和偏差,可使用OPC方法对设计图进行一定的修正,但是,已有的OPC方式是通过牺牲转角CD(关键尺寸)来满足中间CD的要求,这样就会导致转角圆弧化后无法满足用户的一些特殊需求,例如,用当前已有OPC方式来修正0.11um ULL(超低漏电)的特殊单元时,由于TO(有源区层次)转角圆弧化较大,导致无法满足下述需求:1)FG(浮栅)覆盖TO,中间CD与边缘CD尺寸相差越小越好;2)TO转角与FG形成的夹角不能过小。
具体来说,参考图1所示,FG覆盖在TO上构成一个个小单元(bit),多个重复的小单元构成单元(cell),在设计图形(Layout)上TO是方形的。当采用已有OPC方式对图1中的TO进行修正时,获得的掩模版的CD如图2所示,相应的,产品晶片上得到的光刻图形如图3所示。从图3可以看出,当采用已有OPC方式对图1中的TO进行修正时,会牺牲转角的CD,如牺牲①-④位置处的尺寸,也就是转角会圆弧化,通过转角圆弧化来保证⑤-⑥位置处的尺寸,以使⑤-⑥位置处的尺寸满足设计尺寸,即通过牺牲转角CD来满足中间CD的需求。
由于TO上的①-④位置处出现圆弧,所以当FG覆盖TO时,如图4所示,将导致FG的中间CD(图中CD1)明显大于边缘CD(图中CD2),同时,如图5所示,TO的转角圆弧化后与FG形成的夹角过小,将产生Poly残留。基于此,本申请提出了一种掩模版制作方法和装置。
图6为一个实施例中掩模版制作方法的流程图,如图6所示,掩模版制作方法包括:
步骤602,建立OPC程序。
具体地,在建立OPC程序时,可先确定光刻工艺条件,并收集光刻工艺条件对应的OPC数据,然后根据OPC数据创建OPC模型,并根据OPC模型建立OPC程序,具体可采用现有技术实现,这里就不再赘述。
步骤604,提供设计图形,对设计图形中的转角图形进行预处理。
具体地,可对设计图形中的转角图形进行预处理,以使预处理后的转角图形满足预设需求。以TO为例,设计者可先根据需求进行TO的整体图形设计,以获得TO的设计图形,该设计图形包括中间图形和转角图形,其中,为了有效避免采用已有的OPC方式对设计图形进行OPC修正时导致的中间CD符合要求,而转角被圆弧化后的CD与目标转角CD相差较大,可先对转角图形进行预处理,以保证转角CD也符合要求。
在一个实施例中,如图7所示,对设计图形中的转角图形进行预处理包括:
步骤702,对转角图形进行尺寸分解。
在一个实施例中,对转角图形进行尺寸分解,包括:以转角图形的顶点作为端点,对转角图形进行尺寸分解。例如,在对设计图形进行OPC修正之前,可参考图8对转角位置的设计进行不同尺寸的分解,即对转角图形进行不同尺寸的标注,并且每个标注处对应有一个标号(如A、B、C、…),以进行区分。
步骤704,确定分解后的转角图形的尺寸数据。
具体地,设计者在提供设计图形时,通常会给出相应的图形数据,基于该图形数据对分解后的转角图形进行尺寸数据的标注,即对各尺寸进行赋值,例如,表1示例性地给出了一种转角图形的尺寸数据:
表1
Figure PCTCN2019124788-appb-000001
步骤706,根据转角图形的尺寸数据进行OPC仿真,获得转角仿真图形。其中,OPC仿真可采用现有技术实现,具体这里不再赘述。
步骤708,判断转角仿真图形的关键尺寸与目标转角关键尺寸的差值是否处于第一预设范围内,其中,第一预设范围可根据实际情况进行标定。
步骤710,如果否,则对转角图形的尺寸数据进行调整,并根据调整后的转角图形的尺寸数据进行OPC仿真,直至仿真后获得的转角仿真图形的关键尺寸与目标转角关键尺寸的差值处于第一预设范围内时,调整后的转角图形作为预处理后的转角图形。
具体地,在通过OPC对上述表1对应的转角图形进行仿真后,可获得转角仿真图形以及转角仿真图形的CD,然后将其与目标转角CD进行比较,以计算出两者的差值,并判断两者的差值是否处于第一预设范围内。如果是,则说明基于当前表1对应的转角图形最终获得的光刻图形的转角CD能够满足用户需求,此时将表1对应的转角图形作为最终的转角图形;如果否,则说明基于当前表1对应的转角图形最终获得的光刻图形的转角CD无法满足用户需求,例如,转角圆弧化过大或过小,此时可对转角图形的尺寸数据进行调整,例如,当圆弧化效果不好时,相应位置处的差值会比较大,此时可对差值较大的位置对应的转角图形的尺寸数据进行调整,调整量可根据差值大小确定,假设调整后的转角图形的尺寸数据如表2所示:
表2
Figure PCTCN2019124788-appb-000002
然后,对表2对应的转角图形进行OPC仿真,并将转角仿真图形的CD与目标转角CD进行比较,以判断两者的差值是否处于第一预设范围内,如果是,则将表2对应的转角图形作为最终的转角图形;如果否,继续对转角图形的尺寸数据进行调整,直至仿真后获得的转角仿真图形的CD与目标转角CD的差值处于第一预设范围内,以获得满足预设需求的转角图形。由此,可以根 据用户需求对转角部分需要做到的CD进行人为调整,使得转角部分能够满足实际需求。
步骤606,根据OPC程序,对包含预处理后的转角图形的设计图形进行OPC运算。
具体地,在对转角图形预处理完后,开始对整个设计图形进行OPC运算,此时设计图形中的转角图形是预处理后的转角图形,由于预处理后的转角图形能够满足预设需求,所以经过整体OPC运算后,所获得的图形数据既能满足中间CD需求,也能满足转角CD需求,从而有效避免了采用已有的OPC方式对整个设计图形进行OPC修正时导致的中间CD符合要求,而转角被圆弧化后的CD与目标转角CD相差较大,导致光刻图形的转角无法满足需求的问题。
步骤608,按照OPC运算后的图形制作掩模版。例如,图9给出了按照OPC运算后的图形数据获得的掩模版中转角部分的示意图。
本实施例中,通过对设计图形中的转角图形进行预处理,使得预处理后的转角图形符合用户需求,然后再基于包含预处理后的转角图形的设计图形进行OPC修正并制作掩模版,从而不仅可以保证中间CD满足实际需求,而且可以保证转角CD满足实际需求,使得整个掩模版符合用户需求,有效避免了通过牺牲转角CD来满足中间CD导致的转角过于圆弧化而无法满足用户需求的问题。
在一个实施例中,如图10所示,在按照OPC运算后的图形制作掩模版之前,方法还包括:
步骤1002,验证OPC运算后的图形的关键尺寸与目标关键尺寸的差值是否处于第二预设范围内,其中,第二预设范围可根据实际情况进行标定。
在一个实施例中,如图11所示,验证OPC运算后的图形的关键尺寸与目标关键尺寸的差值是否处于第二预设范围内,包括:
步骤1102,对OPC运算后的图形进行OPC仿真,获得OPC运算后的图形的关键尺寸。
步骤1104,将OPC运算后的图形的关键尺寸与目标关键尺寸进行比较, 以获得两者间的差值。
步骤1106,判断差值是否处于第二预设范围内。
具体地,在验证OPC运算后的图形的CD与目标CD的差值是否处于第二预设范围内时,可先对OPC运算后的图形进行OPC仿真,以获得OPC运算后的图形的CD,然后计算OPC运算后的图形的CD与目标CD之间的差值,最后判断该差值是否处于第二预设范围内。
步骤1004,如果是,则按照OPC运算后的图形制作掩模版。
步骤1006,如果否,则重新根据OPC程序,对包含预处理后的转角图形的设计图形进行OPC运算。
具体地,在根据OPC程序对包含预处理后的转角图形的设计图形进行OPC运算后,还对OPC运算后的图形进行验证,如将OPC运算后的图形的CD与目标CD的差值进行比对,在不满足目标CD的情况下,重复根据OPC程序对包含预处理后的转角图形的设计图形进行OPC运算,直至最终得出的OPC运算后的图形的CD满足目标CD,循环停止,按照最终的OPC运算后的图形进行掩膜版的制作。
本实施例中,先对提供的设计图形中的转角图形进行预处理,以使预处理后的转角图形满足预设需求,然后再根据OPC程序,对包含预处理后的转角图形的设计图形进行OPC运算,并按照OPC运算后的图形制作掩模版,从而不仅可以满足中间CD需求,还可以满足转角CD需求,有效避免了通过牺牲转角CD来满足中间CD导致的转角过于圆弧化而无法满足用户需求的问题,消除了单元区在数据处理流程中可能存在的缺陷风险。并且,整个过程可实现完全的程序化处理,程序可移植性强,相较于传统的手动OPC方式,效果更高。
在一个实施例中,如图12所示,对设计图形中的转角图形进行预处理,包括:
步骤1202,对转角图形进行尺寸分解。
在一个实施例中,对转角图形进行尺寸分解,包括:以转角图形的顶点 作为端点,对转角图形进行尺寸分解。例如,可参考图8对转角位置的设计进行不同尺寸的分解,即对转角图形进行不同尺寸的标注,并且每个标注处对应有一个标号(如A、B、C、…),以进行区分。
步骤1204,确定分解后的转角图形的至少一组尺寸数据,以获得至少一个转角图形。
具体而言,在研发初期,有时候研发人员并不清楚哪种CD更符合自己工厂的需求,例如,哪种转角圆弧化更加符合自己工厂的需求,所以为了能够给研发人员提供有价值的参数数据,设计者可先给出转角图形的多组尺寸数据,例如,可以给出转角图形的三组尺寸数据,如表3所示,然后基于三组尺寸数据对应的转角图形制作掩模版,以在同一掩模版上获得多个图形供研发人员分析。
表3
Figure PCTCN2019124788-appb-000003
进一步地,对包含预处理后的转角图形的设计图形进行OPC运算包括:步骤1206,分别对包含不同转角图形的设计图形进行OPC运算,以获得至少一个第一图形。
具体而言,可根据创建的OPC程序对表3中第一组尺寸数据对应的转角图形进行OPC运算,以获得第一组图形数据,并根据创建的OPC程序对表3中第二组尺寸数据对应的转角图形进行OPC运算,以获得第二组图形数据,以及根据创建的OPC程序对表3中第三组尺寸数据对应的转角图形进行OPC运算,以获得第三组图形数据,如图13所示。
再进一步地,按照OPC运算后的图形制作掩模版,包括:步骤1208,根据预设标识层中的位置数据信息,按照至少一个第一图形制作掩模版,其中,预设标识层包括位置数据信息。
具体地,在获得三组图形数据后,分别根据三组图形数据在同一掩膜版上制作出三个图形,然后通过该掩膜版进行光刻,这样在同一晶片上针对同一转角图形可以获得三个光刻图形,从而使得研发人员在同一晶片上可以一次性收集多组不同的数据进行分析,以确定出哪种CD更加符合自己工厂的需求,例如,哪种转角圆弧化更加符合自己工厂的需求,这样不仅能够减少掩膜版的成本,而且可以有效减少因来自不同晶片的差异造成的后续分析问题。
在实际应用中,可针对转角图形的每组尺寸数据设置一个标识层,该标识层包括位置数据信息,用于确定经OPC运算获得的图形在掩膜版上的位置,防止在同一位置处基于不同的图形进行多次制版的问题,从而有利于实现在同一掩膜版上同时获得多个图形的目的。
本实施例中,通过对转角图形进行尺寸分解,并根据用户需求对同一转角图形赋予多组尺寸数据,这样通过同一OPC程序可同时获得多个不同的图形数据,进而根据多个不同的图形数据在同一掩模版上获得多个不同的图形,进而根据具有多个不同图形的掩膜版进行光刻,从而可以在同一晶片上获得多个光刻图形,这样有利于研发人员在同一晶片上一次性收集同一转角图形对应的不同数据,以进行分析,从而不仅能够减少多块掩模版的成本,而且可以有效减少因来自不同晶片的差异造成的后续分析问题。
在一个实施例中,提供了一种掩模版,如图14所示,掩模版包括:本体1;本体1上形成有掩模图形11,掩模图形11形成为轴对称图形且具有对称轴线,掩模图形11包括依次连通的第一图形111、第二图形112、第三图形113和第四图形114,且第二图形112在垂直于对称轴线方向上的宽度d2大于等于第一图形111在垂直于对称轴线方向上的宽度d1,第三图形d3在垂直于对称轴线方向上的宽度d3小于等于第二图形112在垂直于对称轴线方向上的宽度d2,第四图形d4在垂直于对称轴线方向上的宽度d4大于等于第二 图形112在垂直于对称轴线方向上的宽度d2。
也就是说,掩模图形11可包括四部分,分别为第一图形111、第二图形112、第三图形113和第四图形114,其中第一图形111与要形成的光刻图形的一部分相对应,第三图形113和第四图形114与要形成的光刻图形的转角部分相对应,第二图形112与要形成的光刻图形的一部分和转角部分的连接部分相对应。例如,当要形成的光刻图形为长方形且仅需保证长方形的其中一端部满足圆弧化需求时,第一图形111与要形成的长方形的中间部分以及另一端部相对应,第三图形113和第四图形114与要形成的长方形的其中一端部相对应,第二图形112与要形成的长方形的中间部分和其中一端部的连接部分相对应,这样通过该掩模图形11形成的长方形不仅可以使得中间部分满足实际需求,而且可以保证其中一端部满足圆弧化需求,同时保证长方形的中间部分和其中一端部的连接部分满足实际需求。可以理解的是,当需要保证长方形的两个端部均满足圆弧化需求时,可在第一图形111的远离第二图形112的一端依次设置与第二图形112、第三图形113和第四图形114相同的图形,这样不仅可以保证形成的长方形的中间部分满足实际需求,而且可以保证长方形的两个端部均满足圆弧化需求。
在一个实施例中,如图14或图15所示,第一图形111和第三图形113形成为沿对称轴线延伸的长方形。需要说明的是,一般情况下,第三图形113在垂直于对称轴线方向上的宽度d3大于在沿对称轴线方向上的宽度,而第一图形111在垂直于对称轴线方向上的宽度d1小于在沿对称轴线方向上的宽度,所以第三图形113可认为是图中横向的长方形,第一图形111是图中纵向的长方形。
在一个实施例中,如图15所示,第二图形112形成为沿对称轴线延伸的大体梯形,且在从第一图形111朝向第四图形114的方向上,第二图形112在垂直于对称轴线方向上的宽度呈阶梯状增加。也就是说,第二图形112可由多个在垂直于对称轴线方向上的宽度不同的长方形构成,并且宽度在从第一图形111朝向第四图形114的方向上呈逐渐增加的趋势,这样可以使得形 成的光刻图形的中间部分和转角部分的连接部分不会出现向内凹陷的情况,使得连接部分满足实际需求。
在一个实施例中,如图15所示,第四图形114形成为“凹”字形,且“凹”字形的突出部分在沿对称轴线方向上的高度小于第三图形113在沿对称轴线方向上的高度。也就是说,第四图形114可由两端带突出部分的长方形构成,这样通过该掩模图形11形成的光刻图形的转角部分,其圆弧化可以得到有效降低,从而使得转角部分满足实际需求。
在一个实施例中,掩模图形11包括多个,其中每个掩模图形11的尺寸可以不同,例如,每个掩模图形11在标号K处的尺寸均不同,或者在标号J处的尺寸部分不同等等,这样通过多个掩模图形11可以在同一晶片上获得多个光刻图形,从而有利于研发人员在同一晶片上一次性收集同一设计图形对应的不同数据,以进行分析,从而不仅能够减少多块掩模版的成本,而且可以有效减少因来自不同晶片的差异造成的后续分析问题。
以上所述实施例的各技术特征可以进行任意的组合,为使描述简洁,未对上述实施例中的各个技术特征所有可能的组合都进行描述,然而,只要这些技术特征的组合不存在矛盾,都应当认为是本说明书记载的范围。
以上所述实施例仅表达了本申请的几种实施方式,其描述较为具体和详细,但并不能因此而理解为对申请专利范围的限制。应当指出的是,对于本领域的普通技术人员来说,在不脱离本申请构思的前提下,还可以做出若干变形和改进,这些都属于本申请的保护范围。因此,本申请专利的保护范围应以所附权利要求为准。

Claims (10)

  1. 一种掩模版制作方法,其特征在于,包括:
    建立OPC程序;
    提供设计图形,对所述设计图形中的转角图形进行预处理;
    根据所述OPC程序,对包含预处理后的转角图形的所述设计图形进行OPC运算;
    按照所述OPC运算后的图形制作掩模版。
  2. 根据权利要求1所述的方法,其特征在于,所述对所述设计图形中的转角图形进行预处理,包括:
    对所述转角图形进行尺寸分解;
    确定分解后的转角图形的尺寸数据;
    根据所述转角图形的尺寸数据进行OPC仿真,获得转角仿真图形;
    判断所述转角仿真图形的关键尺寸与目标转角关键尺寸的差值是否处于第一预设范围内;
    如果否,则对所述转角图形的尺寸数据进行调整,并根据调整后的转角图形的尺寸数据进行OPC仿真,直至仿真后获得的转角仿真图形的关键尺寸与所述目标转角关键尺寸的差值处于所述第一预设范围内时,所述调整后的转角图形作为预处理后的转角图形。
  3. 根据权利要求2所述的方法,其特征在于,在按照所述OPC运算后的图形制作掩模版之前,所述的方法还包括:
    验证所述OPC运算后的图形的关键尺寸与目标关键尺寸的差值是否处于第二预设范围内;
    如果是,则按照所述OPC运算后的图形制作掩模版;
    如果否,则重新根据所述OPC程序,对所述包含预处理后的转角图形的所述设计图形进行OPC运算。
  4. 根据权利要求3所述的方法,其特征在于,所述验证所述OPC运算后的图形的关键尺寸与目标关键尺寸的差值是否处于第二预设范围内,包括:
    对所述OPC运算后的图形进行OPC仿真,获得所述OPC运算后的图形的关键尺寸;
    将所述OPC运算后的图形的关键尺寸与所述目标关键尺寸进行比较,以获得两者间的差值;
    判断所述差值是否处于所述第二预设范围内。
  5. 根据权利要求1所述的方法,其特征在于,所述对所述设计图形中的转角图形进行预处理,包括:
    对所述转角图形进行尺寸分解;
    确定分解后的转角图形的至少一组尺寸数据,以获得至少一个转角图形;
    所述对包含预处理后的转角图形的所述设计图形进行OPC运算,包括:
    分别对包含不同转角图形的所述设计图形进行OPC运算,以获得至少一个第一图形;
    所述按照所述OPC运算后的图形制作掩模版,包括:
    根据预设标识层中的位置数据信息,按照所述至少一个第一图形制作掩模版,其中,所述预设标识层包括所述位置数据信息。
  6. 一种根据权利要求1-5中任一项所述的方法获得的掩模版,其特征在于,包括:
    本体;
    所述本体上形成有掩模图形,所述掩模图形形成为轴对称图形且具有对称轴线,所述掩模图形包括依次连通的第一图形、第二图形、第三图形和第四图形,且所述第二图形在垂直于所述对称轴线方向上的宽度大于等于所述第一图形在垂直于所述对称轴线方向上的宽度,所述第三图形在垂直于所述对称轴线方向上的宽度小于等于所述第二图形在垂直于所述对称轴线方向上的宽度,所述第四图形在垂直于所述对称轴线方向上的宽度大于等于所述第二图形在垂直于所述对称轴线方向上的宽度。
  7. 根据权利要求6所述的掩模版,其特征在于,所述第一图形和所述第三图形形成为沿所述对称轴线延伸的长方形。
  8. 根据权利要求6所述的掩模版,其特征在于,所述第二图形形成为沿所述对称轴线延伸的大体梯形,且在从所述第一图形朝向所述第四图形的方向上,所述第二图形在垂直于所述对称轴线方向上的宽度呈阶梯状增加。
  9. 根据权利要求6所述的掩模版,其特征在于,所述第四图形形成为“凹”字形,且所述“凹”字形的突出部分在沿所述对称轴线方向上的高度小于所述第三图形在沿所述对称轴线方向上的高度。
  10. 根据权利要求6-9中任一项所述的掩模版,其特征在于,所述掩模图形包括多个。
PCT/CN2019/124788 2019-01-03 2019-12-12 掩模版制作方法和掩模版 WO2020140718A1 (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CN201910003405.3A CN111399334B (zh) 2019-01-03 2019-01-03 掩模版制作方法和掩模版
CN201910003405.3 2019-01-03

Publications (1)

Publication Number Publication Date
WO2020140718A1 true WO2020140718A1 (zh) 2020-07-09

Family

ID=71406567

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/CN2019/124788 WO2020140718A1 (zh) 2019-01-03 2019-12-12 掩模版制作方法和掩模版

Country Status (2)

Country Link
CN (1) CN111399334B (zh)
WO (1) WO2020140718A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113376954B (zh) * 2021-06-22 2022-03-22 上海积塔半导体有限公司 Opc检测方法、计算机设备及计算机可读存储介质

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101937171A (zh) * 2009-07-03 2011-01-05 中芯国际集成电路制造(上海)有限公司 建立光学邻近校正模型方法、光学邻近校正方法和掩模版
TW201403215A (zh) * 2012-05-04 2014-01-16 Asml Netherlands Bv 設計規則及微影程序共同最佳化
WO2014066997A1 (en) * 2012-10-29 2014-05-08 Sidense Corporation A reverse optical proximity correction method
CN104678694A (zh) * 2013-11-26 2015-06-03 中芯国际集成电路制造(上海)有限公司 版图修正方法及设备
CN107450266A (zh) * 2016-05-31 2017-12-08 无锡华润上华科技有限公司 光学临近效应的修正方法及系统
CN107479331A (zh) * 2017-07-31 2017-12-15 上海华力微电子有限公司 一种图形转角的opc修正方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7005218B2 (en) * 2003-04-29 2006-02-28 Synopsys, Inc. Method and apparatus for performing target-image-based optical proximity correction
JP2006145687A (ja) * 2004-11-17 2006-06-08 Fujitsu Ltd 露光用マスクとその製造方法
JP4925961B2 (ja) * 2007-07-31 2012-05-09 株式会社日立ハイテクノロジーズ パターン測定装置
CN102262352B (zh) * 2010-05-27 2014-05-07 中芯国际集成电路制造(上海)有限公司 制作掩膜版的方法、对布局图形进行光学邻近修正方法
CN102385242A (zh) * 2010-09-01 2012-03-21 无锡华润上华半导体有限公司 掩膜版制作方法及系统
CN103149792A (zh) * 2011-12-07 2013-06-12 无锡华润上华科技有限公司 一种光学邻近修正方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101937171A (zh) * 2009-07-03 2011-01-05 中芯国际集成电路制造(上海)有限公司 建立光学邻近校正模型方法、光学邻近校正方法和掩模版
TW201403215A (zh) * 2012-05-04 2014-01-16 Asml Netherlands Bv 設計規則及微影程序共同最佳化
WO2014066997A1 (en) * 2012-10-29 2014-05-08 Sidense Corporation A reverse optical proximity correction method
CN104678694A (zh) * 2013-11-26 2015-06-03 中芯国际集成电路制造(上海)有限公司 版图修正方法及设备
CN107450266A (zh) * 2016-05-31 2017-12-08 无锡华润上华科技有限公司 光学临近效应的修正方法及系统
CN107479331A (zh) * 2017-07-31 2017-12-15 上海华力微电子有限公司 一种图形转角的opc修正方法

Also Published As

Publication number Publication date
CN111399334B (zh) 2021-12-21
CN111399334A (zh) 2020-07-10

Similar Documents

Publication Publication Date Title
KR100750531B1 (ko) 리소그래피 시뮬레이션용 마스크 배치 데이타를 산출하기 위한 방법
US8338960B2 (en) Method of manufacturing photomask and method of repairing optical proximity correction
US7266801B2 (en) Design pattern correction method and mask pattern producing method
US8788983B2 (en) Method for correcting layout pattern and mask thereof
KR100732772B1 (ko) 마스크 레이아웃 형성 방법 및 이에 따른 레이아웃
US11079672B2 (en) Method and system for layout enhancement based on inter-cell correlation
US8151222B2 (en) Method for decomposing designed pattern layout and method for fabricating exposure mask using the same
KR20190073064A (ko) Opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법
CN104950568B (zh) 光学邻近校正方法及双重图形曝光方法
CN116360205A (zh) 优化通孔层工艺窗口的辅助图形
WO2021197266A1 (zh) 一种光学临近修正方法及装置
JP2015034973A (ja) パターンの作成方法、プログラムおよび情報処理装置
CN115047707A (zh) 光学邻近矫正方法及系统、掩膜版、设备及存储介质
WO2020140718A1 (zh) 掩模版制作方法和掩模版
JP2010210679A (ja) マスクおよびその製造方法ならびに半導体装置の製造方法
JP2000214577A (ja) パタ―ン歪検出方法、パタ―ン歪検出装置およびその記録媒体
US6261724B1 (en) Method of modifying a microchip layout data set to generate a predicted mask printed data set
CN110716385B (zh) 光学近似修正方法
US7222327B2 (en) Photo mask, method of manufacturing photo mask, and method of generating mask data
JP2010217428A (ja) パターン検証方法、検証装置及びプログラム
US6834262B1 (en) Scheme for improving the simulation accuracy of integrated circuit patterns by simulation of the mask
CN115704992A (zh) 掩膜版图形修正方法、掩膜版制备方法及掩膜版
KR20210030078A (ko) 광 근접 보정을 수행하는 방법 및 이를 이용한 리소그래피 마스크 제조 방법
JP2005250360A (ja) マスクパターンの検証装置および検証方法
CN112445059A (zh) 光学邻近修正、光掩膜版制作及图形化方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19907132

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 19907132

Country of ref document: EP

Kind code of ref document: A1