WO2019230682A1 - Electronic device and method for producing same - Google Patents

Electronic device and method for producing same Download PDF

Info

Publication number
WO2019230682A1
WO2019230682A1 PCT/JP2019/021001 JP2019021001W WO2019230682A1 WO 2019230682 A1 WO2019230682 A1 WO 2019230682A1 JP 2019021001 W JP2019021001 W JP 2019021001W WO 2019230682 A1 WO2019230682 A1 WO 2019230682A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
group
electronic device
organic
intermediate layer
Prior art date
Application number
PCT/JP2019/021001
Other languages
French (fr)
Japanese (ja)
Inventor
幸宏 牧島
井 宏元
宏 石代
Original Assignee
コニカミノルタ株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by コニカミノルタ株式会社 filed Critical コニカミノルタ株式会社
Priority to CN201980036162.1A priority Critical patent/CN112205076A/en
Priority to JP2020522195A priority patent/JPWO2019230682A1/en
Publication of WO2019230682A1 publication Critical patent/WO2019230682A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B33/00Electroluminescent light sources
    • H05B33/02Details
    • H05B33/04Sealing arrangements, e.g. against humidity
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B33/00Electroluminescent light sources
    • H05B33/10Apparatus or processes specially adapted to the manufacture of electroluminescent light sources
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having a potential-jump barrier or a surface barrier
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K30/00Organic devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation
    • H10K30/80Constructional details
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K50/00Organic light-emitting devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/549Organic PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Definitions

  • the present invention relates to an electronic device and a manufacturing method thereof. More specifically, an electronic device comprising at least an organic functional layer and a sealing layer, wherein penetration of the solvent used in forming the sealing layer into the organic functional layer is suppressed, and the organic functional layer and the sealing layer
  • the present invention relates to an electronic device having excellent adhesion and a method for manufacturing the same.
  • organic electroluminescence elements hereinafter referred to as organic EL elements
  • solar cells using organic photoelectric conversion elements and organic thin-film transistors
  • organic thin-film transistors are made flexible by curved smartphones and next-generation lighting and signage that can be made free-form. It has become a very important theme for the spread of solar cells and thin-film transistors that are popular, lightweight and excellent in processability.
  • a sealing technique for protecting an organic EL element from moisture and oxygen in the outside air can be said to be a liver technique for producing an organic EL element. Unlike methods such as can sealing, the technical difficulty is high.
  • a sealing technique a gas barrier film, a metal foil, or the like in which a dense inorganic layer is formed on a base material by a CVD (Chemical Vapor Deposition) method is attached to an organic functional layer of an organic EL element using an adhesive.
  • CVD Chemical Vapor Deposition
  • the CVD method and the pasting operation have various problems such as high equipment / material costs, poor productivity, unsuitable for mass ordering, and cannot change specifications, performance, Cost and productivity could not be satisfied.
  • PHPS perhydropolysilazane
  • Patent Documents 1 to 3 a method of directly applying perhydropolysilazane (hereinafter referred to as PHPS), which is a silicon compound, onto an organic EL element.
  • PHPS perhydropolysilazane
  • the solvent penetrates into the organic EL element and the organic functional layer is destroyed, so that there is a problem that a light emitting functional failure (for example, a dark spot) of the organic EL element occurs. .
  • Patent Document 4 discloses a technique for forming a sealing layer made of an inorganic oxide on an organic EL element by coating film formation and a technique for an elution preventing layer for preventing solvent penetration into the organic EL element at the time of coating. Yes. However, the patent document does not disclose a specific embodiment using the elution prevention layer, and it is estimated from the materials used for the sealing layer and the elution prevention layer. It is thought that it is inferior to adhesiveness.
  • the present invention has been made in view of the above-described problems and circumstances, and a solution to the problem is an electronic device including at least an organic functional layer and a sealing layer, and an organic function of a solvent used when the sealing layer is formed It is an object of the present invention to provide an electronic device in which penetration into a layer is suppressed, a light emitting functional disorder (for example, a dark spot) is prevented, and excellent adhesion between an organic functional layer and a sealing layer, and a method for manufacturing the electronic device.
  • a light emitting functional disorder for example, a dark spot
  • the present inventor is an electronic device including at least an organic functional layer and a sealing layer in the process of examining the cause of the above-described problem, and the sealing layer includes polysilazane and its modification. And an intermediate layer containing a specific polymer is disposed between the organic functional layer and the sealing layer, so that the solvent penetrates into the electronic device when the sealing layer is formed. It has been found that an electronic device and a method for producing the same can be obtained in which adhesion is suppressed and adhesion between the electronic device and the sealing layer is excellent.
  • An electronic device comprising at least an organic functional layer and a sealing layer,
  • the sealing layer contains polysilazane and a modified product thereof, and an intermediate layer containing a light or thermosetting polymer is disposed between the organic functional layer and the sealing layer.
  • electronic devices comprising at least an organic functional layer and a sealing layer,
  • the sealing layer contains polysilazane and a modified product thereof, and an intermediate layer containing a light or thermosetting polymer is disposed between the organic functional layer and the sealing layer.
  • the intermediate layer contains a siloxane resin, an acrylic resin, or an epoxy resin.
  • a contact angle with respect to pure water at a temperature of 23 ° C. is within a range of 20 to 100 ° on the surface of the modified layer on the sealing layer side.
  • Item 7 The electronic device according to Item 5 or 6, wherein the thickness of the modified layer is in the range of 1 to 70 nm.
  • the organic metal oxide layer containing an organic metal oxide having a structure represented by the following general formula (A) is provided between the intermediate layer and the sealing layer.
  • the electronic device according to any one of the items up to.
  • R represents a hydrogen atom, an alkyl group having 1 or more carbon atoms, an alkenyl group, an aryl group, a cycloalkyl group, an acyl group, an alkoxy group, or a heterocyclic group.
  • R represents fluorine as a substituent. It may be a carbon chain containing atoms, M represents a metal atom, OR 1 represents a fluorinated alkoxy group, x represents a valence of the metal atom, and y represents an arbitrary integer between 1 and x. Represents the degree of polycondensation.
  • the metal atom represented by M is selected from Si, Ti, Zr, Mg, Ca, Sr, Bi, Hf, Nb, Zn, Al, Pt, Ag, and Au.
  • Item 10 The electronic device according to Item 8 or 9, wherein the organometallic oxide layer is formed of a coating film subjected to at least sol-gel transition.
  • a modified layer is formed on the surface of the intermediate layer by a process of performing ultraviolet irradiation treatment, flash baking treatment, atmospheric pressure plasma treatment, plasma ion implantation treatment, or heat treatment on the intermediate layer, and 14.
  • Item 15 The method for manufacturing an electronic device according to Item 13 or 14, wherein the intermediate layer is formed by an inkjet printing method.
  • Item 16 The method for manufacturing an electronic device according to any one of Items 13 to 15, wherein the sealing layer is formed by an inkjet printing method, and then vacuum ultraviolet irradiation treatment is performed.
  • an electronic device having at least an organic functional layer and a sealing layer, the penetration of the solvent used at the time of forming the sealing layer into the organic functional layer is suppressed, and the light emitting functional disorder (for example, dark).
  • the light emitting functional disorder for example, dark It is possible to provide an electronic device that can prevent (spot) and has excellent adhesion between the organic functional layer and the sealing layer, and a method for manufacturing the electronic device.
  • the present invention includes laminating an intermediate layer containing a light or thermosetting polymer on an organic functional layer, a sealing layer containing polysilazane and a modified product thereof, and the intermediate layer is a siloxane-based resin. Containing an upper polysilazane and a modified product thereof by modifying the surface of the intermediate layer by ultraviolet irradiation treatment, flash firing treatment, atmospheric pressure plasma treatment, plasma ion implantation treatment, heat treatment, or the like.
  • the sealing layer that has the same type of modified body greatly improves the adhesion, and the dense modified body can exhibit an excellent effect of preventing solvent penetration from the sealing layer It is. With these effects, it is possible to completely prevent damage to the organic functional layer due to solvent permeation during the formation of the sealing layer and provide an electronic device having strong adhesion between the organic functional layer and the sealing layer. It is guessed.
  • FIG. 1 Schematic diagram showing the configuration of the electronic device of the present invention (organic EL element specification) Schematic showing an example of an ink jet printing system that is an example of a wet forming system
  • Example of inkjet head structure applicable to inkjet printing system Bottom view of inkjet head Sectional drawing which shows the solar cell which consists of an organic photoelectric conversion element of a bulk heterojunction type Sectional drawing which shows the solar cell which consists of an organic photoelectric conversion element provided with a tandem type bulk heterojunction layer
  • the figure which shows the structural example of a structure of an organic thin-film transistor The figure which shows another structural example of a structure of an organic thin-film transistor
  • the figure which shows another structural example of a structure of an organic thin-film transistor The figure which shows another structural example of a structure of an organic thin-film transistor
  • the figure which shows another structural example of a structure of an organic thin-film transistor The figure which shows another structural example of a structure of an organic thin-film transistor
  • the electronic device of the present invention is an electronic device having at least an organic functional layer and a sealing layer, the sealing layer containing polysilazane and a modified body thereof, and the organic functional layer and the sealing An intermediate layer containing a light or thermosetting polymer is disposed between the layers.
  • a feature of the present invention is a laminated sealing technique of an intermediate layer capable of preventing permeation of a solvent from PHPS by a coating process, and a sealing layer containing PHPS and a modified body thereof. Since this method does not use the conventional CVD or bonding operation, the reduction in apparatus / material costs and productivity are significantly improved.
  • the coating method for example, from organic EL element production to sealing is consistently applied
  • the delivery time can be much shorter than before.
  • the use efficiency of the material can be improved and the electronic device can be made free-form.
  • the light or thermosetting polymer is a solvent-free polymer, so that there is no permeation of the solvent from the intermediate layer to the organic functional layer. From the viewpoint of suppressing damage to the organic functional layer, it is preferable.
  • the intermediate layer contains a siloxane-based resin, an acrylic resin, or an epoxy-based resin, and particularly contains a siloxane-based resin, so that the adhesion with the sealing layer containing PHPS and its modified body is improved. From the viewpoint of improvement, it is preferable.
  • a modified layer on the sealing layer side surface of the intermediate layer of the present invention from the viewpoint of preventing penetration of the solvent of PHPS, and water at a temperature of 23 ° C. on the sealing layer side surface of the modified layer. It is a preferred embodiment that the contact angle with respect to is in the range of 20 to 100 °, since this effect is more manifested. Further, it is preferable that the thickness of the modified layer is in the range of 1 to 70 nm from the viewpoint of preventing the penetration of the solvent and improving the adhesion between the intermediate layer and the sealing layer.
  • an organometallic oxide layer having an equivalent function may be disposed as an alternative to the modified layer according to the present invention.
  • it is preferably an organometallic oxide layer containing an organometallic oxide having a structure represented by the general formula (A), and a coating film is formed by a sol-gel method.
  • the layer is preferably a metal alkoxide in which the organometallic oxide is coordinate-substituted with hydroalcohol.
  • Metal alkoxide not only promotes reforming and improves adhesion during lamination due to the catalytic effect on the intermediate layer and sealing layer, but also has atmospheric stability characteristics by being coordinated with fluorinated alcohol. Therefore, it is preferable because of excellent production suitability.
  • a gas barrier film is further bonded onto the sealing layer via an adhesive.
  • the electronic device of the present invention is a preferred embodiment, which is an organic electroluminescence element, a solar cell using an organic photoelectric conversion element, or an organic thin film transistor. .
  • An electronic device manufacturing method for manufacturing an electronic device of the present invention includes a step of forming the intermediate layer on an organic functional layer, an ultraviolet irradiation treatment, flash firing treatment, atmospheric pressure plasma treatment, plasma ion implantation treatment on the intermediate layer, Alternatively, the method includes a step of performing a heat treatment and a step of stacking and forming the sealing layer on the intermediate layer.
  • a modified layer is formed on the surface of the intermediate layer by a step of performing ultraviolet irradiation treatment, flash firing treatment, atmospheric pressure plasma treatment, plasma ion implantation treatment, or heat treatment on the intermediate layer, and the modified layer Setting the contact angle to water at a temperature of 23 ° C. within the range of 20 to 100 ° on the surface suppresses permeation of the solvent into the organic functional layer during the formation of the sealing layer, and the organic functional layer and the sealing layer From the viewpoint of providing an electronic device having excellent adhesion and stress relaxation properties, it is a preferable production method.
  • Examples of means for generating ultraviolet rays include metal halide lamps, high pressure mercury lamps, low pressure mercury lamps, xenon arc lamps, carbon arc lamps, excimer lamps, and UV light lasers.
  • the intermediate layer can be formed by an inkjet printing method and a dispenser method, so that it is possible to shorten the delivery time and selectively seal only a portion where light emission is desired.
  • This is a preferable manufacturing method from the viewpoint of adapting electronic devices to freeform.
  • the inkjet printing method is particularly preferable in that a precise coating pattern can be drawn.
  • sealing layer by an inkjet printing method and a dispenser method, and then performing a vacuum ultraviolet irradiation treatment has a dense silicon-containing layer and forms a sealing layer having high barrier properties Therefore, this is a preferred production method.
  • is used to mean that the numerical values described before and after it are included as a lower limit value and an upper limit value.
  • the electronic device of the present invention is an electronic device having at least an organic functional layer and a sealing layer, the sealing layer containing polysilazane and a modified body thereof, and the organic functional layer and the sealing An intermediate layer containing a light or thermosetting polymer is disposed between the layers.
  • the “light or thermosetting polymer” in the present invention is a layer formed by polymerization or crosslinking of a polymerizable monomer or polymerizable oligomer, or a polymerizable polymer by light such as ultraviolet rays or heating.
  • a polymer is a layer formed by polymerization or crosslinking of a polymerizable monomer or polymerizable oligomer, or a polymerizable polymer by light such as ultraviolet rays or heating.
  • FIG. 1 is a schematic diagram showing a configuration of an electronic device of the present invention.
  • the structure is shown about the example applied to an organic EL element (organic EL element) as an example of the electronic device of this invention.
  • organic EL element organic EL element
  • this is an example, and the present invention is not limited to this.
  • a gas barrier layer 1 is formed on the surface of a flexible substrate F, and a first electrode: an anode 2, an organic functional layer group 3 including a light emitting layer, and a second electrode: a cathode 4 are stacked thereon.
  • the peripheral part of the body is sealed with the intermediate layer 5 and the sealing layer 6 according to the present invention to constitute the organic EL element EL.
  • other functional layers may be appropriately disposed between the respective layers, and a gas barrier film may be laminated on the sealing layer 6) via an adhesive.
  • each element of the organic EL element will be described as an example of the electronic device of the present invention along the configuration.
  • Organic EL element there are no particular limitations on the substrate that can be used for the organic EL element (hereinafter also referred to as a base, support substrate, base material, support, etc.), and a glass substrate, a plastic substrate, or the like is used. It may be transparent or opaque, but a plastic substrate is preferred from the viewpoint of flexibility.
  • a resin film used as a base material of a plastic substrate For example, polyesters, such as a polyethylene terephthalate (PET) and a polyethylene naphthalate (PEN), or a polyimide (PI) etc. can be mentioned.
  • PET polyethylene terephthalate
  • PEN polyethylene naphthalate
  • PI polyimide
  • a gas barrier film on which a gas barrier layer (also referred to as a “water vapor sealing layer”) that suppresses intrusion of water vapor, oxygen, or the like is provided on the resin film.
  • a gas barrier layer also referred to as a “water vapor sealing layer”
  • the material constituting the gas barrier layer is not particularly limited, and a film such as an inorganic film, an organic film, or a hybrid of both may be formed.
  • the gas barrier layer has a water vapor permeability (25 ⁇ 0.5 ° C., relative humidity (90 ⁇ 2)% RH) measured by a method according to JIS K 7129-1992, 0.01 g / (m 2 ⁇ 24h)
  • the following gas barrier film is preferable, and the oxygen permeability measured by a method according to JIS K 7126-1987 is 1 ⁇ 10 ⁇ 3 mL / (m 2 ⁇ 24h ⁇ atm).
  • a high gas barrier film having a water vapor permeability of 1 ⁇ 10 ⁇ 5 g / (m 2 ⁇ 24 h) or less is preferable.
  • the material constituting the gas barrier layer is not particularly limited as long as it is a material having a function of suppressing intrusion of elements that cause deterioration of elements such as moisture and oxygen.
  • a material having a function of suppressing intrusion of elements that cause deterioration of elements such as moisture and oxygen.
  • Inorganic materials, organic materials, hybrid materials of the both, or the like can be used.
  • Metal oxide, metal oxynitride or metal nitride includes silicon oxide, titanium oxide, indium oxide, tin oxide, metal oxide such as indium tin oxide (ITO), aluminum oxide, metal nitride such as silicon nitride And metal oxynitrides such as silicon oxynitride and titanium oxynitride.
  • the formation of the gas barrier layer is not particularly limited.
  • an inorganic material is sputtered (for example, magnetron cathode sputtering, flat plate magnetron sputtering, bipolar) AC flat plate magnetron sputtering, reactive AC sputtering, etc.), vapor deposition (for example, resistance heating vapor deposition, electron beam vapor deposition, ion beam vapor deposition, plasma assisted vapor deposition), thermal CVD method, catalyst Layers by chemical vapor deposition (Cat-CVD), capacitively coupled plasma CVD (CCP-CVD), photo-CVD, plasma CVD (PE-CVD), epitaxial growth, chemical vapor deposition such as atomic layer deposition, etc. Preferably formed.
  • an inorganic gas barrier layer is formed.
  • the inorganic gas barrier layer can also be formed by a metallization technique such as metal plating on a resin base material or adhesion of a metal foil and a resin base material.
  • the inorganic gas barrier layer may include an organic layer containing an organic polymer. That is, the inorganic gas barrier layer may be a laminate of an inorganic layer containing an inorganic material and an organic layer.
  • the organic layer can be polymerized using, for example, an electron beam device, a UV light source, a discharge device, or other suitable device, for example, by applying an organic monomer or oligomer to a resin substrate to form a layer. And it can form by bridge
  • Examples of the method for applying the organic monomer or organic oligomer include roll coating (for example, gravure roll coating) and spray coating (for example, electrostatic spray coating).
  • bonding the gas barrier film having the gas barrier layer on the sealing layer according to the present invention via an adhesive is a preferable embodiment from the viewpoint of further improving the sealing effect. is there.
  • the adhesive examples include photocuring and thermosetting adhesives having reactive vinyl groups such as acrylic acid oligomers and methacrylic acid oligomers, and moisture curing adhesives such as 2-cyanoacrylates. Can do. Moreover, heat
  • the organic functional layer in the present invention includes a light emitting layer, various charge transport layers, and the like.
  • Intermediate layer is characterized in that an intermediate layer containing a light or thermosetting polymer is disposed between the organic functional layer and the sealing layer.
  • the light or thermosetting polymer is preferably a solventless polymer.
  • the “solvent-free polymer” as used herein refers to a polymer that does not contain a solvent, and is preferably liquid from the viewpoint of processability. Since it is a solvent-free type, deterioration due to permeation of the solvent from the intermediate layer can be suppressed with respect to the organic functional layer located in the lower layer when forming the intermediate layer.
  • the intermediate layer preferably contains a siloxane resin, an acrylic resin, or an epoxy resin, and particularly preferably contains a siloxane resin.
  • the intermediate layer may be formed by vapor deposition of an organic material insoluble in a solvent or the like, but is preferably formed by coating.
  • a material to be formed by coating it is preferable to use a photocurable or thermosetting solventless monomer, and a solventless photocurable silicone monomer is particularly preferable.
  • a solid thin film is formed by photocuring and / or heat curing to form an intermediate layer.
  • a getter agent that absorbs moisture and oxygen may be mixed.
  • the intermediate layer is formed between the electrode according to the present invention and the sealing layer with the solvent-free monomer liquid or the coating liquid with a partly diluted solvent added for viscosity adjustment, but the formation method is particularly limited. Not a spray coating method, spin coating method, blade coating method, dip coating method, casting method, roll coating method, bar coating method, die coating method, dispensing method, printing method including inkjet printing method, etc. It is preferable to apply by a wet forming method such as a patterning method. Among these, the inkjet printing method described later is preferable.
  • the thickness of the intermediate layer is 10 nm to 100 ⁇ m, more preferably 0.1 to 1 ⁇ m, as a dry film, and it is effective for stress relaxation, solvent penetration prevention from the sealing layer, and flatness. It is preferable in expressing.
  • the acrylic resin contained in the intermediate layer is preferably a polymer of a (meth) acrylic acid ester monomer, and examples of the (meth) acrylic acid ester monomer Acrylate monomers such as methyl acrylate, ethyl acrylate, isopropyl acrylate, n-butyl acrylate, t-butyl acrylate, isobutyl acrylate, n-octyl acrylate, 2-ethylhexyl acrylate, stearyl acrylate, lauryl acrylate, and phenyl acrylate; Methyl methacrylate, ethyl methacrylate, n-butyl methacrylate, isopropyl methacrylate, isobutyl methacrylate, t-butyl methacrylate, n-octyl methacrylate, 2-ethylhexylme Acrylate, stearyl methacryl
  • the epoxy resin contained in the intermediate layer includes bisphenol type epoxy resins such as bisphenol A type epoxy resin and bisphenol F type epoxy resin; alicyclic epoxy resins; phenol novolac type epoxy resins, cresol novolac type epoxy resins and the like.
  • Novolac type epoxy resin triphenolalkane type epoxy resin such as triphenolmethane type epoxy resin and triphenolpropane type epoxy resin
  • phenol aralkyl type epoxy resin, biphenyl aralkyl type epoxy resin, stilbene type epoxy resin, naphthalene type epoxy resin, biphenyl Type epoxy resin, cyclopentadiene type epoxy resin and the like it is preferable to use a bisphenol type epoxy resin such as a bisphenol A type epoxy resin or a bisphenol F type epoxy resin from the viewpoint of expressing the effects of the present invention.
  • the intermediate layer according to the present invention preferably contains a siloxane-based resin from the viewpoint of adhesion between the polysilazane contained in the sealing layer and its modified body, in addition to the expression of the solvent penetration preventing function.
  • a siloxane-based resin polydimethylsiloxane, polymethylphenylsiloxane, polydiphenylsiloxane, or the like can be used.
  • a siloxane containing a fluorine atom can also be suitably used.
  • the siloxane-based resin used for the intermediate layer according to the present invention may be a low molecular weight material or a high molecular weight material. Particularly preferred are oligomers and polymers, and specific examples include polysiloxane derivatives such as polysiloxane compounds, polydimethylsiloxane compounds, and polydimethylsiloxane copolymers. Moreover, what combined these compounds may be used.
  • the compound having a polysiloxane skeleton has a structure represented by the following general formula (I), and changes the number of repetitions n (one or more) in the general formula (I) and the type of the organic modification part. Therefore, the effect of preventing solvent penetration can be arbitrarily controlled.
  • n or the organically modified part in the general formula (I) for example, a structure represented by the following general formula (II) (x and y are one or more numbers representing the number of repetitions, m is An integer of 1 or more), and the silicone skeleton can be modified by adding a side chain.
  • R ⁇ 1 > in general formula (II) a methyl group, an ethyl group, a decyl group etc. are mentioned, for example.
  • R 2 include a polyether group, a polyester group, and an aralkyl group.
  • a compound having a structure represented by the following general formula (III) (m is an integer of 1 or more) can also be used, and the silicone chain is composed of several Si—O bonds and corresponds to R 3 . It has an average of one polyether chain and the like.
  • control of the contact angle with water and compatibility with the formation of the modified layer Can be arbitrarily adjusted.
  • polysiloxane compounds examples include tetramethoxysilane, tetraethoxysilane, methyltrimethoxysilane, methyltriethoxysilane, dimethyldimethoxysilane, dimethyldiethoxysilane, ⁇ -glycidoxypropyltrimethoxysilane, and ⁇ -glycid.
  • a partially hydrolyzed product of a silane compound having a group, an organosilica sol in which fine particles of silicic anhydride are stably dispersed in an organic solvent, or the above-mentioned silane compound having radical polymerizability added to the organosilica sol Can be mentioned.
  • Polydimethylsiloxane compound Polydimethylsiloxane compounds include polydimethylsiloxane, alkyl-modified polydimethylsiloxane, carboxy-modified polydimethylsiloxane, amino-modified polydimethylsiloxane, epoxy-modified polydimethylsiloxane, fluorine-modified polydimethylsiloxane, and (meth) acrylate-modified polydimethylsiloxane. (For example, GUV-235 manufactured by Toagosei Co., Ltd.).
  • the polydimethylsiloxane copolymer may be any of a block copolymer, a graft copolymer, and a random copolymer, but a block copolymer and a graft copolymer are preferable.
  • the intermediate layer according to the present invention is a step of performing ultraviolet irradiation treatment, flash firing treatment, atmospheric pressure plasma treatment, plasma ion implantation treatment, or heat treatment on the surface of the sealing layer after wet coating by an inkjet printing method or the like described later.
  • a known method can be used as a method for measuring the contact angle.
  • the contact angle between a standard liquid (pure water is preferred) and the substrate surface was measured in accordance with a method defined in JIS R3257.
  • the measurement conditions are a temperature of 23 ⁇ 5 ° C., a humidity of 50 ⁇ 10%, a drop amount of standard liquid dropped from 1 to 4 ⁇ L, and a time from dropping the standard liquid to measuring the contact angle is within 1 minute.
  • a specific operation procedure at a temperature of 23 ° C., about 1.5 ⁇ L of pure water as the standard liquid was dropped on the sample, and the sample was obtained using a solid-liquid interface analyzer (DropMaster 500, manufactured by Kyowa Interface Science Co., Ltd.). Measure the above five locations and obtain the average contact angle from the average of the measured values. The time to contact angle measurement is measured within 1 minute after dropping the standard liquid.
  • the layer thickness of the modified layer is preferably in the range of 1 to 70 nm in order to exhibit the effects of stress relaxation, solvent penetration prevention from the sealing layer, and planarization.
  • a more preferable layer thickness is in the range of 10 to 50 nm.
  • the modification treatment of the intermediate layer in the present invention refers to a reaction for converting at least a part of the siloxane-based resin into silicon oxide, and the “modified layer” is an average of the carbon component ratio of the unmodified layer.
  • the layer thickness of the modified layer can be determined by elemental analysis in the layer thickness direction by the following XPS analysis method.
  • the XPS analysis method referred to here is a method of analyzing the constituent elements of the sample and their electronic states by irradiating the sample with X-rays and measuring the energy of the generated photoelectrons.
  • the element concentration distribution curve (hereinafter referred to as “depth profile”) in the thickness direction of the intermediate layer according to the present invention is an element concentration of silicon, oxygen, and carbon, measured by X-ray photoelectron spectroscopy, argon (Ar), etc.
  • the surface composition analysis can be performed sequentially while exposing the inside from the surface of the intermediate layer.
  • a distribution curve obtained by such XPS depth profile measurement can be created, for example, with the vertical axis as the atomic concentration ratio (unit: at%) of the element and the horizontal axis as the etching time (sputtering time).
  • the etching time generally correlates with the distance from the surface of the intermediate layer in the thickness direction of the intermediate layer in the layer thickness direction.
  • the distance from the surface of the intermediate layer in the thickness direction of the layer the distance from the surface of the intermediate layer calculated from the relationship between the etching rate and the etching time employed in the XPS depth profile measurement can be adopted. .
  • a rare gas ion sputtering method using argon (Ar) as an etching ion species is employed, and the etching rate (etching rate) is 0.05 nm / sec. It is preferable to use (equivalent value of SiO 2 thermal oxide film).
  • ⁇ Analyzer QUANTERA SXM manufactured by ULVAC-PHI
  • X-ray source Monochromatic Al-K ⁇ ⁇ Sputtering ion: Ar (3 keV)
  • Depth profile Repeated measurement at a predetermined thickness interval with a SiO 2 equivalent sputtering thickness to obtain a depth profile in the depth direction. The thickness interval was 1 nm (data every 1 nm is obtained in the depth direction).
  • Quantification The background was determined by the Shirley method, and quantified using the relative sensitivity coefficient method from the obtained peak area. Data processing uses MultiPak manufactured by ULVAC-PHI.
  • a preferable method for modifying the surface of the intermediate layer according to the present invention is an ultraviolet irradiation treatment.
  • a metal halide lamp, a high-pressure mercury lamp, a low-pressure mercury lamp, a xenon arc lamp, a carbon arc lamp, an excimer lamp, or a UV light laser can be used as the ultraviolet ray generating means.
  • vacuum ultraviolet irradiation treatment is mentioned as one method of the said ultraviolet irradiation processing.
  • the illumination intensity of the vacuum ultraviolet rays in the coated surface of a siloxane-based resin film is subjected in the range of 30 ⁇ 200mW / cm 2, in the range of 50 ⁇ 160mW / cm 2 More preferred.
  • it is 30 mW / cm 2 or more there is no concern that the reforming efficiency is lowered, and when it is 200 mW / cm 2 or less, the coating film is not ablated and the substrate is not damaged.
  • Irradiation energy amount of the VUV in siloxane-based resin layer coated surface is preferably in the range of 200 ⁇ 10000mJ / cm 2, and more preferably in the range of 500 ⁇ 5000mJ / cm 2. Within this range, there are no cracks or thermal deformation of the substrate.
  • oxygen is required for the reaction at the time of ultraviolet irradiation, but since vacuum ultraviolet rays are absorbed by oxygen, the efficiency in the ultraviolet irradiation process tends to decrease. It is preferable to carry out in a low state. That is, the oxygen concentration at the time of vacuum ultraviolet irradiation is preferably in the range of 0.001 to 2.0% by volume, more preferably in the range of 0.005 to 0.5% by volume, and still more preferably 0.1 to 0%. .5% by volume.
  • the gas satisfying the irradiation atmosphere used at the time of irradiation with vacuum ultraviolet rays is preferably a dry inert gas, and particularly preferably dry nitrogen gas from the viewpoint of cost.
  • the oxygen concentration can be adjusted by measuring the flow rate of oxygen gas and inert gas introduced into the irradiation chamber and changing the flow rate ratio.
  • the intermediate layer containing a siloxane resin or the like may be a single layer, but may have a laminated structure of two or more layers from the viewpoint of enhancing the effect.
  • a laminated structure for example, a laminated structure having different types of silicon-containing polymers such as polysiloxane / polysilazane may be used. By changing the type, it is possible to control adhesion in addition to the solvent penetration preventing function.
  • the modification process of the intermediate layer can also be performed by a xenon flash process (flash firing process) using a xenon lamp.
  • a xenon flash process flash firing process
  • a discharge tube of the flash lamp used in the flash firing process a discharge tube of xenon, helium, neon, argon or the like can be used, but a xenon lamp is preferably used.
  • the preferable spectral band of the flash lamp is preferably in the range of 240 to 2000 nm. Within this range, there is little damage such as thermal deformation of the substrate due to flash firing.
  • the light irradiation conditions of the flash lamp are arbitrary, but the total light irradiation energy is preferably in the range of 0.1 to 50 J / cm 2 , and preferably in the range of 0.5 to 10 J / cm 2. More preferred.
  • the light irradiation time is preferably in the range of 10 ⁇ sec to 100 msec, and more preferably in the range of 100 ⁇ sec to 10 msec. Further, the number of times of light irradiation may be one time or a plurality of times, and it is preferably performed within the range of 1 to 50 times.
  • the light irradiation device of the flash lamp may be any device that satisfies the above irradiation energy and irradiation time.
  • the flash firing can also be performed in an inert gas atmosphere such as nitrogen, argon, helium, etc., if the atmosphere is within the range of the concentration of the oxygen-containing substance.
  • an inert gas atmosphere such as nitrogen, argon, helium, etc.
  • the xenon flash device include “instant heating / high temperature firing flash lamp annealing” manufactured by USHIO.
  • a method by plasma CVD treatment at or near atmospheric pressure can be given as a preferred example.
  • the reforming treatment of the intermediate layer can be performed using an atmospheric pressure plasma discharge treatment apparatus having a configuration described in Japanese Patent Application Laid-Open No. 2004-68143.
  • modification treatment of the intermediate layer can also be performed by plasma ion implantation treatment.
  • the plasma ion implantation apparatus basically includes a vacuum chamber, a microwave power source, a magnet coil, and a direct current application device (pulse power source).
  • the vacuum chamber is a container for placing an object on which an intermediate layer coating film is formed at a predetermined position inside the chamber and for performing ion implantation on the coating film.
  • the DC application device is a DC power supply, and is a pulse power supply for applying a high voltage pulse to the workpiece.
  • the microwave power source plasma discharge electrode
  • the magnet coil by driving the microwave power source (plasma discharge electrode) and the magnet coil, the plasma of the gas introduced from the gas inlet around the conductor and the object to be processed is generated. Occur.
  • the driving of the microwave power source and the magnet coil is stopped, and the DC application device is driven, and a high voltage pulse (negative voltage) is passed through the high voltage introduction terminal and the conductor. Will be applied.
  • the ionic species is not particularly limited.
  • ions of rare gases such as argon, helium, neon, krypton, xenon; ions of fluorocarbon, hydrogen, nitrogen, oxygen, carbon dioxide, chlorine, fluorine, sulfur, etc .; methane, ethane, propane, butane, pentane, hexane, etc.
  • alkane gases such as ethylene, propylene, butene and pentene ions
  • alkadiene gases such as pentadiene and butadiene
  • alkyne gases such as acetylene and methylacetylene
  • benzene Ions of aromatic hydrocarbon gases such as toluene, xylene, indene, naphthalene and phenanthrene
  • ions of cycloalkane gases such as cyclopropane and cyclohexane
  • ions of cycloalkene gases such as cyclopentene and cyclohexene
  • gold Silver, copper, Ion silane (SiH 4) or an organic silicon compound
  • At least one kind selected from the group consisting of hydrogen, nitrogen, oxygen, argon, helium, neon, xenon, and krypton is obtained because it can be more easily injected and an excellent reforming treatment can be obtained. Ions are preferred.
  • the pressure in the vacuum chamber during ion implantation that is, the plasma ion implantation pressure to a value within the range of 0.01 to 1 Pa.
  • the applied voltage (high voltage pulse / negative voltage) when plasma ions are implanted is preferably set to a value in the range of ⁇ 1 to ⁇ 50 kV.
  • a value in the range of ⁇ 1 to ⁇ 15 kV is more preferable, and a value in the range of ⁇ 5 to ⁇ 8 kV is more preferable.
  • the plasma ion implantation apparatus (RF power supply: manufactured by JEOL Ltd., RF56000, high voltage pulse power supply: Kurita Seisakusho Co., Ltd., PV-3-HSHV-0835) is used for the intermediate layer.
  • the reforming process can be performed.
  • the modification treatment of the intermediate layer can also be performed by heat treatment, and is preferably performed by appropriately setting the temperature in combination with the above various treatments.
  • a method such as a heating oven or an infrared heater can be used.
  • an organometallic oxide layer having an equivalent function may be disposed as an alternative to the modified layer.
  • it is preferably an organometallic oxide layer containing an organometallic oxide having a structure represented by the general formula (A), and a coating film is formed by a sol-gel method.
  • the layer is preferably a metal alkoxide in which the organometallic oxide is coordinate-substituted with a fluorinated alcohol.
  • Metal alkoxide not only promotes reforming and improves adhesion during lamination due to the catalytic effect on the intermediate layer and sealing layer, but also has atmospheric stability characteristics by being coordinated with fluorinated alcohol. Therefore, it is preferable because of excellent production suitability.
  • the organometallic oxide used is an organometallic oxide monomer or polycondensate obtained by alcoholic decomposition of a metal alkoxide in the presence of an excess of alcohol to replace the alcohol. At that time, by using a long-chain alcohol in which a fluorine atom is substituted at the ⁇ -position of the hydroxy group, an organometallic oxide containing a fluorinated alkoxide is obtained.
  • the organometallic oxide can promote a sol-gel reaction and form a polycondensate by irradiating with sintering or ultraviolet rays.
  • the hydrolysis rate is reduced by reducing the frequency factor of water present around the metal in the metal alkoxide by the water repellent effect of fluorine.
  • the three-dimensional polymerization reaction can be suppressed, and a uniform and dense organometallic oxide layer containing a desired organometallic oxide can be formed.
  • the organometallic oxide contained in the organometallic oxide layer according to the present invention is a compound exemplified in the following reaction scheme I.
  • “M” in the “OM” part further has a substituent, but is omitted.
  • the organometallic oxide layer formed by polycondensation of the organometallic oxide by sintering or ultraviolet irradiation is hydrolyzed by water vapor (H 2 O), which is a gas component from outside the system, according to the following reaction scheme II. Decomposes and releases fluorinated alcohol (R'-OH), contributing to atmospheric stabilization.
  • H 2 O water vapor
  • R'-OH fluorinated alcohol
  • the organometallic oxide layer according to the present invention preferably contains an organometallic oxide having a structure represented by the following general formula (A) as a main component.
  • the “main component” is preferably 70% by mass or more of the organometallic oxide that releases at least a water-repellent substance or a hydrophobic substance, more preferably, of the total mass of the organometallic oxide layer. It means 80% by mass or more, particularly preferably 90% by mass or more.
  • R represents a hydrogen atom, an alkyl group having 1 or more carbon atoms, an alkenyl group, an aryl group, a cycloalkyl group, an acyl group, an alkoxy group, or a heterocyclic group.
  • R represents fluorine as a substituent. It may be a carbon chain containing atoms, M represents a metal atom, OR 1 represents a fluorinated alkoxy group, x represents a valence of the metal atom, and y represents an arbitrary integer between 1 and x. Represents the degree of polycondensation.
  • the fluorine ratio of the organometallic oxide layer according to the present invention satisfies the following formula (a).
  • the measurement significance of the formula (a) quantifies that an organometallic oxide layer produced by the sol-gel method requires a certain amount or more of fluorine atoms.
  • F and C in the above formula (a) represent the concentration of fluorine atom and carbon atom, respectively.
  • a preferable range of the formula (a) is a range of 0.2 ⁇ F / (C + F) ⁇ 0.6.
  • the fluorine ratio is determined by applying a sol-gel solution used for forming an organometallic oxide layer on a silicon wafer to produce a thin film, and then applying the thin film to an SEM / EDS (Energy Dispersive X-ray Spectroscopy: energy dispersive X-ray).
  • SEM / EDS Electromagnetic X-ray Spectroscopy: energy dispersive X-ray
  • concentration of fluorine atoms and carbon atoms can be determined by elemental analysis using an analytical device.
  • An example of the SEM / EDS apparatus is JSM-IT100 (manufactured by JEOL Ltd.).
  • SEM / EDS analysis has the feature that it can detect elements with high speed, high sensitivity and accuracy.
  • the organometallic oxide according to the present invention is not particularly limited as long as it can be produced using a sol-gel method.
  • the metal silicon introduced in “Science of Sol-Gel Method” P13, P20 , Lithium, sodium, copper, magnesium, calcium, bismuth, hafnium, niobium, strontium, barium, zinc, boron, aluminum, gallium, yttrium, silicon, germanium, lead, phosphorus, antimony, vanadium, tantalum, tungsten, lanthanum, neodymium
  • metal oxides containing one or more metals selected from titanium, zirconium, platinum, silver, and gold are examples of metal oxides selected from titanium, zirconium, platinum, silver, and gold.
  • the metal atom represented by M is silicon (Si), titanium (Ti), zirconium (Zr), magnesium (Mg), calcium (Ca), strontium (Sr), bismuth (Bi), hafnium ( Hf), niobium (Nb), zinc (Zn), aluminum (Al), platinum (Pt), silver (Ag), and gold (Au) are preferably selected from the viewpoint of obtaining the effects of the present invention.
  • OR 1 represents a fluorinated alkoxy group.
  • R 1 represents an alkyl group, aryl group, cycloalkyl group, acyl group, alkoxy group or heterocyclic group substituted with at least one fluorine atom. Specific examples of each substituent will be described later.
  • R represents a hydrogen atom, an alkyl group having 1 or more carbon atoms, an alkenyl group, an aryl group, a cycloalkyl group, an acyl group, an alkoxy group, or a heterocyclic group. Or what substituted at least one part of hydrogen of each group with the halogen may be used. Moreover, a polymer may be sufficient.
  • Alkyl groups are substituted or unsubstituted, and specific examples include methyl, ethyl, propyl, butyl, sec-butyl, tert-butyl, pentyl, hexyl, heptyl, octyl.
  • the alkenyl group is substituted or unsubstituted, and specific examples include a vinyl group, an allyl group, a butenyl group, a pentenyl group, a hexenyl group, and the like, and preferably those having 8 or more carbon atoms. These oligomers and polymers may also be used.
  • the aryl group is substituted or unsubstituted, and specific examples include phenyl group, tolyl group, 4-cyanophenyl group, biphenyl group, o, m, p-terphenyl group, naphthyl group, anthranyl group, phenanthrenyl group, There are a fluorenyl group, a 9-phenylanthranyl group, a 9,10-diphenylanthranyl group, a pyrenyl group, and the like, preferably those having 8 or more carbon atoms. These oligomers and polymers may also be used.
  • substituted or unsubstituted alkoxy group examples include a methoxy group, an n-butoxy group, a tert-butoxy group, a trichloromethoxy group, and a trifluoromethoxy group, and preferably those having 8 or more carbon atoms. These oligomers and polymers may also be used.
  • substituted or unsubstituted cycloalkyl group examples include a cyclopentyl group, a cyclohexyl group, a norbonane group, an adamantane group, a 4-methylcyclohexyl group, a 4-cyanocyclohexyl group, and preferably those having 8 or more carbon atoms. Good. These oligomers and polymers may also be used.
  • substituted or unsubstituted heterocyclic group examples include pyrrole group, pyrroline group, pyrazole group, pyrazoline group, imidazole group, triazole group, pyridine group, pyridazine group, pyrimidine group, pyrazine group, triazine group, indole group, Benzimidazole group, purine group, quinoline group, isoquinoline group, sinoline group, quinoxaline group, benzoquinoline group, fluorenone group, dicyanofluorenone group, carbazole group, oxazole group, oxadiazole group, thiazole group, thiadiazole group, benzoxazole group Benzothiazole group, benzotriazole group, bisbenzoxazole group, bisbenzothiazole group, bisbenzimidazole group and the like. These oligomers and polymers may also be used.
  • substituted or unsubstituted acyl group examples include formyl group, acetyl group, propionyl group, butyryl group, isobutyryl group, valeryl group, isovaleryl group, pivaloyl group, lauroyl group, myristoyl group, palmitoyl group, stearoyl group, oxalyl group Group, malonyl group, succinyl group, glutaryl group, adipoyl group, pimeloyl group, suberoyl group, azelaoil group, sebacoyl group, acryloyl group, propioloyl group, methacryloyl group, crotonoyl group, isocrotonoyl group, oleoyl group, elidoyl group, maleoyl group , Fumaroyl group, citraconoyl group, mesaconoyl group, camphoroyl group, benzoyl group, phthal
  • the metal alkoxide, metal carboxylate, and fluorinated alcohol (R′-OH) are converted to the organometallic oxide according to the present invention by the following reaction scheme III.
  • (R′—OH) is exemplified by the following structures F-1 to F-16.
  • Examples of the metal alkoxide or metal carboxylate according to the present invention include compounds represented by the following M (OR) n or M (OCOR) n, and the organometallic oxide according to the present invention includes the above (R′—OH: F In combination with -1 to F-16), compounds having the structures of the following Exemplified Compound Nos. 1 to 135 (see Exemplified Compounds I, II and III below) are obtained.
  • the organometallic oxide according to the present invention is not limited to this.
  • the method for producing an organometallic oxide for producing an organometallic oxide according to the present invention is characterized by producing using a mixed liquid of a metal alkoxide and a fluorinated alcohol.
  • reaction scheme IV of Exemplified Compound No. 1 As an example of the reaction, the reaction scheme IV of Exemplified Compound No. 1 and the structure of the organometallic oxide when applied to the organometallic oxide layer are shown below.
  • Ti in the “O—Ti” part further has a substituent, but is omitted.
  • a fluorinated alcohol is added to a metal alkoxide or metal carboxylate, and the mixture is stirred and mixed. Then, water and a catalyst are added as necessary and reacted at a predetermined temperature.
  • a method can be mentioned.
  • a substance that can be a catalyst for the hydrolysis / polymerization reaction as shown below may be added.
  • What is used as a catalyst for hydrolysis / polymerization reaction of sol-gel reaction is "Functional thin film fabrication technology by the latest sol-gel method” (by Hirashima Satoshi, General Technology Center, P29) and "Sol-Gel It is a catalyst used in a general sol-gel reaction described in “Science of Law” (Sakuo Sakuo, Agne Jofusha, P154).
  • inorganic and organic acids such as hydrochloric acid, nitric acid, sulfuric acid, phosphoric acid, acetic acid, oxalic acid, tartaric acid, and toluenesulfonic acid
  • alkali metals such as ammonium hydroxide, potassium hydroxide, and sodium hydroxide Quaternary ammonium hydroxide such as hydroxide, tetramethylammonium hydroxide, tetraethylammonium hydroxide, tetrabutylammonium hydroxide, ammonia, triethylamine, tributylamine, morpholine, pyridine, piperidine, ethylenediamine, diethylenetriamine, ethanolamine, diethanolamine , Amines such as triethanolamine, aminosilanes such as 3-aminopropyltriethoxysilane, N- (2-aminoethyl) -3-aminoprop
  • the amount of the catalyst used is preferably 2 molar equivalents or less, more preferably 1 molar equivalent or less, per 1 mol of the metal alkoxide or metal carboxylate used as the organic metal oxide raw material.
  • the preferable amount of water added is 40 molar equivalents or less, more preferably 10 molar equivalents or less with respect to 1 mol of the metal alkoxide or metal carboxylate as the raw material of the organometallic oxide. More preferably, it is 5 molar equivalents or less.
  • the preferred reaction concentration, temperature, and time of the sol-gel reaction cannot be generally described because the type and molecular weight of the metal alkoxide or metal carboxylate used and the respective conditions are related to each other. That is, when the molecular weight of the alkoxide or metal carboxylate is high, or when the reaction concentration is high, if the reaction temperature is set high or the reaction time is too long, the reaction product is accompanied by hydrolysis and polycondensation reaction. There is a possibility that the molecular weight of the polymer increases, resulting in high viscosity or gelation. Accordingly, the usual preferable reaction concentration is generally 1 to 50% in terms of the mass% concentration of solid content in the solution, and more preferably 5 to 30%. Although depending on the reaction time, the reaction temperature is usually 0 to 150 ° C., preferably 1 to 100 ° C., more preferably 20 to 60 ° C., and the reaction time is preferably about 1 to 50 hours.
  • the organocondensate polycondensate forms an organometallic oxide layer, which absorbs moisture and produces the following oligomers according to the following reaction scheme V, contributing to the improvement of atmospheric stability.
  • organometallic oxide layer which absorbs moisture and produces the following oligomers according to the following reaction scheme V, contributing to the improvement of atmospheric stability.
  • OR 'in the layer there is a portion remaining as OR 'in the layer, but not so much as to affect the adhesion.
  • Ti in the “O—Ti” part further has a substituent, but is omitted.
  • the organometallic oxide layer according to the present invention is prepared by preparing a coating solution containing the organometallic oxide of the present invention, coating the substrate and sintering or irradiating it with ultraviolet rays to form a film while polycondensing. Can be formed.
  • organic solvent examples include hydrocarbon solvents such as aliphatic hydrocarbons, alicyclic hydrocarbons, and aromatic hydrocarbons, halogenated hydrocarbon solvents, or Ethers such as aliphatic ethers or alicyclic ethers can be used as appropriate.
  • hydrocarbon solvents such as aliphatic hydrocarbons, alicyclic hydrocarbons, and aromatic hydrocarbons, halogenated hydrocarbon solvents, or Ethers such as aliphatic ethers or alicyclic ethers can be used as appropriate.
  • the concentration of the organometallic oxide according to the present invention in the coating solution varies depending on the target thickness and the pot life of the coating solution, but is preferably about 0.2 to 35% by mass. It is also preferable to add a catalyst for promoting polymerization to the coating solution.
  • the prepared coating liquid includes spray coating, spin coating, blade coating, dip coating, dip coating, casting, roll coating, bar coating, die coating, and other coating methods, inkjet printing methods, and dispenser methods.
  • a wet forming method such as a patterning method such as a printing method can be used, and it can be used depending on the material.
  • the inkjet printing method is preferable.
  • the ink jet printing method is not particularly limited, and a known method can be adopted.
  • the on-demand method or the continuous method may be used as the method for discharging the coating liquid from the ink jet head by the ink jet printing method.
  • On-demand inkjet heads are available in electro-mechanical conversion methods such as single cavity type, double cavity type, bender type, piston type, shear mode type and shared wall type, or thermal inkjet type and bubble jet (registered trademark). ) Any type of electrical-thermal conversion system or the like may be used.
  • ultraviolet light In order to fix the organometallic oxide layer after coating, it is preferable to use plasma, ozone, or ultraviolet light that can be polymerized at low temperatures. Among these, ultraviolet light is preferred for improving the smoothness of the thin film surface. preferable.
  • Examples of the means for generating ultraviolet rays in the ultraviolet treatment include metal halide lamps, high-pressure mercury lamps, low-pressure mercury lamps, xenon arc lamps, carbon arc lamps, excimer lamps, and UV light lasers as described above.
  • UV irradiation can be applied to both batch processing and continuous processing, and can be appropriately selected depending on the shape of the substrate used.
  • the base material on which the organic metal oxide layer is formed is a long film, it is carried out by continuously irradiating ultraviolet rays in the drying zone equipped with the ultraviolet ray generation source as described above while being conveyed. Can do.
  • the time required for ultraviolet irradiation is generally 0.1 seconds to 10 minutes, preferably 0.5 seconds to 3 minutes, although it depends on the base material used and the composition and concentration of the desiccant-containing coating solution.
  • the energy coated surface receives is preferably 1.0 J / cm 2 or more, and more preferably 1.5 J / cm 2 or more.
  • it is preferably 14.0J / cm 2 or less, more preferably 12.0J / cm 2 or less, is 10.0J / cm 2 or less It is particularly preferred.
  • the oxygen concentration at the time of irradiation with ultraviolet rays is preferably 300 to 10,000 volume ppm (1 volume%), more preferably 500 to 5000 volume ppm. By adjusting to such an oxygen concentration range, it is possible to prevent the organometallic oxide layer from becoming excessively oxygen and to prevent deterioration of moisture absorption.
  • the gas other than oxygen at the time of ultraviolet irradiation it is preferable to use a dry inert gas, and it is particularly preferable to use a dry nitrogen gas from the viewpoint of cost.
  • the sealing layer according to the present invention is a layer formed by applying a modification treatment to a layer obtained by applying a coating liquid containing at least polysilazane (hereinafter, the sealing layer is referred to as a polysilazane layer). May be.)
  • the thickness of the sealing layer after drying is preferably within a range of 5 to 1000 nm, more preferably within a range of 10 to 800 nm, and particularly preferably within a range of 50 to 500 nm. From the viewpoint of achieving compatibility, it is preferable.
  • Polysilazane is a polymer having a silicon-nitrogen bond, such as SiO 2 , Si 3 N 4 having a bond such as Si—N, Si—H, or N—H, and ceramics such as both intermediate solid solutions SiO x N y. It is a precursor inorganic polymer.
  • polysilazane preferably has a partial structure represented by the following general formula (1).
  • R 1 , R 2 and R 3 are each independently a hydrogen atom, a substituted or unsubstituted alkyl group, aryl group, vinyl group or (trialkoxysilyl) alkyl group. .
  • R 1 , R 2 and R 3 may be the same or different.
  • examples of the alkyl group include linear, branched or cyclic alkyl groups having 1 to 8 carbon atoms.
  • the aryl group include aryl groups having 6 to 30 carbon atoms.
  • non-condensed hydrocarbon group such as phenyl group, biphenyl group, terphenyl group; pentarenyl group, indenyl group, naphthyl group, azulenyl group, heptaenyl group, biphenylenyl group, fluorenyl group, acenaphthylenyl group, preadenenyl group , Condensed polycyclic hydrocarbon groups such as acenaphthenyl group, phenalenyl group, phenanthryl group, anthryl group, fluoranthenyl group, acephenanthrenyl group, aceantrirenyl group, triphenylenyl group, pyrenyl group, chrysenyl group, naphthacenyl group, etc.
  • non-condensed hydrocarbon group such as phenyl group, biphenyl group, terphenyl group; pentarenyl group, indenyl group, nap
  • the (trialkoxysilyl) alkyl group includes an alkyl group having 1 to 8 carbon atoms having a silyl group substituted with an alkoxy group having 1 to 8 carbon atoms. More specific examples include 3- (triethoxysilyl) propyl group and 3- (trimethoxysilyl) propyl group.
  • the substituent optionally present in R 1 to R 3 is not particularly limited, and examples thereof include an alkyl group, a halogen atom, a hydroxy group (—OH), a mercapto group (—SH), a cyano group (—CN), There are a sulfo group (—SO 3 H), a carboxy group (—COOH), a nitro group (—NO 2 ) and the like.
  • the optionally present substituent is not the same as R 1 to R 3 to be substituted. For example, when R 1 to R 3 are alkyl groups, they are not further substituted with alkyl groups.
  • R 1 , R 2 and R 3 are preferably hydrogen, methyl, ethyl, propyl, isopropyl, butyl, isobutyl, tert-butyl, phenyl, vinyl, 3 -(Triethoxysilyl) propyl group or 3- (trimethoxysilylpropyl) group.
  • n is an integer, and it is preferable that the polysilazane having the structure represented by the general formula (1) has a number average molecular weight of 150 to 150,000 g / mol.
  • one of preferred embodiments is perhydropolysilazane in which all of R 1 , R 2 and R 3 are hydrogen atoms.
  • Polysilazane is commercially available in a solution state dissolved in an organic solvent, and the commercially available product can be used as it is as a coating solution for forming a gas barrier layer.
  • Examples of commercially available polysilazane solutions include AQUAMICA (registered trademark) NN120-10, NN120-20, NAX120-20, NN110, NN310, NN320, NL110A, NL120A, NL120-20, NL150A, and NP110 manufactured by AZ Electronic Materials Co., Ltd. NP140, SP140 and the like.
  • the content of polysilazane in the sealing layer before the modification treatment may be 100% by mass when the total mass of the sealing layer is 100% by mass.
  • the content of polysilazane in the layer is preferably 10% by mass or more and 99% by mass or less, and 40% by mass or more and 95% by mass or less. Is more preferably 70% by mass or more and 95% by mass or less.
  • the sealing layer forming coating solution preferably contains an aluminum compound from the viewpoint of improving the heat resistance of the sealing layer.
  • the aluminum compound include aluminum trimethoxide and aluminum triethoxide.
  • Specific examples of commercially available products include AMD (aluminum diisopropylate monosec-butyrate), ASBD (aluminum secondary butyrate), ALCH (aluminum ethyl acetoacetate diisopropylate) and the like.
  • the content in the coating liquid for forming the sealing layer is preferably 0.1 to 10% by mass, and more preferably 1 to 5% by mass.
  • a silicon alkoxide-added polysilazane obtained by reacting a silicon alkoxide with a polysilazane having a main skeleton composed of a unit represented by the general formula (1) for example, JP-A-5-238827
  • a glycidol-added polysilazane obtained by reacting glycidol for example, see JP-A-6-122852
  • an alcohol-added polysilazane obtained by reacting an alcohol for example, JP-A No. Hei.
  • a metal carboxylate-added polysilazane obtained by reacting a metal carboxylate (for example, see JP-A-6-299118), and a metal-containing acetylacetonate complex.
  • Obtained acetylacetonate complex-added polysila Down e.g., JP-A-6-306329 JP reference.
  • Fine metal particles of the metal particles added polysilazane obtained by adding e.g., JP-A-7-196986 JP reference.
  • the intermediate layer and the sealing layer are preferably produced by a wet forming method or an ink jet printing method.
  • wet forming methods other than the ink jet printing method applicable to the present invention include spin coating methods, casting methods, screen printing methods, die coating methods, blade coating methods, roll coating methods, spray coating methods, curtain coating methods, LB methods ( Langmuir-Blodgett method), dispenser, and the like. From the viewpoint of obtaining a uniform thin film and high productivity, a die coating method, a roll coating method, a spray coating method, and the like are preferable.
  • Discharge methods include electro-mechanical conversion methods (eg, single cavity type, double cavity type, bender type, piston type, shear mode type, shared wall type, etc.), and electro-thermal conversion methods (eg, thermal Specific examples include an ink jet type, a bubble jet (registered trademark) type, an electrostatic suction type (for example, an electric field control type, a slit jet type, etc.), and a discharge type (for example, a spark jet type).
  • any discharge method may be used.
  • a serial head method, a line head method, or the like can be used without limitation.
  • the volume of ink droplets ejected from the inkjet head is preferably in the range of 0.5 to 100 pL.
  • the range of 2 to 20 pL is more preferable from the viewpoint of less application unevenness of the formation layer and high printing speed.
  • the volume of the ink droplet can be appropriately adjusted to a desired condition by adjusting the applied voltage or the like.
  • Printing methods based on the ink jet printing method include a one-pass printing method and a multi-pass printing method.
  • the one-pass printing method is a method in which a plurality of inkjet heads are fixedly arranged in a predetermined printing area and printed by one head scan.
  • the multi-pass printing method (also referred to as a serial printing method) is a method for printing a predetermined printing area by a plurality of head scans.
  • a wide head in which nozzles are arranged in parallel over the width of a desired coating pattern.
  • a wide head having at least the width of each coating pattern may be used.
  • FIG. 2 is a schematic view showing an example of a method for forming an intermediate layer or a sealing layer using an inkjet printing method of a one-pass printing method.
  • FIG. 2 shows a gas barrier layer, a first electrode, an organic functional layer group, and a second electrode, which are formed on a flexible base material F and constitute an organic EL element, using an ink jet printer equipped with an ink jet head 30.
  • An example of a method for forming a plurality of independent organic EL elements EL by sequentially ejecting ink containing each forming material of the intermediate layer or the sealing layer is shown.
  • the ink containing the intermediate layer or the sealing layer forming material is sequentially ejected as ink droplets by the ink jet head 30 to form the organic EL element EL. Form.
  • the inkjet head 30 applicable to the manufacturing method of the present invention is not particularly limited.
  • the inkjet head 30 includes a diaphragm having a piezoelectric element in the ink pressure chamber, and ink is changed by the pressure change in the ink pressure chamber by the diaphragm.
  • a shear mode type (piezo-type) head that discharges the liquid may be used, or a heat generating element may be used, and the ink liquid is discharged from the nozzle by a sudden volume change due to film boiling of the ink liquid due to the heat energy from the heat generating element. It may be a thermal type head.
  • the ink jet head 30 is connected to an ink supply mechanism for ejecting ink.
  • the ink liquid is supplied by the tank 38A.
  • the tank liquid level is made constant so that the ink liquid pressure in the ink jet head 30 is always kept constant.
  • the ink liquid is overflowed from the tank 38A and returned to the tank 38B under a natural flow.
  • the ink liquid is supplied from the tank 38B to the tank 38A by the pump 31, and is controlled so that the liquid level of the tank 38A is stably constant according to the ejection conditions.
  • the ink liquid is returned from the pump 31 to the tank 38A through the filter 32.
  • the filter medium having an absolute filtration accuracy or semi-absolute filtration accuracy of 0.05 to 50 ⁇ m at least once.
  • the ink liquid from the tank 36 and the cleaning solvent from the tank 37 can be forcibly supplied to the inkjet head 30 by the pump 39.
  • tank pumps may be divided into a plurality of parts for the ink jet head 30, pipe branches may be used, or a combination thereof may be used. In FIG. 2, a pipe branch 13 is used.
  • the ink liquid is forcibly sent from the tank 36 to the ink jet 30 by the pump 39, and the ink liquid is extracted from the air vent pipe described below to the waste liquid tank 34. May be sent.
  • FIG. 3 is a schematic external view showing an example of the structure of an inkjet head applicable to the inkjet printing method.
  • FIG. 3A is a schematic perspective view showing an inkjet head 30 applicable to the present invention
  • FIG. 3B is a bottom view of the inkjet head 30.
  • An inkjet head 30 applicable to the present invention is mounted on an inkjet printer (not shown), a head chip that ejects ink from a nozzle, a wiring board on which the head chip is disposed, and the wiring board. And a drive circuit board connected via a flexible board, a manifold for introducing ink into the channel of the head chip via a filter, a casing 56 containing the manifold inside, and a bottom opening of the casing 56 A cap receiving plate 57 attached so as to close, first and second joints 81a and 81b attached to the first ink port and the second ink port of the manifold, and a third attached to the third ink port of the manifold. A joint 82 and a cover member 59 attached to the housing 56 are provided. Further, attachment holes 68 for attaching the casing 56 to the printer main body are formed. Reference numerals 641, 651, 661, and 671 denote recessed portions for attachment.
  • the cap receiving plate 57 shown in FIG. 3B is formed in a substantially rectangular plate shape whose outer shape is long in the left-right direction, corresponding to the shape of the cap receiving plate mounting portion 62, and a plurality of nozzles are formed in the substantially central portion. In order to expose the arranged nozzle plate 61, a nozzle opening 71 that is long in the left-right direction is provided.
  • FIG. 2 described in JP 2012-140017 A can be referred to.
  • FIG. 3 shows a representative example of an ink jet head.
  • JP 2012-140017 A JP 2013-010227 A, JP 2014-058171 A, and JP 2014-097664 A. Gazette, JP-A-2015-14279, JP-A-2015-142980, JP-A-2016-002675, JP-A-2016-002682, JP-A-2016-107401, JP-A-2017-109476,
  • An ink jet head having a configuration described in Japanese Patent Application Laid-Open No. 2017-177626 can be appropriately selected and applied.
  • the sealing layer according to the present invention includes polysilazane and a modified product thereof, and can be obtained, for example, by modifying polysilazane in the polysilazane-containing sealing layer formed by the inkjet printing method.
  • the modification treatment refers to a reaction that converts part or all of polysilazane into silicon oxide or silicon oxynitride.
  • the reforming treatment is preferably performed by the vacuum ultraviolet irradiation treatment described in the above-described method for modifying the intermediate layer.
  • Si—H bonds and N—H bonds in perhydropolysilazane are relatively easily cleaved by excitation with vacuum ultraviolet irradiation and the like. It is considered that they are recombined as N (a dangling bond of Si may be formed). That is, it is cured as a SiN 2 composition without being oxidized. In this case, the polymer main chain is not broken. The breaking of Si—H bonds and N—H bonds is promoted by the presence of a catalyst and heating. The cut H is released out of the membrane as H 2 .
  • Si—O—Si Bonds by Hydrolysis / Dehydration Condensation Si—N bonds in perhydropolysilazane are hydrolyzed by water, and the polymer main chain is cleaved to form Si—OH.
  • Two Si—OH are dehydrated and condensed to form a Si—O—Si bond and harden. This is a reaction that occurs even in the air, but during vacuum ultraviolet irradiation in an inert atmosphere, water vapor generated from the base material by the heat of irradiation is considered to be the main moisture source.
  • Si—OH that cannot be dehydrated and condensed remains, and a cured film having a low gas barrier property represented by a composition of SiO 2.1 to 2.3 is obtained.
  • Adjustment of the composition of silicon oxynitride in the layer obtained by subjecting the polysilazane-containing layer to vacuum ultraviolet irradiation can be performed by appropriately controlling the oxidation state by appropriately combining the oxidation mechanisms (1) to (4) described above. .
  • the modification of polysilazane is limited by the ultraviolet intensity of the lamp, irradiation time, temperature conditions during irradiation, etc. in normal production, and even if the reactions (1) to (4) above occur, the polysilazane in the layer Therefore, it is difficult to convert all of the polysilazane. Therefore, in the modification process of polysilazane on a production basis, unmodified polysilazane often remains within a range of several percent.
  • conditions such as illuminance, irradiation energy amount, selection of light source, oxygen concentration at the time of irradiation, and heat treatment are the conditions of the above-mentioned intermediate layer of vacuum ultraviolet irradiation. Conditions can be used as appropriate.
  • the sealing layer and the intermediate layer according to the present invention are preferably applied as a sealing layer of the organic photoelectric conversion element.
  • the intermediate layer and the sealing layer of the present invention are omitted, but the entire element is covered with the intermediate layer and the sealing layer as in the above-described organic EL element.
  • FIG. 4 is a cross-sectional view showing an example of a solar cell having a single configuration (a configuration having one bulk heterojunction layer) composed of a bulk heterojunction type organic photoelectric conversion element.
  • a bulk heterojunction type organic photoelectric conversion element 200 includes a transparent electrode (anode) 202, a hole transport layer 207, a bulk heterojunction layer photoelectric conversion unit 204, an electron transport layer (or an electron transport layer) on one surface of a substrate 201. Also referred to as a buffer layer, 208) and a counter electrode (cathode) 203 are sequentially stacked.
  • the substrate 201 is a member that holds the transparent electrode 202, the photoelectric conversion unit 204, and the counter electrode 203 that are sequentially stacked. In the present embodiment, since light that is photoelectrically converted enters from the substrate 201 side, the substrate 201 can transmit the light that is photoelectrically converted, that is, with respect to the wavelength of the light to be photoelectrically converted. A transparent member is preferred.
  • the substrate 201 for example, a glass substrate or a resin substrate is used.
  • the substrate 201 is not essential.
  • the bulk heterojunction organic photoelectric conversion element 200 may be configured by forming the transparent electrode 202 and the counter electrode 203 on both surfaces of the photoelectric conversion unit 204.
  • the photoelectric conversion unit 204 is a layer that converts light energy into electrical energy, and includes a bulk heterojunction layer in which a p-type semiconductor material and an n-type semiconductor material are uniformly mixed.
  • the p-type semiconductor material functions relatively as an electron donor (donor)
  • the n-type semiconductor material functions relatively as an electron acceptor (acceptor).
  • the electron donor and the electron acceptor are “an electron donor in which, when light is absorbed, electrons move from the electron donor to the electron acceptor to form a hole-electron pair (charge separation state)”.
  • an electron acceptor which don't just donate or accept electrons like an electrode, but donates or accepts electrons by photoreaction.
  • FIG. 4 light incident from the transparent electrode 202 through the substrate 201 is absorbed by the electron acceptor or electron donor in the bulk heterojunction layer of the photoelectric conversion unit 204, and electrons move from the electron donor to the electron acceptor. Thus, a hole-electron pair (charge separation state) is formed.
  • the generated electric charge is caused by an internal electric field, for example, when the work functions of the transparent electrode 202 and the counter electrode 203 are different, the electrons pass between the electron acceptors and the holes are electron donors due to the potential difference between the transparent electrode 202 and the counter electrode 203.
  • the photocurrent is detected by passing through different electrodes.
  • the transport direction of electrons and holes can be controlled.
  • a hole blocking layer such as a hole blocking layer, an electron blocking layer, an electron injection layer, a hole injection layer, or a smoothing layer may be included.
  • tandem configuration (a configuration having a plurality of bulk heterojunction layers) in which such photoelectric conversion elements are stacked may be used for the purpose of further improving the sunlight utilization rate (photoelectric conversion efficiency).
  • FIG. 5 is a cross-sectional view showing a solar cell composed of an organic photoelectric conversion element having a tandem bulk heterojunction layer.
  • the transparent electrode 202 and the first photoelectric conversion unit 209 are sequentially stacked on the substrate 201, the charge recombination layer (intermediate electrode) 205 is stacked, and then the second photoelectric conversion unit 206, Next, by stacking the counter electrode 203, a tandem structure can be obtained.
  • Examples of materials that can be used for the layer as described above include n-type semiconductor materials and p-type semiconductor materials described in paragraphs 0045 to 0113 of JP-A-2015-149483.
  • the electrodes constituting the organic photoelectric conversion element it is preferable to use the anode and the cathode described in the above-mentioned organic EL element.
  • the organic photoelectric conversion element positive charges and negative charges generated in the bulk heterojunction layer are respectively extracted from the transparent electrode and the counter electrode via the p-type organic semiconductor material and the n-type organic semiconductor material, respectively. It functions as a battery.
  • Each electrode is required to have characteristics suitable for carriers passing through the electrode.
  • the organic photoelectric conversion element has a hole transport layer / electron block layer in between the bulk heterojunction layer and the transparent electrode because it is possible to more efficiently extract charges generated in the bulk heterojunction layer. It is preferable.
  • PEDOT such as Clevios manufactured by Heraeus, polyaniline and its doped material, cyan compounds described in WO2006 / 019270, and the like can be used.
  • the organic photoelectric conversion device can extract charges generated in the bulk heterojunction layer more efficiently by forming an electron transport layer, hole blocking layer, and buffer layer between the bulk heterojunction layer and the counter electrode. Therefore, it is preferable to have these layers.
  • the organic photoelectric conversion element may have various optical function layers for the purpose of more efficiently receiving sunlight.
  • the optical functional layer for example, a light condensing layer such as an antireflection film or a microlens array, or a light diffusing layer that can scatter the light reflected by the counter electrode and enter the bulk heterojunction layer again can be provided. Good.
  • FIG. 6 is a schematic sectional view showing the configuration of the organic thin film transistor.
  • the sealing layer and the intermediate layer according to the present invention are preferably applied as a sealing layer of an organic thin film transistor.
  • the intermediate layer and the sealing layer according to the present invention are omitted, the entire element is covered with the intermediate layer and the sealing layer in the same manner as the organic EL element described above.
  • a source electrode 302 and a drain electrode 303 are formed on a support 306 by a metal foil or the like, and 6,13-bistriisopropyl is used as an organic semiconductor material described in the reissue table 2009/101862 between both electrodes.
  • a field effect transistor is formed by forming a charge transfer thin film (organic semiconductor layer) 301 made of silylethynylpentacene, forming an insulating layer 305 thereon, and further forming a gate electrode 304 thereon.
  • FIG. 6B shows the organic semiconductor layer 301 formed between the electrodes in FIG. 6A so as to cover the entire surface of the electrode and the support using a coating method or the like.
  • FIG. 6C shows a structure in which an organic semiconductor layer 301 is first formed on a support 306 by using a coating method or the like, and then a source electrode 302, a drain electrode 303, an insulating layer 305, and a gate electrode 304 are formed.
  • the gate electrode 304 after forming the gate electrode 304 with a metal foil or the like over the support 306, the insulating layer 305 is formed, and the source electrode 302 and the drain electrode 303 are formed with the metal foil or the like on the insulating layer 305. Then, an organic semiconductor layer 301 formed of the light emitting composition of the present invention is formed.
  • Example 1 Using the following solventless polymer as the material of the intermediate layer, ⁇ UV curable fluorine resin Defensor OP-3801 (manufactured by DIC) The above UV curable resin was spin-coated on a silicon wafer with a layer thickness of 200 nm and irradiated with UV: 365 nm for 1 minute, and subjected to the modification treatment of the intermediate layer surface described in Table I A measurement sample was obtained.
  • the reforming treatment conditions are as follows.
  • Flash firing process Using a xenon flash lamp 2400WS (made by COMET) equipped with a short wavelength cut filter of 250 nm or less, an oxygen concentration of 0.002% by volume and a water vapor concentration of 0.002% by volume (oxygen-containing substance concentration of 0.004% by volume). Under the atmosphere, flash processing was performed by irradiating flash light having a total light irradiation energy of 2 J / cm 2 with an irradiation time of 2 milliseconds.
  • Plasma ion implantation process Using a plasma ion implantation apparatus (RF power supply: manufactured by JEOL Ltd., RF56000, high voltage pulse power supply: Kurita Seisakusho Co., Ltd., PV-3-HSHV-0835), 2J was applied to the surface of the obtained intermediate layer. Plasma ion implantation was performed under the conditions of / cm 2 .
  • RF power supply manufactured by JEOL Ltd., RF56000
  • high voltage pulse power supply Kurita Seisakusho Co., Ltd., PV-3-HSHV-0835
  • the carbon component ratio at the intermediate layer surface depth of 0 to 70 nm is 12 at% on average, and the carbon component ratio at the surface depth of 70 to 200 nm is 30 at% on average, with a thickness of 70 nm from the intermediate layer surface. It was found that it was modified. In the present invention, it is defined as a modified layer that the carbon component ratio is lower than that of a normal layer. Since the carbon component is decomposed and volatilized by high energy irradiation, it is generally said that the lower the carbon component, the denser the film.
  • the flash firing treatment and the plasma ion implantation treatment were modified, but the degree of modification was weak.
  • ⁇ Measurement of contact angle> The contact angle of pure water on the surface of the intermediate layer was measured using a contact angle meter (trade name DropMaster DM100, manufactured by Kyowa Interface Science Co., Ltd.) in an atmosphere of 23 ° C. and 55% RH based on JIS-R3257. Then, 1 ⁇ L of pure water was dropped and the contact angle after 1 minute was measured. In addition, the measurement measured 10 points
  • Example 2 The sample was spin-coated on the silicon wafer in the same manner as in Example 1 so that the intermediate layer had a thickness of 200 nm, irradiated with UV: 365 nm for 1 minute, and subjected to each surface modification treatment.
  • a coating solution containing PHPS is spin-coated on the intermediate layer to a thickness of 500 nm, dried on a hot plate at 80 ° C. for 1 minute, and then subjected to a VUV surface modification treatment under conditions of 6 J / cm 2 and sealed. Layered.
  • the coating solution containing PHPS includes a dibutyl ether solution containing 20% by mass of PHPS (manufactured by AZ Electronic Materials Co., Ltd., NN120-20) and an amine catalyst (N, N, N ′, N′-tetramethyl- Mix with a 20% by weight dibutyl ether solution (manufactured by AZ Electronic Materials Co., Ltd., NAX120-20) containing 1,6-diaminohexane (TMDAH) at a ratio of 4: 1 (mass ratio) and further dry.
  • a coating solution was prepared by appropriately diluting with dibutyl ether solution containing 20% by mass of PHPS (manufactured by AZ Electronic Materials Co., Ltd., NN120-20) and an amine catalyst (N, N, N ′, N′-tetramethyl- Mix with a 20% by weight dibutyl ether solution (manufactured by AZ Electronic Materials Co., Ltd., NAX120-20) containing 1,6-
  • the cellophane tape was strongly pressure-bonded to the grid area, and the end of the tape was peeled off at an angle of 45 °, and the condition of the grid pattern between the intermediate layer and the PHPS layer was evaluated by comparison with the standard diagram (FIG. 7). did.
  • Example 3 (Production of organic EL element) A glass substrate on which 100 nm of ITO (indium tin oxide) was formed as an anode was ultrasonically cleaned with isopropyl alcohol, dried with dry nitrogen gas and UV ozone cleaned, and fixed to a substrate holder of a vacuum deposition apparatus.
  • ITO indium tin oxide
  • HAT-CN (1, 4, 5, 8, 9, 12-hexaazatriphenylenehexacarbonitrile) was deposited to a thickness of 10 nm to provide a hole injection transport layer.
  • ⁇ -NPD 4,4′-bis [N- (1-naphthyl) -N-phenylamino] biphenyl
  • MCP (1,3-bis (N-carbazolyl) benzene) as the host material and FIrpic (Bis [2- (4,6-difluorophenyl) pyridinato-C2, N] (picolinato) iridium (III)) as the luminescent compound
  • FIrpic Bis [2- (4,6-difluorophenyl) pyridinato-C2, N] (picolinato) iridium (III)
  • BCP 2,9-dimethyl-4,7-diphenyl-1,10-phenanthroline
  • the intermediate layer was formed by spin coating on the cathode with a layer thickness of 200 nm, irradiated with UV: 365 nm for 1 minute, subjected to each surface modification treatment of Example 1, and then Example 2 Similarly to the above, a coating solution containing PHPS as a sealing layer was formed by spin coating on the intermediate layer with a layer thickness of 500 nm.
  • the non-light-emitting surface of the organic EL element is covered with a glass case, and a glass substrate having a thickness of 300 ⁇ m is used as a sealing substrate, and an epoxy-based photocurable adhesive (LUX The track LC0629B) was applied, and this was overlaid on the cathode and brought into close contact with the transparent support substrate, irradiated with UV from the glass substrate side, cured, and sealed in a glass can. This is to block the influence of humidity and gas from the outside on the intermediate layer and the sealing layer of the organic EL element produced as described above, and to clarify the effect of forming the intermediate layer and the sealing layer according to the present invention.
  • a gas barrier film was laminated on the sealing layer instead of sealing the glass can.
  • a gas barrier film (denoted as a barrier film in the table) was prepared and used in the following procedure.
  • Gas barrier film An inorganic gas barrier layer made of SiO x is formed on the entire surface of a polyethylene naphthalate film (manufactured by Teijin Film Solutions Co., Ltd.) using an atmospheric pressure plasma discharge treatment apparatus having a structure described in Japanese Patent Application Laid-Open No. 2004-68143. It formed so that it might become 500 nm. Thus, a flexible gas barrier film having a gas barrier property with an oxygen permeability of 0.001 mL / (m 2 ⁇ 24 h ⁇ atm) or less and a water vapor permeability of 0.001 g / (m 2 ⁇ 24 h) or less was produced. .
  • thermosetting liquid adhesive epoxy resin
  • a thermosetting liquid adhesive epoxy resin having a thickness of 25 ⁇ m was formed as a sealing resin layer on one side of the gas barrier film. Then, the gas barrier film provided with this sealing resin layer was superposed on the intermediate layer or the element coated with the PHPS coating solution. At this time, the sealing resin layer forming surface of the gas barrier film was continuously overlaid on the sealing surface side of the organic EL element so that the ends of the anode and cathode extraction portions were exposed.
  • the sample to which the gas barrier film was bonded was placed in a decompression apparatus, and pressed at 90 ° C. under a decompression condition of 0.1 MPa and held for 5 minutes. Subsequently, the sample was returned to the atmospheric pressure environment and further heated at 90 ° C. for 30 minutes to cure the adhesive.
  • the sealing process is performed under atmospheric pressure and in a nitrogen atmosphere with a water content of 1 ppm or less in accordance with JIS B 9920.
  • the measured cleanliness is class 100, the dew point temperature is ⁇ 80 ° C. or less, and the oxygen concentration is 0.8 volume. It was carried out at atmospheric pressure below ppm.
  • ⁇ Evaluation> The light emission state after being left for 1 week at 60 ° C. and 90% RH was observed, and the sealing performance was evaluated. Specifically, a part of the light emitting portion of the organic EL element was photographed with a 100 ⁇ optical microscope (Mortex Co., Ltd. MS-804, lens MP-ZE25-200). Next, the captured image was cut out in a 2 mm square, and the presence or absence of dark spots was observed for each image. From the observation results, the ratio of the dark spot generation area to the light emission area was determined, and the dark spot resistance was evaluated according to the following criteria.
  • the area where dark spots are generated is less than 0.1% 4: The area where dark spots are generated is 0.1% or more and less than 1.0% 3: The area where dark spots are generated is 1.0 % And less than 3.0% 2: Dark spot generation area is 3.0% and less than 6.0% 1: Dark spot generation area is 6.0% and more
  • VUV applied to the intermediate layer was the most effective for preventing solvent penetration of the upper layer (see Examples Nos. 102 to 107 and 109).
  • the one provided with the intermediate layer acts as a cushion layer (stress relaxation layer) and has an effect of suppressing damage to the organic EL element (No. 108 as a reference example and Examples). No. 109).
  • Example 4 In the same manner as in Example 3, an organic EL element was produced.
  • OP-3801 was spin-coated with a thickness of 200 nm on the cathode as an intermediate layer and dried to form an intermediate layer. Drying conditions were 120 ° C. and 20 minutes.
  • a coating solution containing PHPS as a sealing layer is spin-coated on the intermediate layer with a layer thickness of 500 nm, and a hot plate at 80 ° C. For 1 minute.
  • the area where dark spots are generated is less than 0.1% 4: The area where dark spots are generated is 0.1% or more and less than 1.0% 3: The area where dark spots are generated is 1.0 % And less than 3.0% 2: Dark spot generation area is 3.0% and less than 6.0% 1: Dark spot generation area is 6.0% and more
  • Example 5 In the same manner as in Example 3, an organic EL element was produced.
  • OP-3801 was spin-coated with a thickness of 200 nm on the cathode as an intermediate layer and dried to form an intermediate layer. Drying conditions were 120 ° C. and 20 minutes.
  • a coating solution containing PHPS as a sealing layer is spin-coated on the intermediate layer with a layer thickness of 500 nm, and a hot plate at 80 ° C. For 1 minute.
  • ⁇ Evaluation> The light emitting state after being left for 4 days at 60 ° C. and 90% RH was observed, and the sealing performance was evaluated. Specifically, a part of the light emitting portion of the organic EL element was photographed with a 100 ⁇ optical microscope (Mortex Co., Ltd. MS-804, lens MP-ZE25-200). Next, the captured image was cut out in a 2 mm square, and the presence or absence of dark spots was observed for each image. From the observation results, the ratio of the dark spot generation area to the light emission area was determined, and the dark spot resistance was evaluated according to the following criteria.
  • the area where dark spots are generated is less than 0.1% 4: The area where dark spots are generated is 0.1% or more and less than 1.0% 3: The area where dark spots are generated is 1.0 % And less than 3.0% 2: Dark spot generation area is 3.0% and less than 6.0% 1: Dark spot generation area is 6.0% and more
  • Example 6 the sealing property of the lighting device (and element) that emits blue fluorescent light, which was manufactured by the inkjet printing method, was confirmed.
  • ITO indium tin oxide
  • the base material on which the hole injection layer is formed is transferred to a nitrogen atmosphere using nitrogen gas (grade G1), and is applied by an inkjet printing method using a coating liquid for forming a hole transport layer having the following composition. And dried at 150 ° C. for 30 minutes to form a hole transport layer having a layer thickness of 30 nm.
  • nitrogen gas grade G1
  • ⁇ Light emitting layer forming coating solution> Host compound H-4 9 parts by weight Metal complex CD-2 1 part by weight Fluorescent material F-1 0.1 part by weight Normal butyl acetate 2000 parts by weight (formation of block layer)
  • the base material on which the light emitting layer was formed was applied by an ink jet printing method using a coating solution for forming a block layer having the following composition, and dried at 80 ° C. for 30 minutes to form a block layer having a layer thickness of 10 nm.
  • IPA Isopropyl alcohol
  • the substrate on which the block layer is formed is applied by an ink jet printing method using an electron transport layer forming coating solution having the following composition, and dried at 80 ° C. for 30 minutes to form an electron transport layer having a layer thickness of 30 nm. did.
  • ⁇ Coating liquid for electron transport layer formation > ET-1 6 parts by mass 2,2,3,3-tetrafluoro-1-propanol 2000 parts by mass (formation of electron injection layer and cathode) Subsequently, the substrate was attached to a vacuum deposition apparatus without being exposed to the atmosphere. Moreover, what put sodium fluoride and potassium fluoride in the resistance heating boat made from molybdenum was attached to the vacuum evaporation system, and the vacuum tank was pressure-reduced to 4x10 ⁇ -5 > Pa. Thereafter, the boat was energized and heated, and sodium fluoride was deposited on the electron transport layer at 0.02 nm / second to form a thin film having a thickness of 1 nm. Similarly, potassium fluoride was vapor-deposited on the sodium fluoride thin film at 0.02 nm / second to form an electron injection layer having a layer thickness of 1.5 nm.
  • OP-3801 was spin-coated with a thickness of 200 nm on the cathode as an intermediate layer and dried to form an intermediate layer. Drying conditions were 120 ° C. and 20 minutes.
  • a coating solution containing PHPS as a sealing layer is spin-coated on the intermediate layer with a layer thickness of 500 nm, and a hot plate at 80 ° C. For 1 minute, and then irradiated with 6 J / cm 2 of VUV.
  • the organic EL device with the intermediate layer and the sealing layer laminated has a greater resistance to dark spots when left at 60 ° C. and 90% RH for one week than the organic EL device without the intermediate layer. It was improved.
  • a lighting device manufactured by the inkjet printing method can obtain high sealing performance by the intermediate layer and the sealing layer formed by the coating film formation according to the present invention.
  • Example 7 On the cathode of the organic EL device produced in Example 6, the above-mentioned OP-3801 was spin-coated as an intermediate layer with a layer thickness of 200 nm, and irradiated with UV: 365 nm for 1 minute and dried to form an intermediate layer.
  • the drying condition was 120 ° C. for 20 minutes, and the reforming treatment was performed with and without irradiation at 1 J / cm 2 of the cumulative amount of vacuum ultraviolet light (VUV) as shown in Table VI.
  • VUV vacuum ultraviolet light
  • the modification process is performed with or without irradiation with an integrated amount of ultraviolet (UV) light of 2 J / cm 2. did.
  • a coating solution containing PHPS is spin-coated on the organometallic oxide layer with a layer thickness of 500 nm, heated on a hot plate at 80 ° C. for 1 minute, and then subjected to 6 V of vacuum ultraviolet (VUV). / Cm 2 irradiation to perform the modification treatment, the organic EL element No. 401 to 403 were produced.
  • TFPO Exemplified Compound F-1
  • Si (OEt) 4 tetraethoxide silane
  • Example 8 An organic thin-film solar cell (organic photoelectric conversion element) was produced using the intermediate layer and the sealing layer formed by the coating film formation of the present invention.
  • a glass substrate on which 100 nm of ITO (Indium Tin Oxide) was formed as an anode was ultrasonically cleaned with isopropyl alcohol, dried with dry nitrogen gas and UV ozone cleaned, and fixed to a substrate holder of a vacuum deposition apparatus.
  • ITO Indium Tin Oxide
  • CuPC copper phthalocyanine
  • anthra 9, 1, 2-c, d, e: 10, 5, 6-c are formed on the anode.
  • a bulk heterojunction layer was provided in thickness.
  • OP-3801 as an intermediate layer was applied and formed on the cathode at a layer thickness of 200 nm by an ink jet printing method, and dried to form an intermediate layer. Drying conditions were 120 ° C. and 20 minutes.
  • a coating solution containing PHPS as a sealing layer is formed by spin coating on the intermediate layer with a layer thickness of 500 nm, and a hot plate at 80 ° C. After heating for 1 minute, VUV was irradiated at 6 J / cm 2 to produce an organic photoelectric conversion element.
  • Example 9 An organic thin film transistor was produced using the intermediate layer and the sealing layer formed by the coating film formation of the present invention.
  • a source electrode 302 and a drain electrode 303 are formed on a support 306 with a metal foil or the like, and 6,13-bistriisopropyl is used as an organic semiconductor material described in Table 2009/101862 between both electrodes.
  • An organic semiconductor layer having a thickness of about 30 nm is formed as a charge transfer thin film (organic semiconductor layer) 301 made of silylethynylpentacene, an insulating layer 305 is formed thereon, and a gate electrode 304 is further formed thereon to form an organic thin film transistor Was made.
  • OP-3801 was spin-coated with a thickness of 200 nm as an intermediate layer on the insulating layer 305 and the gate electrode 304, and dried to form an intermediate layer. Drying conditions were 120 ° C. and 20 minutes.
  • a coating solution containing PHPS as a sealing layer is formed by spin coating on the intermediate layer with a layer thickness of 500 nm, and a hot plate at 80 ° C. After heating for 1 minute, VUV was irradiated at 6 J / cm 2 to produce an organic thin film transistor.
  • the electronic device of the present invention is an electronic device in which penetration of a solvent used for forming a sealing layer into an organic functional layer is suppressed, a light emitting functional failure is prevented, and adhesion between the organic functional layer and the sealing layer is excellent. Therefore, it is suitable for an electronic device having a sealing layer such as an organic EL element, a solar battery having an organic photoelectric conversion element, and an organic thin film transistor.

Abstract

The present invention addresses the problem of providing: an electronic device which is provided with at least an organic functional layer and a sealing layer, and which is suppressed in permeation of a solvent that is used during the formation of the sealing layer into the organic functional layer, thereby preventing light emission dysfunction (for example, dark spots), and which exhibits excellent adhesion between the organic functional layer and the sealing layer; and a method for producing this electronic device. An electronic device according to the present invention is provided with at least an organic functional layer and a sealing layer, and is characterized in that: the sealing layer contains a polysilazane and a modified form thereof; and an intermediate layer that contains a photocurable or thermosetting polymer is arranged between the organic functional layer and the sealing layer.

Description

電子デバイス及びその製造方法Electronic device and manufacturing method thereof
 本発明は、電子デバイス及びその製造方法に関する。より詳しくは、少なくとも有機機能層と封止層を具備する電子デバイスであって、当該封止層形成時に用いる溶剤の有機機能層への浸透が抑制され、かつ、有機機能層と封止層の密着性に優れる電子デバイス及びその製造方法に関する。 The present invention relates to an electronic device and a manufacturing method thereof. More specifically, an electronic device comprising at least an organic functional layer and a sealing layer, wherein penetration of the solvent used in forming the sealing layer into the organic functional layer is suppressed, and the organic functional layer and the sealing layer The present invention relates to an electronic device having excellent adhesion and a method for manufacturing the same.
 有機エレクトロルミネッセンス素子(以下、有機EL素子という。)、有機光電変換素子を用いた太陽電池、及び有機薄膜トランジスタ等の電子デバイスのフレキシブル化は、曲面スマートフォンやフリーフォーム化可能な次世代照明・サイネージの普及、軽量で加工適性に優れた太陽電池や薄膜なトランジスタの普及等に向けて大変重要なテーマとなっている。その中でも、例えば、有機EL素子を外気中の水分や酸素から守る封止技術は有機EL素子を作製するための肝の技術と言えるが、フレキシブル化に対応した封止技術は、ガラス基材を用いる缶封止等の方法とは違い技術難易度が高い。 Electronic devices such as organic electroluminescence elements (hereinafter referred to as organic EL elements), solar cells using organic photoelectric conversion elements, and organic thin-film transistors are made flexible by curved smartphones and next-generation lighting and signage that can be made free-form. It has become a very important theme for the spread of solar cells and thin-film transistors that are popular, lightweight and excellent in processability. Among them, for example, a sealing technique for protecting an organic EL element from moisture and oxygen in the outside air can be said to be a liver technique for producing an organic EL element. Unlike methods such as can sealing, the technical difficulty is high.
 従来、封止技術としては、CVD(Chemical Vapor Deposition)法による緻密な無機層を基材上に形成したガスバリアーフィルムや金属箔等を、有機EL素子の有機機能層に接着剤を用いて貼合する方法が用いられてきたが、当該CVD法や貼合操作は、装置・材料コストが高い、生産性が悪い、大量発注に向かない、仕様変更ができないといった種々の問題があり、性能、コスト、及び生産性を満足することができなかった。 Conventionally, as a sealing technique, a gas barrier film, a metal foil, or the like in which a dense inorganic layer is formed on a base material by a CVD (Chemical Vapor Deposition) method is attached to an organic functional layer of an organic EL element using an adhesive. However, the CVD method and the pasting operation have various problems such as high equipment / material costs, poor productivity, unsuitable for mass ordering, and cannot change specifications, performance, Cost and productivity could not be satisfied.
 一方、有機EL素子上へケイ素化合物であるパーヒドロポリシラザン(以下、PHPSという。)を直接塗布する方法が開示されている(例えば、特許文献1~3参照。)。しかしながら、PHPSを直接有機EL素子上に塗布すると溶剤が有機EL素子に浸透して有機機能層が破壊されるため、有機EL素子の発光機能障害(例えば、ダークスポット)が発生するという問題がある。 On the other hand, a method of directly applying perhydropolysilazane (hereinafter referred to as PHPS), which is a silicon compound, onto an organic EL element is disclosed (for example, see Patent Documents 1 to 3). However, when PHPS is directly applied on the organic EL element, the solvent penetrates into the organic EL element and the organic functional layer is destroyed, so that there is a problem that a light emitting functional failure (for example, a dark spot) of the organic EL element occurs. .
 特許文献4では、有機EL素子上に無機酸化物からなる封止層を塗布成膜により形成すること、及び塗布時の有機EL素子への溶剤浸透を防止する溶出防止層の技術が開示されている。しかしながら、当該特許文献には、前記溶出防止層を使用する具体的な実施態様が開示されておらず、当該封止層及び溶出防止層に用いる材料から推定すると、有機EL素子と封止層との密着性に劣るものと考えられる。 Patent Document 4 discloses a technique for forming a sealing layer made of an inorganic oxide on an organic EL element by coating film formation and a technique for an elution preventing layer for preventing solvent penetration into the organic EL element at the time of coating. Yes. However, the patent document does not disclose a specific embodiment using the elution prevention layer, and it is estimated from the materials used for the sealing layer and the elution prevention layer. It is thought that it is inferior to adhesiveness.
特開平11-54266号公報Japanese Patent Laid-Open No. 11-54266 国際公開第2013/125352号International Publication No. 2013/125352 特開2011-238560号公報JP 2011-238560 A 特開2015-225785号公報Japanese Patent Laying-Open No. 2015-225785
 本発明は、上記問題・状況に鑑みてなされたものであり、その解決課題は、少なくとも有機機能層と封止層を具備する電子デバイスであって、当該封止層形成時に用いる溶剤の有機機能層への浸透が抑制され、発光機能障害(例えば、ダークスポット)を防止し、かつ、有機機能層と封止層の密着性に優れる電子デバイス及びその製造方法を提供することである。 The present invention has been made in view of the above-described problems and circumstances, and a solution to the problem is an electronic device including at least an organic functional layer and a sealing layer, and an organic function of a solvent used when the sealing layer is formed It is an object of the present invention to provide an electronic device in which penetration into a layer is suppressed, a light emitting functional disorder (for example, a dark spot) is prevented, and excellent adhesion between an organic functional layer and a sealing layer, and a method for manufacturing the electronic device.
 本発明者は、上記課題を解決すべく、上記問題の原因等について検討する過程において、少なくとも有機機能層と封止層を具備する電子デバイスであって、当該封止層が、ポリシラザンとその改質体を含有し、かつ、前記有機機能層と前記封止層の間に特定のポリマーを含有する中間層が配置されていることによって、当該封止層形成時の電子デバイスへの溶剤の浸透が抑制され、かつ電子デバイス及び封止層間の密着性に優れる電子デバイス及びその製造方法が得られることを見出した。 In order to solve the above-mentioned problems, the present inventor is an electronic device including at least an organic functional layer and a sealing layer in the process of examining the cause of the above-described problem, and the sealing layer includes polysilazane and its modification. And an intermediate layer containing a specific polymer is disposed between the organic functional layer and the sealing layer, so that the solvent penetrates into the electronic device when the sealing layer is formed. It has been found that an electronic device and a method for producing the same can be obtained in which adhesion is suppressed and adhesion between the electronic device and the sealing layer is excellent.
 すなわち、本発明に係る上記課題は、以下の手段により解決される。 That is, the above-mentioned problem according to the present invention is solved by the following means.
 1.少なくとも有機機能層と封止層を具備する電子デバイスであって、
 前記封止層が、ポリシラザンとその改質体を含有し、かつ、前記有機機能層と前記封止層の間に光又は熱硬化型のポリマーを含有する中間層が配置されていることを特徴とする電子デバイス。
1. An electronic device comprising at least an organic functional layer and a sealing layer,
The sealing layer contains polysilazane and a modified product thereof, and an intermediate layer containing a light or thermosetting polymer is disposed between the organic functional layer and the sealing layer. And electronic devices.
 2.前記光又は熱硬化型のポリマーが、無溶剤型のポリマーであることを特徴とする第1項に記載の電子デバイス。 2. 2. The electronic device according to item 1, wherein the photo- or thermosetting polymer is a solvent-free polymer.
 3.前記中間層が、シロキサン系樹脂、アクリル系樹脂又はエポキシ系樹脂を含有することを特徴とする第1項又は第2項に記載の電子デバイス。 3. 3. The electronic device according to claim 1, wherein the intermediate layer contains a siloxane resin, an acrylic resin, or an epoxy resin.
 4.前記中間層が、シロキサン系樹脂を含有することを特徴とする第1項から第3項までのいずれか一項に記載の電子デバイス。 4. The electronic device according to any one of Items 1 to 3, wherein the intermediate layer contains a siloxane-based resin.
 5.前記中間層の前記封止層側表面に、改質層を有することを特徴とする第1項から第4項までのいずれか一項に記載の電子デバイス。 5. The electronic device according to any one of claims 1 to 4, further comprising a modified layer on the surface of the intermediate layer on the sealing layer side.
 6.前記改質層の前記封止層側表面において、温度23℃における純水に対する接触角が、20~100°の範囲内であることを特徴とする第5項に記載の電子デバイス。 6. 6. The electronic device according to claim 5, wherein a contact angle with respect to pure water at a temperature of 23 ° C. is within a range of 20 to 100 ° on the surface of the modified layer on the sealing layer side.
 7.前記改質層の層厚が、1~70nmの範囲内であることを特徴とする第5項又は第6項に記載の電子デバイス。 7. Item 7. The electronic device according to Item 5 or 6, wherein the thickness of the modified layer is in the range of 1 to 70 nm.
 8.前記中間層と封止層の間に、下記一般式(A)で表される構造を有する有機金属酸化物を含有する有機金属酸化物層を有することを特徴とする第1項からから第7項までのいずれか一項に記載の電子デバイス。 8. The organic metal oxide layer containing an organic metal oxide having a structure represented by the following general formula (A) is provided between the intermediate layer and the sealing layer. The electronic device according to any one of the items up to.
 一般式(A) R-[M(OR1y(O-)x-yn-R
(式中、Rは、水素原子、炭素数1個以上のアルキル基、アルケニル基、アリール基、シクロアルキル基、アシル基、アルコキシ基、又は複素環基を表す。ただし、Rは置換基としてフッ素原子を含む炭素鎖でもよい。Mは、金属原子を表す。OR1は、フッ化アルコ
キシ基を表す。xは金属原子の価数、yは1とxの間の任意な整数を表す。nは重縮合度をそれぞれ表す。)
General formula (A) R— [M (OR 1 ) y (O—) xy ] n —R
(In the formula, R represents a hydrogen atom, an alkyl group having 1 or more carbon atoms, an alkenyl group, an aryl group, a cycloalkyl group, an acyl group, an alkoxy group, or a heterocyclic group. However, R represents fluorine as a substituent. It may be a carbon chain containing atoms, M represents a metal atom, OR 1 represents a fluorinated alkoxy group, x represents a valence of the metal atom, and y represents an arbitrary integer between 1 and x. Represents the degree of polycondensation.)
 9.前記Mで表される金属原子が、Si、Ti、Zr、Mg、Ca、Sr、Bi、Hf、Nb、Zn、Al、Pt、Ag及びAuから選択されることを特徴とする第8項に記載の電子デバイス。 9. Item 8. The metal atom represented by M is selected from Si, Ti, Zr, Mg, Ca, Sr, Bi, Hf, Nb, Zn, Al, Pt, Ag, and Au. The electronic device described.
 10.前記有機金属酸化物層が、少なくともゾル・ゲル転移された塗布膜からなることを特徴とする第8項又は第9項に記載の電子デバイス。 10. Item 10. The electronic device according to Item 8 or 9, wherein the organometallic oxide layer is formed of a coating film subjected to at least sol-gel transition.
 11.前記封止層の上に、さらに接着剤を介してガスバリアーフィルムが貼合されていることを特徴とする第1項から第10項までのいずれか一項に記載の電子デバイス。 11. The electronic device according to any one of Items 1 to 10, wherein a gas barrier film is further bonded onto the sealing layer via an adhesive.
 12.前記電子デバイスが、有機エレクトロルミネッセンス素子、有機光電変換素子を用いた太陽電池、又は有機薄膜トランジスタであることを特徴とする第1項から第11項までのいずれか一項に記載の電子デバイス。 12. The electronic device according to any one of Items 1 to 11, wherein the electronic device is an organic electroluminescence element, a solar cell using an organic photoelectric conversion element, or an organic thin film transistor.
 13.第1項から第11項までのいずれか一項に記載の電子デバイスを製造する電子デバイスの製造方法であって、
 前記中間層を有機機能層上に形成する工程、
 前記中間層に紫外線照射処理、フラッシュ焼成処理、大気圧プラズマ処理、プラズマイオン注入処理、又は加熱処理を行う工程、及び、
 前記封止層を前記中間層上に積層形成する工程、
 を含むことを特徴とする電子デバイスの製造方法。
13. An electronic device manufacturing method for manufacturing the electronic device according to any one of Items 1 to 11,
Forming the intermediate layer on the organic functional layer;
A step of performing ultraviolet irradiation treatment, flash baking treatment, atmospheric pressure plasma treatment, plasma ion implantation treatment, or heat treatment on the intermediate layer; and
A step of laminating and forming the sealing layer on the intermediate layer;
The manufacturing method of the electronic device characterized by the above-mentioned.
 14.前記中間層に紫外線照射処理、フラッシュ焼成処理、大気圧プラズマ処理、プラズマイオン注入処理、又は加熱処理を行う工程により、前記中間層表面に改質層を形成し、かつ、当該改質層表面において温度23℃における水に対する接触角を、20~100°の範囲内にすることを特徴とする第13項に記載の電子デバイスの製造方法。 14. A modified layer is formed on the surface of the intermediate layer by a process of performing ultraviolet irradiation treatment, flash baking treatment, atmospheric pressure plasma treatment, plasma ion implantation treatment, or heat treatment on the intermediate layer, and 14. The method for manufacturing an electronic device according to item 13, wherein the contact angle with water at a temperature of 23 ° C. is in the range of 20 to 100 °.
 15.前記中間層の形成を、インクジェットプリント法で行うことを特徴とする第13項又は第14項に記載の電子デバイスの製造方法。 15. Item 15. The method for manufacturing an electronic device according to Item 13 or 14, wherein the intermediate layer is formed by an inkjet printing method.
 16.前記封止層をインクジェットプリント法によって形成し、次いで真空紫外線照射処理を行うことを特徴とする第13項から第15項までのいずれか一項に記載の電子デバイスの製造方法。 16. Item 16. The method for manufacturing an electronic device according to any one of Items 13 to 15, wherein the sealing layer is formed by an inkjet printing method, and then vacuum ultraviolet irradiation treatment is performed.
 本発明の上記手段により、少なくとも有機機能層と封止層を具備する電子デバイスであって、当該封止層形成時に用いる溶剤の有機機能層への浸透が抑制され、発光機能障害(例えば、ダークスポット)を防止し、かつ、有機機能層と封止層の密着性に優れる電子デバイス及びその製造方法を提供することができる。 By the above means of the present invention, an electronic device having at least an organic functional layer and a sealing layer, the penetration of the solvent used at the time of forming the sealing layer into the organic functional layer is suppressed, and the light emitting functional disorder (for example, dark It is possible to provide an electronic device that can prevent (spot) and has excellent adhesion between the organic functional layer and the sealing layer, and a method for manufacturing the electronic device.
 本発明の効果の発現機構又は作用機構については、明確にはなっていないが、以下のように推察している。 The expression mechanism or action mechanism of the effect of the present invention is not clear, but is presumed as follows.
 有機EL素子上にケイ素化合物であるポリシラザンをスプレー塗布法など直接塗布する技術(前述の特許文献1~3)では、どの技術も有機機能層への溶剤の浸透が懸念され、また、ポリシラザンの材料自体のダメージがあり、十分な封止性能が得られていない。 In the technique of directly applying polysilazane, which is a silicon compound, onto an organic EL element (such as the above-mentioned Patent Documents 1 to 3), there is a concern about the penetration of the solvent into the organic functional layer. There is damage to itself, and sufficient sealing performance is not obtained.
 また、有機EL素子上に無機酸化物からなる封止層を塗布成膜により作製し、かつ、有機EL素子と封止層の間に溶出防止機能を担う樹脂膜を形成している技術(特許文献4参照。)では、封止層及び溶出防止層に用いる材料から、有機EL素子と封止層との密着性に劣るものと考えられる。 In addition, a technology in which a sealing layer made of an inorganic oxide is formed on an organic EL element by coating and a resin film having an elution preventing function is formed between the organic EL element and the sealing layer (patent) In the literature 4, it is considered that the adhesion between the organic EL element and the sealing layer is inferior to the materials used for the sealing layer and the elution preventing layer.
 本発明は、有機機能層上に光又は熱硬化性のポリマーを含有する中間層とポリシラザンとその改質体を含有する封止層とを積層すること、さらには、当該中間層がシロキサン系樹脂を含有し、紫外線照射処理、フラッシュ焼成処理、大気圧プラズマ処理、プラズマイオン注入処理、又は加熱処理等により、当該中間層の表面を改質することによって、上層のポリシラザンとその改質体を含有する封止層とが同種の改質体を有することで密着性を大きく向上させ、かつ、緻密な改質体を有することで、封止層からの溶剤浸透を防ぐ優れた効果を発現できるものである。これらの効果により、封止層形成時の溶剤浸透による有機機能層へのダメージを完全に防ぎ、かつ、有機機能層と封止層間の強固な密着性を有する電子デバイスを提供することができるものと推察される。 The present invention includes laminating an intermediate layer containing a light or thermosetting polymer on an organic functional layer, a sealing layer containing polysilazane and a modified product thereof, and the intermediate layer is a siloxane-based resin. Containing an upper polysilazane and a modified product thereof by modifying the surface of the intermediate layer by ultraviolet irradiation treatment, flash firing treatment, atmospheric pressure plasma treatment, plasma ion implantation treatment, heat treatment, or the like. The sealing layer that has the same type of modified body greatly improves the adhesion, and the dense modified body can exhibit an excellent effect of preventing solvent penetration from the sealing layer It is. With these effects, it is possible to completely prevent damage to the organic functional layer due to solvent permeation during the formation of the sealing layer and provide an electronic device having strong adhesion between the organic functional layer and the sealing layer. It is guessed.
本発明の電子デバイスの構成を示す模式図(有機EL素子仕様)Schematic diagram showing the configuration of the electronic device of the present invention (organic EL element specification) 湿式形成方式の一例であるインクジェットプリント方式の一例を示す概略図Schematic showing an example of an ink jet printing system that is an example of a wet forming system インクジェットプリント方式に適用可能なインクジェットヘッドの構造の一例Example of inkjet head structure applicable to inkjet printing system インクジェットヘッドの底面図Bottom view of inkjet head バルクヘテロジャンクション型の有機光電変換素子からなる太陽電池を示す断面図Sectional drawing which shows the solar cell which consists of an organic photoelectric conversion element of a bulk heterojunction type タンデム型のバルクヘテロジャンクション層を備える有機光電変換素子からなる太陽電池を示す断面図Sectional drawing which shows the solar cell which consists of an organic photoelectric conversion element provided with a tandem type bulk heterojunction layer 有機薄膜トランジスタの構成の構成例を示す図The figure which shows the structural example of a structure of an organic thin-film transistor 有機薄膜トランジスタの構成の別の構成例を示す図The figure which shows another structural example of a structure of an organic thin-film transistor 有機薄膜トランジスタの構成の別の構成例を示す図The figure which shows another structural example of a structure of an organic thin-film transistor 有機薄膜トランジスタの構成の別の構成例を示す図The figure which shows another structural example of a structure of an organic thin-film transistor 有機薄膜トランジスタの構成の別の構成例を示す図The figure which shows another structural example of a structure of an organic thin-film transistor 有機薄膜トランジスタの構成の別の構成例を示す図The figure which shows another structural example of a structure of an organic thin-film transistor 碁盤目テープ試験評価標準図Cross cut tape test evaluation standard diagram
 本発明の電子デバイスは、少なくとも有機機能層と封止層を具備する電子デバイスであって、前記封止層が、ポリシラザンとその改質体を含有し、かつ、前記有機機能層と前記封止層の間に光又は熱硬化型のポリマーを含有する中間層が配置されていることを特徴とする。この特徴は、下記実施態様に共通する又は対応する技術的特徴である。 The electronic device of the present invention is an electronic device having at least an organic functional layer and a sealing layer, the sealing layer containing polysilazane and a modified body thereof, and the organic functional layer and the sealing An intermediate layer containing a light or thermosetting polymer is disposed between the layers. This feature is a technical feature common to or corresponding to the embodiments described below.
 本発明の特徴は、塗布工程によるPHPSからの溶剤の浸透防止ができる中間層とPHPSとその改質体を含有する封止層との積層封止技術である。この方式では従来使用してきたCVDや貼合操作を使用しないため、装置・材料コストの削減や生産性が格段に向上し、例えば、有機EL素子作製から封止まで一貫して塗布方式(例えば、インクジェットプリント法)によって作製することで、従来よりもはるかに短納期化が可能となる。さらに、発光させたい部位だけを選択的に封止することも可能であるため、材料の使用効率が向上し、電子デバイスのフリーフォーム化にも対応できる。 A feature of the present invention is a laminated sealing technique of an intermediate layer capable of preventing permeation of a solvent from PHPS by a coating process, and a sealing layer containing PHPS and a modified body thereof. Since this method does not use the conventional CVD or bonding operation, the reduction in apparatus / material costs and productivity are significantly improved. For example, the coating method (for example, from organic EL element production to sealing is consistently applied) By using the inkjet printing method, the delivery time can be much shorter than before. Furthermore, since it is also possible to selectively seal only a portion where light emission is desired, the use efficiency of the material can be improved and the electronic device can be made free-form.
 本発明の実施態様としては、本発明の効果発現の観点から、前記光又は熱硬化型のポリマーが、無溶剤型のポリマーであることが、中間層から有機機能層への溶剤の浸透が無く、有機機能層へのダメージを抑制する観点において、好ましい。 As an embodiment of the present invention, from the viewpoint of manifesting the effects of the present invention, the light or thermosetting polymer is a solvent-free polymer, so that there is no permeation of the solvent from the intermediate layer to the organic functional layer. From the viewpoint of suppressing damage to the organic functional layer, it is preferable.
 また、前記中間層が、シロキサン系樹脂、アクリル系樹脂又はエポキシ系樹脂を含有すること、特にシロキサン系樹脂を含有することが、PHPS及びその改質体を含有する封止層との密着性を向上する観点から、好ましい。 Further, the intermediate layer contains a siloxane-based resin, an acrylic resin, or an epoxy-based resin, and particularly contains a siloxane-based resin, so that the adhesion with the sealing layer containing PHPS and its modified body is improved. From the viewpoint of improvement, it is preferable.
 本発明の中間層の前記封止層側表面に、改質層を有することはPHPSの溶剤の浸透を防止する観点から好ましく、前記改質層の前記封止層側表面において温度23℃における水に対する接触角が、20~100°の範囲内であることが、当該効果をより発現することから、好ましい実施態様である。さらに、前記改質層の層厚が、1~70nmの範囲内であることが、溶剤の浸透を防止すること、及び中間層と封止層との密着性向上の観点から、好ましい。 It is preferable to have a modified layer on the sealing layer side surface of the intermediate layer of the present invention from the viewpoint of preventing penetration of the solvent of PHPS, and water at a temperature of 23 ° C. on the sealing layer side surface of the modified layer. It is a preferred embodiment that the contact angle with respect to is in the range of 20 to 100 °, since this effect is more manifested. Further, it is preferable that the thickness of the modified layer is in the range of 1 to 70 nm from the viewpoint of preventing the penetration of the solvent and improving the adhesion between the intermediate layer and the sealing layer.
 また、本発明に係る改質層の代替として同等の機能を有する有機金属酸化物層を配置してもよい。具体的には、前記一般式(A)で表される構造を有する有機金属酸化物を含有する有機金属酸化物層であることが好ましく、ゾル・ゲル法により塗膜形成される有機金属酸化物層であって、当該有機金属酸化物が、フッアルコールに配位置換された金属アルコキシドであることが好ましい。金属アルコキシドは、中間層や封止層への触媒効果により、積層時において改質を促進し密着性を向上させるだけでなく、フッ化アルコールで配位置換されることで大気安定の特性を有することから、生産適性に優れるため好ましい。 Further, an organometallic oxide layer having an equivalent function may be disposed as an alternative to the modified layer according to the present invention. Specifically, it is preferably an organometallic oxide layer containing an organometallic oxide having a structure represented by the general formula (A), and a coating film is formed by a sol-gel method. The layer is preferably a metal alkoxide in which the organometallic oxide is coordinate-substituted with hydroalcohol. Metal alkoxide not only promotes reforming and improves adhesion during lamination due to the catalytic effect on the intermediate layer and sealing layer, but also has atmospheric stability characteristics by being coordinated with fluorinated alcohol. Therefore, it is preferable because of excellent production suitability.
 また、封止層のガスバリアー性を高める観点から、前記封止層の上に接着剤を介してさらにガスバリアーフィルムが貼合されていることが、好ましい。 Further, from the viewpoint of enhancing the gas barrier property of the sealing layer, it is preferable that a gas barrier film is further bonded onto the sealing layer via an adhesive.
 本発明の電子デバイスは、有機エレクトロルミネッセンス素子、有機光電変換素子を用いた太陽電池、又は有機薄膜トランジスタであることが、本発明に係る封止層の効果を利用する観点から、好ましい実施態様である。 From the viewpoint of utilizing the effect of the sealing layer according to the present invention, the electronic device of the present invention is a preferred embodiment, which is an organic electroluminescence element, a solar cell using an organic photoelectric conversion element, or an organic thin film transistor. .
 本発明の電子デバイスを製造する電子デバイスの製造方法は、前記中間層を有機機能層上に形成する工程、前記中間層に紫外線照射処理、フラッシュ焼成処理、大気圧プラズマ処理、プラズマイオン注入処理、又は加熱処理を行う工程、及び前記封止層を前記中間層上に積層形成する工程、を含むことを特徴とする。 An electronic device manufacturing method for manufacturing an electronic device of the present invention includes a step of forming the intermediate layer on an organic functional layer, an ultraviolet irradiation treatment, flash firing treatment, atmospheric pressure plasma treatment, plasma ion implantation treatment on the intermediate layer, Alternatively, the method includes a step of performing a heat treatment and a step of stacking and forming the sealing layer on the intermediate layer.
 特に、前記中間層に紫外線照射処理、フラッシュ焼成処理、大気圧プラズマ処理、プラズマイオン注入処理、又は加熱処理を行う工程により、前記中間層表面に改質層を形成し、かつ、当該改質層表面において温度23℃における水に対する接触角を、20~100°の範囲内にすることが、封止層形成時の有機機能層への溶剤の浸透を抑制し、かつ有機機能層及び封止層間の密着性、応力緩和性に優れる電子デバイスを提供する観点から好ましい製造方法である。 In particular, a modified layer is formed on the surface of the intermediate layer by a step of performing ultraviolet irradiation treatment, flash firing treatment, atmospheric pressure plasma treatment, plasma ion implantation treatment, or heat treatment on the intermediate layer, and the modified layer Setting the contact angle to water at a temperature of 23 ° C. within the range of 20 to 100 ° on the surface suppresses permeation of the solvent into the organic functional layer during the formation of the sealing layer, and the organic functional layer and the sealing layer From the viewpoint of providing an electronic device having excellent adhesion and stress relaxation properties, it is a preferable production method.
 紫外線の発生手段としては、例えば、メタルハライドランプ、高圧水銀ランプ、低圧水銀ランプ、キセノンアークランプ、カーボンアークランプ、エキシマランプ、UV光レーザー等が挙げられる。 Examples of means for generating ultraviolet rays include metal halide lamps, high pressure mercury lamps, low pressure mercury lamps, xenon arc lamps, carbon arc lamps, excimer lamps, and UV light lasers.
 また、前記中間層の形成を、インクジェットプリント法、及びディスペンサー法で行うことが、短納期化や、発光させたい部位だけを選択的に封止することが可能となり、材料の使用効率の向上及び電子デバイスのフリーフォーム化への対応の観点から、好ましい製造方法である。精密な塗布パターンを描ける点で、特にインクジェットプリント法が好ましい。 In addition, the intermediate layer can be formed by an inkjet printing method and a dispenser method, so that it is possible to shorten the delivery time and selectively seal only a portion where light emission is desired. This is a preferable manufacturing method from the viewpoint of adapting electronic devices to freeform. The inkjet printing method is particularly preferable in that a precise coating pattern can be drawn.
 その場合、前記封止層をインクジェットプリント法、及びディスペンサー法によって形成し、次いで真空紫外線照射処理を行うことが、緻密なケイ素含有層を有し、高いバリアー性を有する封止層を形成する観点から、好ましい製造方法である。 In that case, forming the sealing layer by an inkjet printing method and a dispenser method, and then performing a vacuum ultraviolet irradiation treatment has a dense silicon-containing layer and forms a sealing layer having high barrier properties Therefore, this is a preferred production method.
 以下、本発明とその構成要素、及び本発明を実施するための形態・態様について詳細な説明をする。なお、本願において、「~」は、その前後に記載される数値を下限値及び上限値として含む意味で使用する。 Hereinafter, the present invention, its components, and modes and modes for carrying out the present invention will be described in detail. In the present application, “˜” is used to mean that the numerical values described before and after it are included as a lower limit value and an upper limit value.
 ≪本発明の電子デバイスの概要≫
 本発明の電子デバイスは、少なくとも有機機能層と封止層を具備する電子デバイスであって、前記封止層が、ポリシラザンとその改質体を含有し、かつ、前記有機機能層と前記封止層の間に光又は熱硬化型のポリマーを含有する中間層が配置されていることを特徴とする。
<< Outline of Electronic Device of the Present Invention >>
The electronic device of the present invention is an electronic device having at least an organic functional layer and a sealing layer, the sealing layer containing polysilazane and a modified body thereof, and the organic functional layer and the sealing An intermediate layer containing a light or thermosetting polymer is disposed between the layers.
 本発明でいう「光又は熱硬化型のポリマー」とは、紫外線等の光又は加熱等によって、重合性モノマー又は重合性オリゴマー、さらには重合性ポリマーが重合若しくは架橋して硬化し層を形成したポリマーをいう。 The “light or thermosetting polymer” in the present invention is a layer formed by polymerization or crosslinking of a polymerizable monomer or polymerizable oligomer, or a polymerizable polymer by light such as ultraviolet rays or heating. A polymer.
 まず、本発明の実施の形態を図面に基づいて説明する。 First, an embodiment of the present invention will be described with reference to the drawings.
 図1は、本発明の電子デバイスの構成を示す模式図である。図1では、本発明の電子デバイスの一例として有機EL素子(有機EL素子)に適用する例について、その構成を示す。ただし、これは一例であり、本発明はこれに限定されるものではない。 FIG. 1 is a schematic diagram showing a configuration of an electronic device of the present invention. In FIG. 1, the structure is shown about the example applied to an organic EL element (organic EL element) as an example of the electronic device of this invention. However, this is an example, and the present invention is not limited to this.
 図1は、フレキシブル基板F表面に、ガスバリアー層1を形成し、その上に第1電極:陽極2、発光層を含む有機機能層群3、第2電極:陰極4が積層され、その積層体の周辺部を本発明に係る中間層5及び封止層6で封止して有機EL素子ELを構成する。図示はしていないが、各層間に適宜他の機能層を配置してもよく、さらに封止層6)上に接着剤を介してガスバリアーフィルムが積層されていてもよい。 In FIG. 1, a gas barrier layer 1 is formed on the surface of a flexible substrate F, and a first electrode: an anode 2, an organic functional layer group 3 including a light emitting layer, and a second electrode: a cathode 4 are stacked thereon. The peripheral part of the body is sealed with the intermediate layer 5 and the sealing layer 6 according to the present invention to constitute the organic EL element EL. Although not shown, other functional layers may be appropriately disposed between the respective layers, and a gas barrier film may be laminated on the sealing layer 6) via an adhesive.
 以下、当該構成に沿って本発明の電子デバイスの一例として、有機EL素子の各要素について説明する。 Hereinafter, each element of the organic EL element will be described as an example of the electronic device of the present invention along the configuration.
 1.有機EL素子
 〔1〕基板
 有機EL素子に用いることのできる基板(以下、基体、支持基板、基材、支持体等ともいう。)としては、特に限定は無く、ガラス基板、プラスチック基板等を用いることができ、また透明であっても不透明であってもよいが、フレキシブル性の観点からは、プラスチック基板が好ましい。プラスチック基板の基材として用いられる樹脂フィルムとしては、特に限定は無く、例えば、ポリエチレンテレフタレート(PET)、ポリエチレンナフタレート(PEN)等のポリエステル、又はポリイミド(PI)等を挙げることができる。
1. Organic EL element [1] Substrate There are no particular limitations on the substrate that can be used for the organic EL element (hereinafter also referred to as a base, support substrate, base material, support, etc.), and a glass substrate, a plastic substrate, or the like is used. It may be transparent or opaque, but a plastic substrate is preferred from the viewpoint of flexibility. There is no limitation in particular as a resin film used as a base material of a plastic substrate, For example, polyesters, such as a polyethylene terephthalate (PET) and a polyethylene naphthalate (PEN), or a polyimide (PI) etc. can be mentioned.
 このようなプラスチック基板を用いる際には、樹脂フィルム上に水蒸気や酸素などの侵入を抑制するガスバリアー層(「水蒸気封止層」ともいう。)を設け、ガスバリアーフィルムとしたものが好ましい。 When such a plastic substrate is used, it is preferable to provide a gas barrier film on which a gas barrier layer (also referred to as a “water vapor sealing layer”) that suppresses intrusion of water vapor, oxygen, or the like is provided on the resin film.
 ガスバリアー層を構成する材料は、特に限定は無く、無機物、有機物の被膜又はその両者のハイブリッド等の被膜が形成されていてもよい。当該ガスバリアー層は、JIS K 7129-1992に準拠した方法で測定された、水蒸気透過度(25±0.5℃、相対湿度(90±2)%RH)が0.01g/(m2・24h)以下のガスバリアー性フィルムであることが好ましく、さらには、JIS K 7126-1987に準拠した方法で測定された酸素透過度が、1×10-3mL/(m2・24h・atm)以下、水蒸気透過度が、1×10-5g/(m2・24h)以下の高ガスバリアー性フィルムであることが好ましい。 The material constituting the gas barrier layer is not particularly limited, and a film such as an inorganic film, an organic film, or a hybrid of both may be formed. The gas barrier layer has a water vapor permeability (25 ± 0.5 ° C., relative humidity (90 ± 2)% RH) measured by a method according to JIS K 7129-1992, 0.01 g / (m 2 · 24h) The following gas barrier film is preferable, and the oxygen permeability measured by a method according to JIS K 7126-1987 is 1 × 10 −3 mL / (m 2 · 24h · atm). Hereinafter, a high gas barrier film having a water vapor permeability of 1 × 10 −5 g / (m 2 · 24 h) or less is preferable.
 ガスバリアー層を構成する材料としては、水分や酸素等素子の劣化をもたらすものの浸入を抑制する機能を有する材料であれば特に限定は無く、例えば金属酸化物、金属酸窒化物又は金属窒化物等の無機物、有機物、又はその両者のハイブリッド材料等を用いることができる。 The material constituting the gas barrier layer is not particularly limited as long as it is a material having a function of suppressing intrusion of elements that cause deterioration of elements such as moisture and oxygen. For example, metal oxide, metal oxynitride, metal nitride, etc. Inorganic materials, organic materials, hybrid materials of the both, or the like can be used.
 金属酸化物、金属酸窒化物又は金属窒化物としては、酸化ケイ素、酸化チタン、酸化インジウム、酸化スズ、インジウム・スズ酸化物(ITO)、酸化アルミニウム等の金属酸化物、窒化ケイ素等の金属窒化物、酸窒化ケイ素、酸窒化チタン等の金属酸窒化物等が挙げられる。 Metal oxide, metal oxynitride or metal nitride includes silicon oxide, titanium oxide, indium oxide, tin oxide, metal oxide such as indium tin oxide (ITO), aluminum oxide, metal nitride such as silicon nitride And metal oxynitrides such as silicon oxynitride and titanium oxynitride.
 ガスバリアー層の形成は、特に限定されないが、例えば、酸化ケイ素、二酸化ケイ素や窒化ケイ素等の無機ガスバリアー層の場合は、無機材料をスパッタリング法(例えば、マグネトロンカソードスパッタリング、平板マグネトロンスパッタリング、2極AC平板マグネトロンスパッタリング、2極AC回転マグネトロンスパッタリングなど、反応性スパッタ法を含む。)、蒸着法(例えば、抵抗加熱蒸着、電子ビーム蒸着、イオンビーム蒸着、プラズマ支援蒸着など)、熱CVD法、触媒化学気相成長法(Cat-CVD)、容量結合プラズマCVD法(CCP-CVD)、光CVD法、プラズマCVD法(PE-CVD)、エピタキシャル成長法、原子層成長法等の化学蒸着法等によって層形成することが好ましい。 The formation of the gas barrier layer is not particularly limited. For example, in the case of an inorganic gas barrier layer such as silicon oxide, silicon dioxide, or silicon nitride, an inorganic material is sputtered (for example, magnetron cathode sputtering, flat plate magnetron sputtering, bipolar) AC flat plate magnetron sputtering, reactive AC sputtering, etc.), vapor deposition (for example, resistance heating vapor deposition, electron beam vapor deposition, ion beam vapor deposition, plasma assisted vapor deposition), thermal CVD method, catalyst Layers by chemical vapor deposition (Cat-CVD), capacitively coupled plasma CVD (CCP-CVD), photo-CVD, plasma CVD (PE-CVD), epitaxial growth, chemical vapor deposition such as atomic layer deposition, etc. Preferably formed.
 さらに、ポリシラザン、オルトケイ酸テトラエチル(TEOS)などの無機前駆体を含む塗布液を支持体上に塗布した後、真空紫外光の照射などにより改質処理を行い、無機ガスバリアー層を形成する方法や、樹脂基材への金属めっき、金属箔と樹脂基材とを接着させる等のフィルム金属化技術などによっても、無機ガスバリアー層は形成される。 Furthermore, after applying a coating liquid containing an inorganic precursor such as polysilazane and tetraethyl orthosilicate (TEOS) on a support, a modification treatment is performed by irradiation with vacuum ultraviolet light, etc., and an inorganic gas barrier layer is formed. The inorganic gas barrier layer can also be formed by a metallization technique such as metal plating on a resin base material or adhesion of a metal foil and a resin base material.
 また、無機ガスバリアー層は、有機ポリマーを含む有機層を含んでいてもよい。すなわち、無機ガスバリアー層は、無機材料を含む無機層と有機層との積層体であってもよい。 In addition, the inorganic gas barrier layer may include an organic layer containing an organic polymer. That is, the inorganic gas barrier layer may be a laminate of an inorganic layer containing an inorganic material and an organic layer.
 有機層は、例えば、有機モノマー又は有機オリゴマーを樹脂基材に塗布し、層を形成し、続いて、例えば、電子ビーム装置、UV光源、放電装置、又はその他の好適な装置を使用して重合及び必要に応じて架橋することにより形成することができる。また、例えば、フラッシュ蒸発及び放射線架橋可能な有機モノマー又は有機オリゴマーを蒸着した後、有機モノマー又は有機オリゴマーからポリマーを形成することによっても形成することができる。 The organic layer can be polymerized using, for example, an electron beam device, a UV light source, a discharge device, or other suitable device, for example, by applying an organic monomer or oligomer to a resin substrate to form a layer. And it can form by bridge | crosslinking as needed. For example, it can also be formed by depositing an organic monomer or organic oligomer capable of flash evaporation and radiation cross-linking and then forming a polymer from the organic monomer or organic oligomer.
 有機モノマー又は有機オリゴマーの塗布方法としては、例えば、ロールコーティング(例えば、グラビアロールコーティング)、スプレーコーティング(例えば、静電スプレーコーティング)等が挙げられる。 Examples of the method for applying the organic monomer or organic oligomer include roll coating (for example, gravure roll coating) and spray coating (for example, electrostatic spray coating).
 本発明では、前記ガスバリアー層を有するガスバリアーフィルムを、本発明に係る封止層上に、接着剤を介して貼合することは、封止効果をより向上する観点から、好ましい実施態様である。 In the present invention, bonding the gas barrier film having the gas barrier layer on the sealing layer according to the present invention via an adhesive is a preferable embodiment from the viewpoint of further improving the sealing effect. is there.
 接着剤としては、例えば、アクリル酸系オリゴマー、メタクリル酸系オリゴマーの反応性ビニル基を有する光硬化及び熱硬化型接着剤、2-シアノアクリル酸エステル等の湿気硬化型等の接着剤を挙げることができる。また、エポキシ系等の熱及び化学硬化型(二液混合)を挙げることができる。また、ホットメルト型のポリアミド、ポリエステル、ポリオレフィンを挙げることができる。また、カチオン硬化タイプの紫外線硬化型エポキシ樹脂接着剤を挙げることができる。 Examples of the adhesive include photocuring and thermosetting adhesives having reactive vinyl groups such as acrylic acid oligomers and methacrylic acid oligomers, and moisture curing adhesives such as 2-cyanoacrylates. Can do. Moreover, heat | fever and chemical curing types (two-component mixing), such as an epoxy type, can be mentioned. Moreover, hot-melt type polyamide, polyester, and polyolefin can be mentioned. Moreover, a cationic curing type ultraviolet curing epoxy resin adhesive can be mentioned.
 〔2〕電極及び有機機能層
 本発明でいう有機機能層とは発光層や種々の電荷輸送層などを含む。
[2] Electrode and organic functional layer The organic functional layer in the present invention includes a light emitting layer, various charge transport layers, and the like.
 本発明に係る有機EL素子における代表的な素子構成としては、以下の構成を挙げることができるが、これらに限定されるものではない。
(1)陽極/発光層/陰極
(2)陽極/発光層/電子輸送層/陰極
(3)陽極/正孔輸送層/発光層/陰極
(4)陽極/正孔輸送層/発光層/電子輸送層/陰極
(5)陽極/正孔輸送層/発光層/電子輸送層/電子注入層/陰極
(6)陽極/正孔注入層/正孔輸送層/発光層/電子輸送層/陰極
(7)陽極/正孔注入層/正孔輸送層/(電子阻止層/)発光層/(正孔阻止層/)電子輸送層/電子注入層/陰極
 本発明に係る有機EL素子に関して、各構成や製法等は特に限定は無く、公知の構成や材料、また製法を適用することができる。例えば特開2013-089608号公報、特開2014-120334号公報、特開2015-201508号公報などを参照してよい。
As typical element configurations in the organic EL element according to the present invention, the following configurations can be exemplified, but the invention is not limited thereto.
(1) Anode / light emitting layer / cathode (2) Anode / light emitting layer / electron transport layer / cathode (3) Anode / hole transport layer / light emitting layer / cathode (4) Anode / hole transport layer / light emitting layer / electron Transport layer / cathode (5) anode / hole transport layer / light emitting layer / electron transport layer / electron injection layer / cathode (6) anode / hole injection layer / hole transport layer / light emitting layer / electron transport layer / cathode ( 7) Anode / hole injection layer / hole transport layer / (electron blocking layer /) luminescent layer / (hole blocking layer /) electron transport layer / electron injection layer / cathode Regarding the organic EL device according to the present invention, each configuration The manufacturing method and the like are not particularly limited, and known configurations and materials, and manufacturing methods can be applied. For example, refer to JP2013-089608A, JP2014120334A, JP2015-201508A, and the like.
 〔3〕中間層
 本発明に係る中間層は、前記有機機能層と前記封止層の間に光又は熱硬化型のポリマーを含有する中間層が配置されていることを特徴とする。
[3] Intermediate layer The intermediate layer according to the present invention is characterized in that an intermediate layer containing a light or thermosetting polymer is disposed between the organic functional layer and the sealing layer.
 前記光又は熱硬化型のポリマーが、無溶剤型のポリマーであることが、好ましい。ここでいう「無溶剤型のポリマー」とは、溶剤を含有しないポリマーをいい、液状であることが加工適性の観点から好ましい。無溶剤型であることから、中間層形成時に下層に位置する有機機能層に対して中間層からの溶剤の浸透による劣化を抑制することができる。 The light or thermosetting polymer is preferably a solventless polymer. The “solvent-free polymer” as used herein refers to a polymer that does not contain a solvent, and is preferably liquid from the viewpoint of processability. Since it is a solvent-free type, deterioration due to permeation of the solvent from the intermediate layer can be suppressed with respect to the organic functional layer located in the lower layer when forming the intermediate layer.
 また、前記中間層がシロキサン系樹脂、アクリル系樹脂又はエポキシ系樹脂を含有することが好ましく、特にシロキサン系樹脂を含有することが好ましい。 The intermediate layer preferably contains a siloxane resin, an acrylic resin, or an epoxy resin, and particularly preferably contains a siloxane resin.
 前記中間層は、溶剤等に不溶な有機材料を蒸着法にて形成してもよいが、塗布にて形成することが好ましい。塗布により形成する材料としては、光硬化型又は熱硬化型の無溶剤モノマーを用いることが好ましく、特に、無溶剤型の光硬化型シリコーンモノマーが好ましい。無溶剤型モノマーを塗布後、光硬化及び/又は熱硬化により固体薄膜化させ、中間層を形成する。 The intermediate layer may be formed by vapor deposition of an organic material insoluble in a solvent or the like, but is preferably formed by coating. As a material to be formed by coating, it is preferable to use a photocurable or thermosetting solventless monomer, and a solventless photocurable silicone monomer is particularly preferable. After applying the solventless monomer, a solid thin film is formed by photocuring and / or heat curing to form an intermediate layer.
 前記中間層には、水分・酸素を吸収するゲッター剤を混合してもよい。 In the intermediate layer, a getter agent that absorbs moisture and oxygen may be mixed.
 中間層は、前記無溶剤型のモノマー液や、粘度調整のために一部希釈溶剤を添加した塗布液を本発明に係る電極と封止層の間に形成するが、形成方法は特に限定されるものではなく、スプレーコート法、スピンコート法、ブレードコート法、ディップコート法、キャスト法、ロールコート法、バーコート法、ダイコート法、ディスペンサーなどの塗布による方法、インクジェットプリント法を含む印刷法などのパターニングによる方法などの湿式形成法で塗布することが好ましい。これらのうち好ましいのは、後述するインクジェットプリント法である。 The intermediate layer is formed between the electrode according to the present invention and the sealing layer with the solvent-free monomer liquid or the coating liquid with a partly diluted solvent added for viscosity adjustment, but the formation method is particularly limited. Not a spray coating method, spin coating method, blade coating method, dip coating method, casting method, roll coating method, bar coating method, die coating method, dispensing method, printing method including inkjet printing method, etc. It is preferable to apply by a wet forming method such as a patterning method. Among these, the inkjet printing method described later is preferable.
 中間層の層厚は、ドライ膜で10nm~100μm、より好ましくは、0.1~1μmの範囲であることが、応力緩和性、封止層からの溶剤浸透防止性、平坦化性としての効果を発現する上で好ましい。 The thickness of the intermediate layer is 10 nm to 100 μm, more preferably 0.1 to 1 μm, as a dry film, and it is effective for stress relaxation, solvent penetration prevention from the sealing layer, and flatness. It is preferable in expressing.
 光又は熱硬化性樹脂として、中間層に含有されるアクリル樹脂としては、(メタ)アクリル酸エステル単量体の重合体であることが好ましく、(メタ)アクリル酸エステル単量体の例としては、メチルアクリレート、エチルアクリレート、イソプロピルアクリレート、n-ブチルアクリレート、t-ブチルアクリレート、イソブチルアクリレート、n-オクチルアクリレート、2-エチルヘキシルアクリレート、ステアリルアクリレート、ラウリルアクリレート、フェニルアクリレート等のアクリル酸エステル単量体;メチルメタクリレート、エチルメタクリレート、n-ブチルメタクリレート、イソプロピルメタクリレート、イソブチルメタクリレート、t-ブチルメタクリレート、n-オクチルメタクリレート、2-エチルヘキシルメタクリレート、ステアリルメタクリレート、ラウリルメタクリレート、フェニルメタクリレート、ジエチルアミノエチルメタクリレート、ジメチルアミノエチルメタクリレート等のメタクリル酸エステル等を用いることが好ましい。 As the light or thermosetting resin, the acrylic resin contained in the intermediate layer is preferably a polymer of a (meth) acrylic acid ester monomer, and examples of the (meth) acrylic acid ester monomer Acrylate monomers such as methyl acrylate, ethyl acrylate, isopropyl acrylate, n-butyl acrylate, t-butyl acrylate, isobutyl acrylate, n-octyl acrylate, 2-ethylhexyl acrylate, stearyl acrylate, lauryl acrylate, and phenyl acrylate; Methyl methacrylate, ethyl methacrylate, n-butyl methacrylate, isopropyl methacrylate, isobutyl methacrylate, t-butyl methacrylate, n-octyl methacrylate, 2-ethylhexylme Acrylate, stearyl methacrylate, lauryl methacrylate, phenyl methacrylate, diethylaminoethyl methacrylate, it is preferable to use a methacrylic acid ester such as dimethyl aminoethyl methacrylate.
 同様に中間層に含有されるエポキシ樹脂としては、ビスフェノールA型エポキシ樹脂、ビスフェノールF型エポキシ樹脂等のビスフェノール型エポキシ樹脂;脂環式エポキシ樹脂;フェノールノボラック型エポキシ樹脂、クレゾールノボラック型エポキシ樹脂等のノボラック型エポキシ樹脂;トリフェノールメタン型エポキシ樹脂、トリフェノールプロパン型エポキシ樹脂等のトリフェノールアルカン型エポキシ樹脂;フェノールアラルキル型エポキシ樹脂、ビフェニルアラルキル型エポキシ樹脂、スチルベン型エポキシ樹脂、ナフタレン型エポキシ樹脂、ビフェニル型エポキシ樹脂、シクロペンタジエン型エポキシ樹脂等が挙げられる。中でも、ビスフェノールA型エポキシ樹脂、ビスフェノールF型エポキシ樹脂等のビスフェノール型エポキシ樹脂を用いることが、本発明の効果を発現する観点から、好ましい。 Similarly, the epoxy resin contained in the intermediate layer includes bisphenol type epoxy resins such as bisphenol A type epoxy resin and bisphenol F type epoxy resin; alicyclic epoxy resins; phenol novolac type epoxy resins, cresol novolac type epoxy resins and the like. Novolac type epoxy resin; triphenolalkane type epoxy resin such as triphenolmethane type epoxy resin and triphenolpropane type epoxy resin; phenol aralkyl type epoxy resin, biphenyl aralkyl type epoxy resin, stilbene type epoxy resin, naphthalene type epoxy resin, biphenyl Type epoxy resin, cyclopentadiene type epoxy resin and the like. Among these, it is preferable to use a bisphenol type epoxy resin such as a bisphenol A type epoxy resin or a bisphenol F type epoxy resin from the viewpoint of expressing the effects of the present invention.
 さらに、本発明に係る中間層は、前記溶剤浸透防止機能の発現に加えて、封止層に含有するポリシラザンとその改質体との密着性の観点からシロキサン系樹脂を含有することが好ましく、当該シロキサン系樹脂としては、ポリジメチルシロキサン、ポリメチルフェニルシロキサン、ポリジフェニルシロキサン等を使用することができる。さらに、フッ素原子を含有するシロキサンも好適に使用することができる。 Furthermore, the intermediate layer according to the present invention preferably contains a siloxane-based resin from the viewpoint of adhesion between the polysilazane contained in the sealing layer and its modified body, in addition to the expression of the solvent penetration preventing function, As the siloxane-based resin, polydimethylsiloxane, polymethylphenylsiloxane, polydiphenylsiloxane, or the like can be used. Furthermore, a siloxane containing a fluorine atom can also be suitably used.
 本発明に係る中間層に用いられるシロキサン系樹脂としては低分子体であってもよいし、高分子体でもよい。特に好ましくはオリゴマーやポリマーであり、具体的には、ポリシロキサン系化合物、ポリジメチルシロキサン系化合物、ポリジメチルシロキサン系共重合体等のポリシロキサン誘導体が挙げられる。また、これら化合物を組み合わせたものであってもよい。 The siloxane-based resin used for the intermediate layer according to the present invention may be a low molecular weight material or a high molecular weight material. Particularly preferred are oligomers and polymers, and specific examples include polysiloxane derivatives such as polysiloxane compounds, polydimethylsiloxane compounds, and polydimethylsiloxane copolymers. Moreover, what combined these compounds may be used.
 ポリシロキサン骨格を有する化合物は、下記一般式(I)で表される構造を有しており、一般式(I)中の繰り返し数n(1以上の数)や有機変性部の種類を変化させることで、溶剤浸透防止の効果を任意にコントロールすることができる。 The compound having a polysiloxane skeleton has a structure represented by the following general formula (I), and changes the number of repetitions n (one or more) in the general formula (I) and the type of the organic modification part. Therefore, the effect of preventing solvent penetration can be arbitrarily controlled.
Figure JPOXMLDOC01-appb-C000001
Figure JPOXMLDOC01-appb-C000001
 上記一般式(I)中のnや有機変性部の種類を変化させる一例として、例えば、下記一般式(II)で表される構造(x及びyは繰り返し数を表す1以上の数、mは1以上の整数)が挙げられ、側鎖を付与することによりシリコーン骨格を変性させることができる。なお、一般式(II)におけるR1としては、例えば、メチル基、エチル基、デシル基等が挙げられる。R2としては、例えば、ポリエーテル基、ポリエステル基、アラルキル基等が挙げられる。 As an example of changing the type of n or the organically modified part in the general formula (I), for example, a structure represented by the following general formula (II) (x and y are one or more numbers representing the number of repetitions, m is An integer of 1 or more), and the silicone skeleton can be modified by adding a side chain. In addition, as R < 1 > in general formula (II), a methyl group, an ethyl group, a decyl group etc. are mentioned, for example. Examples of R 2 include a polyether group, a polyester group, and an aralkyl group.
 さらに、下記一般式(III)で表される構造(mは1以上の整数)を有する化合物も用いることが可能であり、シリコーン鎖は数個のSi-O結合からなり、R3に相当する平均1個のポリエーテル鎖等を有する。 Furthermore, a compound having a structure represented by the following general formula (III) (m is an integer of 1 or more) can also be used, and the silicone chain is composed of several Si—O bonds and corresponds to R 3 . It has an average of one polyether chain and the like.
 このように、一般式(II)で表される構造を有する化合物及び一般式(III)で表される構造を有する化合物いずれにおいても、改質層形成時の水に対する接触角のコントロールや相溶性の調整を任意に行うことができる。 Thus, in both the compound having the structure represented by the general formula (II) and the compound having the structure represented by the general formula (III), control of the contact angle with water and compatibility with the formation of the modified layer Can be arbitrarily adjusted.
Figure JPOXMLDOC01-appb-C000002
Figure JPOXMLDOC01-appb-C000002
 (ポリシロキサン系化合物)
 ポリシロキサン系化合物としては、例えば、テトラメトキシシラン、テトラエトキシシラン、メチルトリメトキシシラン、メチルトリエトキシシラン、ジメチルジメトキシシラン、ジメチルジエトキシシラン、γ-グリシドキシプロピルトリメトキシシラン、γ-グリシドキシプロピルトリエトキシシラン、γ-グリシドキシプロピルメチルジメトキシシラン、γ-グリシドキシプロピルメチルジエトキシシラン、γ-メタクリロキシプロピルトリメトキシシラン、γ-メタクリロキシプロピルトリエトキシシラン、γ-メタクリロキシプロピルメチルジメトキシシラン、γ-メタクリロキシプロピルメチルジエトキシシラン、γ-アクリロキシプロピルトリメトキシシラン、γ-アクリロキシプロピルメチルジメトキシシラン等の加水分解性シリル基を有するシラン化合物の部分加水分解物や、有機溶剤中に無水ケイ酸の微粒子を安定に分散させたオルガノシリカゾル、又は該オルガノシリカゾルにラジカル重合性を有する上記シラン化合物を付加させたもの等が挙げられる。
(Polysiloxane compounds)
Examples of polysiloxane compounds include tetramethoxysilane, tetraethoxysilane, methyltrimethoxysilane, methyltriethoxysilane, dimethyldimethoxysilane, dimethyldiethoxysilane, γ-glycidoxypropyltrimethoxysilane, and γ-glycid. Xylpropyltriethoxysilane, γ-glycidoxypropylmethyldimethoxysilane, γ-glycidoxypropylmethyldiethoxysilane, γ-methacryloxypropyltrimethoxysilane, γ-methacryloxypropyltriethoxysilane, γ-methacryloxypropyl Hydrolyzable silicic acid such as methyldimethoxysilane, γ-methacryloxypropylmethyldiethoxysilane, γ-acryloxypropyltrimethoxysilane, γ-acryloxypropylmethyldimethoxysilane A partially hydrolyzed product of a silane compound having a group, an organosilica sol in which fine particles of silicic anhydride are stably dispersed in an organic solvent, or the above-mentioned silane compound having radical polymerizability added to the organosilica sol Can be mentioned.
 (ポリジメチルシロキサン系化合物)
 ポリジメチルシロキサン系化合物としては、ポリジメチルシロキサン、アルキル変性ポリジメチルシロキサン、カルボキシ変性ポリジメチルシロキサン、アミノ変性ポリジメチルシロキサン、エポキシ変性ポリジメチルシロキサン、フッ素変性ポリジメチルシロキサン、(メタ)アクリレート変性ポリジメチルシロキサン(例えば、東亞合成(株)製GUV-235)などが挙げられる。
(Polydimethylsiloxane compound)
Polydimethylsiloxane compounds include polydimethylsiloxane, alkyl-modified polydimethylsiloxane, carboxy-modified polydimethylsiloxane, amino-modified polydimethylsiloxane, epoxy-modified polydimethylsiloxane, fluorine-modified polydimethylsiloxane, and (meth) acrylate-modified polydimethylsiloxane. (For example, GUV-235 manufactured by Toagosei Co., Ltd.).
 (ポリジメチルシロキサン系共重合体)
 ポリジメチルシロキサン系共重合体は、ブロック共重合体、グラフト共重合体、ランダム共重合体のいずれであってもよいが、ブロック共重合体、グラフト共重合体が好ましい。
(Polydimethylsiloxane copolymer)
The polydimethylsiloxane copolymer may be any of a block copolymer, a graft copolymer, and a random copolymer, but a block copolymer and a graft copolymer are preferable.
 (市販材料)
 また、市販されている材料としてはケイ素原子を有していれば特に限定されないが、例えば以下に記したものを用いることができる。
(Commercial materials)
Moreover, as a commercially available material, if it has a silicon atom, it will not specifically limit, For example, what was described below can be used.
 共栄社化学株式会社製:GL-01、GL-02R、GL-03、GL-04R
 日信化学工業株式会社製:シルフェイスSAG002、シルフェイスSAG005、シルフェイスSAG008、シルフェイスSAG503A、サーフィノール104E、サーフィノール104H、サーフィノール104A、サーフィノール104BC、サーフィノール104DPM、サーフィノール104PA、サーフィノール104PG-50、サーフィノール104S、サーフィノール420、サーフィノール440、サーフィノール465、サーフィノール485、サーフィノールSE
 信越化学工業株式会社製:FA-600、KC-89S、KR-500、KR-516、X-40-9296、KR-513、KER-4690-A/B、X-22-161A、X-22-162C、X-22-163、X-22-163A、X-22-164、X-22-164A、X-22-173BX、X-22-174ASX、X-22-176DX、X-22-343、X-22-2046、X-22-2445、X-22-3939A、X-22-4039、X-22-4015、X-22-4272、X-22-4741、X-22-4952、X-22-6266、KF-50-100cs、KF-96L-1cs、KF-101、KF-102、KF-105、KF-351、KF-352、KF-353、KF-354L、KF-355A、KF-393、KF-615A、KF-618、KF-857、KF-859、KF-860、KF-862、KF-877、KF-889、KF-945、KF-1001、KF-1002、KF-1005、KF-2012、KF-2201、X-22-2404、X-22-2426、X-22-3710、KF-6004、KF-6011、KF-6015、KF-6123、KF-8001、KF-8010、KF-8012、X-22-9002
 東レ・ダウコーニング株式会社製:DOW CORNING 100F ADDITIVE、DOW CORNING 11 ADDITIVE、DOW CORNING 3037 INTERMEDIATE、DOW CORNING 56 ADDITIVE、DOW CORNING TORAY Z-6094、DOW CORNING TORAY FZ-2104、DOW CORNING TORAY AY42-119、DOW CORNING TORAY FZ-2222
 花王株式会社製:エマルゲン102KG、エマルゲン104P、エマルゲン105、エマルゲン106、エマルゲン108、エマルゲン109P、エマルゲン120、エマルゲン123P、エマルゲン147、エマルゲン210P、エマルゲン220、エマルゲン306P、エマルゲン320P、エマルゲン404、エマルゲン408、エマルゲン409PV、エマルゲン420、エマルゲン430、エマルゲン705、エマルゲン707、エマルゲン709、エマルゲン1108、エマルゲン1118S-70、エマルゲン1135S-70、エマルゲン2020G-HA、エマルゲン2025G、エマルゲンLS-106、エマルゲンLS-110、エマルゲンLS114
 前記化合物は、中間層を構成する材料中の溶剤を除く全成分に対し、0.005~5質量%の範囲内で含有されていることが好ましい。
Kyoeisha Chemical Co., Ltd .: GL-01, GL-02R, GL-03, GL-04R
Nissin Chemical Industry Co., Ltd .: Silface SAG002, Silface SAG005, Silface SAG008, Silface SAG503A, Surfinol 104E, Surfinol 104H, Surfinol 104A, Surfinol 104BC, Surfinol 104DPM, Surfinol 104PA, Surfinol 104PG-50, Surfinol 104S, Surfinol 420, Surfinol 440, Surfinol 465, Surfinol 485, Surfinol SE
Shin-Etsu Chemical Co., Ltd .: FA-600, KC-89S, KR-500, KR-516, X-40-9296, KR-513, KER-4690-A / B, X-22-161A, X-22 -162C, X-22-163, X-22-163A, X-22-164, X-22-164A, X-22-173BX, X-22-174ASX, X-22-176DX, X-22-343 X-22-2046, X-22-2445, X-22-3939A, X-22-4039, X-22-4015, X-22-4272, X-22-4741, X-22-4952, X -22-6266, KF-50-100cs, KF-96L-1cs, KF-101, KF-102, KF-105, KF-351, KF-352, KF-353, KF-354 KF-355A, KF-393, KF-615A, KF-618, KF-857, KF-859, KF-860, KF-862, KF-877, KF-889, KF-945, KF-1001, KF -1002, KF-1005, KF-2012, KF-2201, X-22-2404, X-22-2426, X-22-3710, KF-6004, KF-6011, KF-6015, KF-6123, KF -8001, KF-8010, KF-8012, X-22-9002
Made by Toray Dow Corning Co., Ltd .: DOW CORNING 100F ADDITIVE, DOW CORNING 11 ADDITIVE, DOW CORNING 3037 INTERMEDIATE, DOW CORNING 56 GDIWRA TO 104 CORNING TORAY FZ-2222
Made by Kao Corporation: Emulgen 102KG, Emulgen 104P, Emulgen 105, Emulgen 106, Emulgen 108, Emulgen 109P, Emulgen 120, Emulgen 123P, Emulgen 147, Emulgen 210P, Emulgen 220, Emulgen 306P, Emulgen 320P, Emulgen 404, Emulgen 408, Emulgen 409PV, Emulgen 420, Emulgen 430, Emulgen 705, Emulgen 707, Emulgen 709, Emulgen 1108, Emulgen 1118S-70, Emulgen 1135S-70, Emulgen 2020G-HA, Emulgen 2025G, Emulgen LS-106, Emulgen LS-110, Emulgen LS-110 LS114
The compound is preferably contained within a range of 0.005 to 5% by mass with respect to all components excluding the solvent in the material constituting the intermediate layer.
 本発明に係る中間層は、後述するインクジェットプリント法等で湿式塗布した後に、封止層側表面に紫外線照射処理、フラッシュ焼成処理、大気圧プラズマ処理、プラズマイオン注入処理、又は加熱処理を行う工程により、前記中間層表面に改質層を形成し、かつ、当該改質層表面において温度23℃における純水に対する接触角を、20~100°の範囲内にすることが、特に密着性を向上する観点から好ましい。より好ましくは、20~50°の範囲内である。 The intermediate layer according to the present invention is a step of performing ultraviolet irradiation treatment, flash firing treatment, atmospheric pressure plasma treatment, plasma ion implantation treatment, or heat treatment on the surface of the sealing layer after wet coating by an inkjet printing method or the like described later. By forming a modified layer on the surface of the intermediate layer and making the contact angle with pure water at a temperature of 23 ° C. on the surface of the modified layer within a range of 20 to 100 °, particularly adhesion is improved. From the viewpoint of More preferably, it is in the range of 20 to 50 °.
 接触角の測定方法は、公知の方法を用いることができる。例えば、標準液体(純水が好ましい。)と、基板表面との接触角を、JIS R3257で規定される方法に準拠して測定した。測定条件は、温度23±5℃、湿度50±10%、標準液体の滴下液滴量1~4μL、標準液体の滴下から接触角測定までの時間は1分以内とする。具体的な操作の手順としては、温度23℃において、前記標準液体である純水をサンプル上に約1.5μL滴下して、固液界面解析装置(DropMaster500、協和界面科学株式会社製)によりサンプル上の5か所を測定し、測定値の平均から平均接触角を得る。接触角測定までの時間は標準液体を滴下してから1分以内に測定する。 A known method can be used as a method for measuring the contact angle. For example, the contact angle between a standard liquid (pure water is preferred) and the substrate surface was measured in accordance with a method defined in JIS R3257. The measurement conditions are a temperature of 23 ± 5 ° C., a humidity of 50 ± 10%, a drop amount of standard liquid dropped from 1 to 4 μL, and a time from dropping the standard liquid to measuring the contact angle is within 1 minute. As a specific operation procedure, at a temperature of 23 ° C., about 1.5 μL of pure water as the standard liquid was dropped on the sample, and the sample was obtained using a solid-liquid interface analyzer (DropMaster 500, manufactured by Kyowa Interface Science Co., Ltd.). Measure the above five locations and obtain the average contact angle from the average of the measured values. The time to contact angle measurement is measured within 1 minute after dropping the standard liquid.
 改質層の層厚は、1~70nmの範囲内であることが、前記応力緩和性、封止層からの溶剤浸透防止性、平坦化性としての効果を発現する上で好ましい。より好ましい層厚は、10~50nmの範囲内である。 The layer thickness of the modified layer is preferably in the range of 1 to 70 nm in order to exhibit the effects of stress relaxation, solvent penetration prevention from the sealing layer, and planarization. A more preferable layer thickness is in the range of 10 to 50 nm.
 本発明における中間層の改質処理とは、少なくともシロキサン系樹脂の一部を、酸化ケイ素へ転化させる反応をいい、「改質層」とは、改質していない層の炭素成分比率の平均値に対して、当該炭素成分比率の平均値が80at%以下となっている層をいう。 The modification treatment of the intermediate layer in the present invention refers to a reaction for converting at least a part of the siloxane-based resin into silicon oxide, and the “modified layer” is an average of the carbon component ratio of the unmodified layer. A layer in which the average value of the carbon component ratio is 80 at% or less with respect to the value.
 したがって、改質層の層厚は、下記XPS分析法によって、層厚方向の元素分析によって求めることができる。 Therefore, the layer thickness of the modified layer can be determined by elemental analysis in the layer thickness direction by the following XPS analysis method.
 (XPS分析法)
 ここでいうXPS分析法とは、サンプルにX線を照射し、生じる光電子のエネルギーを測定することで、サンプルの構成元素とその電子状態を分析する方法である。
(XPS analysis method)
The XPS analysis method referred to here is a method of analyzing the constituent elements of the sample and their electronic states by irradiating the sample with X-rays and measuring the energy of the generated photoelectrons.
 本発明に係る中間層の厚さ方向における元素濃度分布曲線(以下、「デプスプロファイル」という。)は、ケイ素、酸素及び炭素の元素濃度を、X線光電子分光法の測定とアルゴン(Ar)等の希ガスイオンスパッタとを併用することにより、中間層の表面より内部を露出させつつ順次表面組成分析を行うことにより測定することができる。 The element concentration distribution curve (hereinafter referred to as “depth profile”) in the thickness direction of the intermediate layer according to the present invention is an element concentration of silicon, oxygen, and carbon, measured by X-ray photoelectron spectroscopy, argon (Ar), etc. In combination with the rare gas ion sputtering, the surface composition analysis can be performed sequentially while exposing the inside from the surface of the intermediate layer.
 このようなXPSデプスプロファイル測定により得られる分布曲線は、例えば、縦軸を元素の原子濃度比(単位:at%)とし、横軸をエッチング時間(スパッタ時間)として作成することができる。なお、このように横軸をエッチング時間とする元素の分布曲線においては、エッチング時間は層厚方向において前記中間層の厚さ方向における中間層の表面からの距離におおむね相関することから、「中間層の厚さ方向における中間層の表面からの距離」として、XPSデプスプロファイル測定の際に採用したエッチング速度とエッチング時間との関係から算出される中間層の表面からの距離を採用することができる。また、このようなXPSデプスプロファイル測定に際して採用するスパッタ法としては、エッチングイオン種としてアルゴン(Ar)を用いた希ガスイオンスパッタ法を採用し、そのエッチング速度(エッチングレート)を0.05nm/sec(SiO2熱酸化膜換算
値)とすることが好ましい。
A distribution curve obtained by such XPS depth profile measurement can be created, for example, with the vertical axis as the atomic concentration ratio (unit: at%) of the element and the horizontal axis as the etching time (sputtering time). In the element distribution curve with the horizontal axis as the etching time in this way, the etching time generally correlates with the distance from the surface of the intermediate layer in the thickness direction of the intermediate layer in the layer thickness direction. As the “distance from the surface of the intermediate layer in the thickness direction of the layer”, the distance from the surface of the intermediate layer calculated from the relationship between the etching rate and the etching time employed in the XPS depth profile measurement can be adopted. . Further, as a sputtering method employed for such XPS depth profile measurement, a rare gas ion sputtering method using argon (Ar) as an etching ion species is employed, and the etching rate (etching rate) is 0.05 nm / sec. It is preferable to use (equivalent value of SiO 2 thermal oxide film).
 以下に、本発明に係る中間層の組成分析に適用可能なXPS分析の具体的な条件の一例を示す。
・分析装置:アルバック・ファイ社製QUANTERA SXM
・X線源:単色化Al-Kα
・スパッタイオン:Ar(3keV)
・デプスプロファイル:SiO2換算スパッタ厚さで、所定の厚さ間隔で測定を繰り返し、深さ方向のデプスプロファイルを求める。この厚さ間隔は、1nmとした(深さ方向に1nmごとのデータが得られる)。
・定量:バックグラウンドをShirley法で求め、得られたピーク面積から相対感度係数法を用いて定量した。データ処理は、アルバック・ファイ社製のMultiPakを用いる。
Below, an example of the specific conditions of XPS analysis applicable to the composition analysis of the intermediate | middle layer which concerns on this invention is shown.
・ Analyzer: QUANTERA SXM manufactured by ULVAC-PHI
・ X-ray source: Monochromatic Al-Kα
・ Sputtering ion: Ar (3 keV)
Depth profile: Repeated measurement at a predetermined thickness interval with a SiO 2 equivalent sputtering thickness to obtain a depth profile in the depth direction. The thickness interval was 1 nm (data every 1 nm is obtained in the depth direction).
Quantification: The background was determined by the Shirley method, and quantified using the relative sensitivity coefficient method from the obtained peak area. Data processing uses MultiPak manufactured by ULVAC-PHI.
 (紫外線照射処理)
 本発明に係る中間層表面を改質する好ましい方法として、紫外線照射処理が挙げられる。紫外線の発生手段としては、前述のように、例えば、メタルハライドランプ、高圧水銀ランプ、低圧水銀ランプ、キセノンアークランプ、カーボンアークランプ、エキシマランプ、UV光レーザー等を用いることができる。
(UV irradiation treatment)
A preferable method for modifying the surface of the intermediate layer according to the present invention is an ultraviolet irradiation treatment. As described above, for example, a metal halide lamp, a high-pressure mercury lamp, a low-pressure mercury lamp, a xenon arc lamp, a carbon arc lamp, an excimer lamp, or a UV light laser can be used as the ultraviolet ray generating means.
 さらに、当該紫外線照射処理の一つの方法として、真空紫外線照射処理が挙げられる。真空紫外線照射処理では、シロキサン系樹脂塗膜が受ける塗膜面での該真空紫外線の照度は30~200mW/cm2の範囲であることが好ましく、50~160mW/cm2の範囲であることがより好ましい。30mW/cm2以上では、改質効率が低下する懸念がなく、200mW/cm2以下では、塗膜にアブレーションを生じず、基材にダメージを与えないため好ましい。 Furthermore, vacuum ultraviolet irradiation treatment is mentioned as one method of the said ultraviolet irradiation processing. In vacuum ultraviolet irradiation treatment, it is preferred that the illumination intensity of the vacuum ultraviolet rays in the coated surface of a siloxane-based resin film is subjected in the range of 30 ~ 200mW / cm 2, in the range of 50 ~ 160mW / cm 2 More preferred. When it is 30 mW / cm 2 or more, there is no concern that the reforming efficiency is lowered, and when it is 200 mW / cm 2 or less, the coating film is not ablated and the substrate is not damaged.
 シロキサン系樹脂層塗膜面における真空紫外線の照射エネルギー量は、200~10000mJ/cm2の範囲であることが好ましく、500~5000mJ/cm2の範囲であることがより好ましい。この範囲であればクラック発生や、基材の熱変形がない。 Irradiation energy amount of the VUV in siloxane-based resin layer coated surface is preferably in the range of 200 ~ 10000mJ / cm 2, and more preferably in the range of 500 ~ 5000mJ / cm 2. Within this range, there are no cracks or thermal deformation of the substrate.
 紫外線照射時の反応には、酸素が必要であるが、真空紫外線は、酸素による吸収があるため紫外線照射工程での効率が低下しやすいことから、真空紫外線の照射は、可能な限り酸素濃度の低い状態で行うことが好ましい。すなわち、真空紫外線照射時の酸素濃度は、0.001~2.0体積%の範囲とすることが好ましく、より好ましくは0.005~0.5体積%の範囲、更に好ましく0.1~0.5体積%の範囲である。 Oxygen is required for the reaction at the time of ultraviolet irradiation, but since vacuum ultraviolet rays are absorbed by oxygen, the efficiency in the ultraviolet irradiation process tends to decrease. It is preferable to carry out in a low state. That is, the oxygen concentration at the time of vacuum ultraviolet irradiation is preferably in the range of 0.001 to 2.0% by volume, more preferably in the range of 0.005 to 0.5% by volume, and still more preferably 0.1 to 0%. .5% by volume.
 真空紫外線照射時に用いられる、照射雰囲気を満たすガスとしては乾燥不活性ガスとすることが好ましく、特にコストの観点から乾燥窒素ガスにすることが好ましい。酸素濃度の調整は照射庫内へ導入する酸素ガス、不活性ガスの流量を計測し、流量比を変えることで調整可能である。 The gas satisfying the irradiation atmosphere used at the time of irradiation with vacuum ultraviolet rays is preferably a dry inert gas, and particularly preferably dry nitrogen gas from the viewpoint of cost. The oxygen concentration can be adjusted by measuring the flow rate of oxygen gas and inert gas introduced into the irradiation chamber and changing the flow rate ratio.
 シロキサン樹脂等を含有する中間層は単層でも良いが、効果をより高める観点から2層以上の積層構造であってもよい。積層構造をとる場合には、例えば、ポリシロキサン/ポリシラザンのように、ケイ素含有ポリマーの種類が異なる構成の積層でもよい。種類を変えることにより、溶剤浸透防止機能に加えて密着性の制御も可能となる。 The intermediate layer containing a siloxane resin or the like may be a single layer, but may have a laminated structure of two or more layers from the viewpoint of enhancing the effect. In the case of taking a laminated structure, for example, a laminated structure having different types of silicon-containing polymers such as polysiloxane / polysilazane may be used. By changing the type, it is possible to control adhesion in addition to the solvent penetration preventing function.
 また、中間層の改質処理は、キセノンランプを用いたキセノンフラッシュ処理(フラッシュ焼成処理)によっても行うこともできる。フラッシュ焼成処理で用いられるフラッシュランプの放電管としては、キセノン、ヘリウム、ネオン、アルゴン等の放電管を用いることができるが、キセノンランプを用いることが好ましい。 Further, the modification process of the intermediate layer can also be performed by a xenon flash process (flash firing process) using a xenon lamp. As the discharge tube of the flash lamp used in the flash firing process, a discharge tube of xenon, helium, neon, argon or the like can be used, but a xenon lamp is preferably used.
 フラッシュランプの好ましいスペクトル帯域としては、240~2000nmの範囲内であることが好ましい。この範囲内であれば、フラッシュ焼成による基板の熱変形等のダメージが少ない。 The preferable spectral band of the flash lamp is preferably in the range of 240 to 2000 nm. Within this range, there is little damage such as thermal deformation of the substrate due to flash firing.
 フラッシュランプの光照射条件は任意であるが、光照射エネルギーの総計が0.1~50J/cm2の範囲内であることが好ましく、0.5~10J/cm2の範囲内であることがより好ましい。光照射時間は、10μ秒~100m秒の範囲内が好ましく、100μ秒~10m秒の範囲内がより好ましい。また、光照射回数は1回でも複数回でもよく、1~50回の範囲内で行うのが好ましい。フラッシュランプの光照射装置は上記の照射エネルギー、照射時間を満足するものであればよい。また、フラッシュ焼成は、上記の酸素含有物質の濃度の範囲内にある雰囲気下であれば、窒素、アルゴン、ヘリウムなどの不活性ガス雰囲気中で行うこともできる。キセノンフラッシュ装置としては、例えば、ウシオ電機製「瞬間加熱・高温焼成 フラッシュランプアニール」等が挙げられる。 The light irradiation conditions of the flash lamp are arbitrary, but the total light irradiation energy is preferably in the range of 0.1 to 50 J / cm 2 , and preferably in the range of 0.5 to 10 J / cm 2. More preferred. The light irradiation time is preferably in the range of 10 μsec to 100 msec, and more preferably in the range of 100 μsec to 10 msec. Further, the number of times of light irradiation may be one time or a plurality of times, and it is preferably performed within the range of 1 to 50 times. The light irradiation device of the flash lamp may be any device that satisfies the above irradiation energy and irradiation time. The flash firing can also be performed in an inert gas atmosphere such as nitrogen, argon, helium, etc., if the atmosphere is within the range of the concentration of the oxygen-containing substance. Examples of the xenon flash device include “instant heating / high temperature firing flash lamp annealing” manufactured by USHIO.
 また、緻密な膜を形成できる点から、大気圧又は大気圧近傍でのプラズマCVD処理による方法を好ましい例として挙げることができる。例えば、特開2004-68143号公報に記載の構成の大気圧プラズマ放電処理装置を用いて、中間層の改質処理を行うことができる。 Also, from the point that a dense film can be formed, a method by plasma CVD treatment at or near atmospheric pressure can be given as a preferred example. For example, the reforming treatment of the intermediate layer can be performed using an atmospheric pressure plasma discharge treatment apparatus having a configuration described in Japanese Patent Application Laid-Open No. 2004-68143.
 さらに、中間層の改質処理は、プラズマイオン注入処理によって行うこともできる。 Furthermore, the modification treatment of the intermediate layer can also be performed by plasma ion implantation treatment.
 プラズマイオン注入装置は、基本的に、真空チャンバーと、マイクロ波電源と、マグネットコイルと、直流印加装置(パルス電源)とを備えている。 The plasma ion implantation apparatus basically includes a vacuum chamber, a microwave power source, a magnet coil, and a direct current application device (pulse power source).
 真空チャンバーは、その内部の所定位置に、中間層塗膜が形成された被処理物を配置するとともに、その塗膜に対して、イオン注入を行うための容器である。 The vacuum chamber is a container for placing an object on which an intermediate layer coating film is formed at a predetermined position inside the chamber and for performing ion implantation on the coating film.
 また、直流印加装置は、直流電源であって、被処理物に、高電圧パルスを印加するためのパルス電源である。 Further, the DC application device is a DC power supply, and is a pulse power supply for applying a high voltage pulse to the workpiece.
 このように構成されたプラズマイオン注入装置によれば、マイクロ波電源(プラズマ放電用電極)及びマグネットコイルを駆動することによって、導体及び被処理物の周囲でガス導入口から導入したガスのプラズマが発生する。 According to the plasma ion implantation apparatus configured as described above, by driving the microwave power source (plasma discharge electrode) and the magnet coil, the plasma of the gas introduced from the gas inlet around the conductor and the object to be processed is generated. Occur.
 次いで、所定時間経過後、マイクロ波電源及びマグネットコイルの駆動が停止されるとともに、直流印加装置が駆動され、高電圧パルス(負電圧)が、高電圧導入端子及び導体を介して、被処理物に印加されることになる。 Next, after a predetermined time has elapsed, the driving of the microwave power source and the magnet coil is stopped, and the DC application device is driven, and a high voltage pulse (negative voltage) is passed through the high voltage introduction terminal and the conductor. Will be applied.
 したがって、かかる高電圧パルス(負電圧)の印加によって、プラズマ中のイオン種が誘引され、塗膜にイオンが注入される。 Therefore, by applying such a high voltage pulse (negative voltage), ion species in the plasma are attracted and ions are implanted into the coating film.
 イオン種については特に制限されるものではない。例えば、アルゴン、ヘリウム、ネオン、クリプトン、キセノン等の希ガスのイオン;フルオロカーボン、水素、窒素、酸素、二酸化炭素、塩素、フッ素、硫黄等のイオン;メタン、エタン、プロパン、ブタン、ペンタン、ヘキサン等のアルカン系ガス類のイオン;エチレン、プロピレン、ブテン、ペンテン等のアルケン系ガス類のイオン;ペンタジエン、ブタジエン等のアルカジエン系ガス類のイオン;アセチレン、メチルアセチレン等のアルキン系ガス類のイオン;ベンゼン、トルエン、キシレン、インデン、ナフタレン、フェナントレン等の芳香族炭化水素系ガス類のイオン;シクロプロパン、シクロヘキサン等のシクロアルカン系ガス類のイオン;シクロペンテン、シクロヘキセン等のシクロアルケン系ガス類のイオン;金、銀、銅、白金、ニッケル、パラジウム、クロム、チタン、モリブデン、ニオブ、タンタル、タングステン、アルミニウム等の導電性の金属のイオン;シラン(SiH4)又は有機ケイ素化合物の
イオン;等が挙げられる。
The ionic species is not particularly limited. For example, ions of rare gases such as argon, helium, neon, krypton, xenon; ions of fluorocarbon, hydrogen, nitrogen, oxygen, carbon dioxide, chlorine, fluorine, sulfur, etc .; methane, ethane, propane, butane, pentane, hexane, etc. Ions of alkane gases such as ethylene, propylene, butene and pentene ions; ions of alkadiene gases such as pentadiene and butadiene; ions of alkyne gases such as acetylene and methylacetylene; benzene Ions of aromatic hydrocarbon gases such as toluene, xylene, indene, naphthalene and phenanthrene; ions of cycloalkane gases such as cyclopropane and cyclohexane; ions of cycloalkene gases such as cyclopentene and cyclohexene; gold , Silver, copper, Ion silane (SiH 4) or an organic silicon compound; gold, nickel, palladium, chromium, titanium, molybdenum, niobium, tantalum, tungsten, conductive metal ions such as aluminum and the like.
 これらの中でも、より簡便に注入することができ、優れた改質処理が得られることから、水素、窒素、酸素、アルゴン、ヘリウム、ネオン、キセノン、及びクリプトンからなる群から選ばれる少なくとも1種のイオンが好ましい。 Among these, at least one kind selected from the group consisting of hydrogen, nitrogen, oxygen, argon, helium, neon, xenon, and krypton is obtained because it can be more easily injected and an excellent reforming treatment can be obtained. Ions are preferred.
 また、イオン注入する際の真空チャンバーの圧力、すなわち、プラズマイオン注入圧力を0.01~1Paの範囲内の値とすることが好ましい。 In addition, it is preferable to set the pressure in the vacuum chamber during ion implantation, that is, the plasma ion implantation pressure to a value within the range of 0.01 to 1 Pa.
 プラズマイオンを注入する際の印加電圧(高電圧パルス/負電圧)を-1~-50kVの範囲内の値とすることが好ましい。-1~-15kVの範囲内の値とすることがより好ましく、-5~-8kVの範囲内の値とすることがさらに好ましい。 The applied voltage (high voltage pulse / negative voltage) when plasma ions are implanted is preferably set to a value in the range of −1 to −50 kV. A value in the range of −1 to −15 kV is more preferable, and a value in the range of −5 to −8 kV is more preferable.
 具体的には、プラズマイオン注入装置(RF電源:日本電子(株)製、RF56000、高電圧パルス電源:栗田製作所(株)、PV-3-HSHV-0835)を用いて、中間層に対して、改質処理を行うことができる。 Specifically, the plasma ion implantation apparatus (RF power supply: manufactured by JEOL Ltd., RF56000, high voltage pulse power supply: Kurita Seisakusho Co., Ltd., PV-3-HSHV-0835) is used for the intermediate layer. The reforming process can be performed.
 さらに、中間層の改質処理は、加熱処理によっても行うことができ、上記各種処理に組み合わせて適宜温度を設定して行うことが好ましい。加熱処理は、加熱オーブンや赤外線ヒーターなどの方法を用いることができる。 Furthermore, the modification treatment of the intermediate layer can also be performed by heat treatment, and is preferably performed by appropriately setting the temperature in combination with the above various treatments. For the heat treatment, a method such as a heating oven or an infrared heater can be used.
 〔4〕有機金属酸化物層
 本発明の電子デバイスは、前記中間層以外に、改質層の代替として同等の機能を有する有機金属酸化物層を配置してもよい。具体的には、前記一般式(A)で表される構造を有する有機金属酸化物を含有する有機金属酸化物層であることが好ましく、ゾル・ゲル法により塗膜形成される有機金属酸化物層であって、当該有機金属酸化物がフッ化アルコールに配位置換された金属アルコキシドであることが好ましい。金属アルコキシドは、中間層や封止層への触媒効果により、積層時において改質を促進し密着性を向上させるだけでなく、フッ化アルコールで配位置換されることで大気安定の特性を有することから、生産適性に優れるため好ましい。
[4] Organometallic oxide layer In the electronic device of the present invention, in addition to the intermediate layer, an organometallic oxide layer having an equivalent function may be disposed as an alternative to the modified layer. Specifically, it is preferably an organometallic oxide layer containing an organometallic oxide having a structure represented by the general formula (A), and a coating film is formed by a sol-gel method. The layer is preferably a metal alkoxide in which the organometallic oxide is coordinate-substituted with a fluorinated alcohol. Metal alkoxide not only promotes reforming and improves adhesion during lamination due to the catalytic effect on the intermediate layer and sealing layer, but also has atmospheric stability characteristics by being coordinated with fluorinated alcohol. Therefore, it is preferable because of excellent production suitability.
 用いられる有機金属酸化物は、金属アルコキシドを過剰のアルコール存在下で加アルコール分解して、アルコール置換した有機金属酸化物の単量体又は重縮合体である。その際に、ヒドロキシ基のβ位にフッ素原子が置換した長鎖アルコールを用いることで、フッ化アルコキシドを含有する有機金属酸化物となる。 The organometallic oxide used is an organometallic oxide monomer or polycondensate obtained by alcoholic decomposition of a metal alkoxide in the presence of an excess of alcohol to replace the alcohol. At that time, by using a long-chain alcohol in which a fluorine atom is substituted at the β-position of the hydroxy group, an organometallic oxide containing a fluorinated alkoxide is obtained.
 一方、前記有機金属酸化物は、焼結や紫外線を照射することで、ゾル・ゲル反応を促進し重縮合体を形成することができる。その際、前記ヒドロキシ基のβ位にフッ素原子が置換した長鎖アルコールを用いると、フッ素の撥水効果により金属アルコキシド中の金属周りに存在する水分の頻度因子を減少させることで、加水分解速度が減少し、当該現象を利用することで3次元の重合反応を抑え、所望の有機金属酸化物を含有する均一で稠密な有機金属酸化物層を形成しうるという特徴がある。 On the other hand, the organometallic oxide can promote a sol-gel reaction and form a polycondensate by irradiating with sintering or ultraviolet rays. At this time, when a long chain alcohol having a fluorine atom substituted at the β-position of the hydroxy group is used, the hydrolysis rate is reduced by reducing the frequency factor of water present around the metal in the metal alkoxide by the water repellent effect of fluorine. By using this phenomenon, the three-dimensional polymerization reaction can be suppressed, and a uniform and dense organometallic oxide layer containing a desired organometallic oxide can be formed.
 本発明に係る有機金属酸化物層に含有される有機金属酸化物は、以下の反応スキームIに例示する化合物である。なお、焼結後の有機金属酸化物の重縮合体の構造式において、「O-M」部の「M」は、さらに置換基を有しているが、省略してある。 The organometallic oxide contained in the organometallic oxide layer according to the present invention is a compound exemplified in the following reaction scheme I. In the structural formula of the organometallic oxide polycondensate after sintering, “M” in the “OM” part further has a substituent, but is omitted.
Figure JPOXMLDOC01-appb-C000003
Figure JPOXMLDOC01-appb-C000003
 上記有機金属酸化物が、焼結又は紫外線照射により重縮合して形成された有機金属酸化物層は、以下の反応スキームIIによって、系外からのガス成分である水蒸気(H2O)によって加水分解し、フッ化アルコール(R′-OH)を放出し、大気安定化に寄与する。 The organometallic oxide layer formed by polycondensation of the organometallic oxide by sintering or ultraviolet irradiation is hydrolyzed by water vapor (H 2 O), which is a gas component from outside the system, according to the following reaction scheme II. Decomposes and releases fluorinated alcohol (R'-OH), contributing to atmospheric stabilization.
 なお、下記構造式において、「O-M」部の「M」は、さらに置換基を有しているが、省略してある。 In the structural formula below, “M” in the “OM” part further has a substituent, but is omitted.
Figure JPOXMLDOC01-appb-C000004
Figure JPOXMLDOC01-appb-C000004
 本発明に係る有機金属酸化物層は、下記一般式(A)で表される構造を有する有機金属酸化物を主成分として含有することが好ましい。「主成分」とは、前記有機金属酸化物層の全体の質量のうち、70質量%以上が少なくとも撥水性物質又は疎水性物質を放出する前記有機金属酸化物であることが好ましく、より好ましくは80質量%以上、特に好ましくは90質量%以上であることをいう。 The organometallic oxide layer according to the present invention preferably contains an organometallic oxide having a structure represented by the following general formula (A) as a main component. The “main component” is preferably 70% by mass or more of the organometallic oxide that releases at least a water-repellent substance or a hydrophobic substance, more preferably, of the total mass of the organometallic oxide layer. It means 80% by mass or more, particularly preferably 90% by mass or more.
 一般式(A) R-[M(OR1y(O-)x-yn-R
(式中、Rは、水素原子、炭素数1個以上のアルキル基、アルケニル基、アリール基、シクロアルキル基、アシル基、アルコキシ基、又は複素環基を表す。ただし、Rは置換基としてフッ素原子を含む炭素鎖でもよい。Mは、金属原子を表す。OR1は、フッ化アルコキシ基を表す。xは金属原子の価数、yは1とxの間の任意な整数を表す。nは重縮合度をそれぞれ表す。)
 また、本発明に係る有機金属酸化物層のフッ素比率が、下記式(a)を満たすことが好ましい。
General formula (A) R— [M (OR 1 ) y (O—) xy ] n —R
(In the formula, R represents a hydrogen atom, an alkyl group having 1 or more carbon atoms, an alkenyl group, an aryl group, a cycloalkyl group, an acyl group, an alkoxy group, or a heterocyclic group. However, R represents fluorine as a substituent. It may be a carbon chain containing atoms, M represents a metal atom, OR 1 represents a fluorinated alkoxy group, x represents a valence of the metal atom, and y represents an arbitrary integer between 1 and x. Represents the degree of polycondensation.)
Moreover, it is preferable that the fluorine ratio of the organometallic oxide layer according to the present invention satisfies the following formula (a).
 式(a) 0.05≦F/(C+F)≦1.0
 式(a)の測定意義は、ゾル・ゲル法により作製した有機金属酸化物層がある量以上のフッ素原子を必要とすることを数値化するものである。上記式(a)のF及びCは、それぞれフッ素原子及び炭素原子の濃度を表す。
Formula (a) 0.05 ≦ F / (C + F) ≦ 1.0
The measurement significance of the formula (a) quantifies that an organometallic oxide layer produced by the sol-gel method requires a certain amount or more of fluorine atoms. F and C in the above formula (a) represent the concentration of fluorine atom and carbon atom, respectively.
 式(a)の好ましい範囲としては、0.2≦F/(C+F)≦0.6の範囲である。 A preferable range of the formula (a) is a range of 0.2 ≦ F / (C + F) ≦ 0.6.
 上記フッ素比率は、有機金属酸化物層形成に使用するゾル・ゲル液をシリコンウェハ上に塗布して薄膜を作製後、当該薄膜をSEM・EDS(Energy Dispersive X-ray Spectoroscopy:エネルギー分散型X線分析装置)による元素分析により、それぞれフッ素原子及び炭素原子の濃度を求めることができる。SEM・EDS装置の一例として、JSM-IT100(日本電子社製)を挙げることができる。 The fluorine ratio is determined by applying a sol-gel solution used for forming an organometallic oxide layer on a silicon wafer to produce a thin film, and then applying the thin film to an SEM / EDS (Energy Dispersive X-ray Spectroscopy: energy dispersive X-ray). The concentration of fluorine atoms and carbon atoms can be determined by elemental analysis using an analytical device. An example of the SEM / EDS apparatus is JSM-IT100 (manufactured by JEOL Ltd.).
 SEM・EDS分析は、高速、高感度で精度よく元素を検出できる特徴を有する。 SEM / EDS analysis has the feature that it can detect elements with high speed, high sensitivity and accuracy.
 本発明に係る有機金属酸化物は、ゾル・ゲル法を用いて作製できるものであれば特に制限はされず、例えば、「ゾル-ゲル法の科学」P13、P20に紹介されている金属、ケイ素、リチウム、ナトリウム、銅、マグネシウム、カルシウム、ビスマス、ハフニウム、ニオブ、ストロンチウム、バリウム、亜鉛、ホウ素、アルミニウム、ガリウム、イットリウム、ケイ素、ゲルマニウム、鉛、リン、アンチモン、バナジウム、タンタル、タングステン、ランタン、ネオジウム、チタン、ジルコニウム、白金、銀、及び金から選ばれる1種以上の金属を含有してなる金属酸化物を例として挙げることができる。好ましくは、前記Mで表される金属原子は、ケイ素(Si)、チタン(Ti)、ジルコニウム(Zr)、マグネシウム(Mg)、カルシウム(Ca)、ストロンチウム(Sr)、ビスマス(Bi)、ハフニウム(Hf)、ニオブ(Nb)、亜鉛(Zn)、アルミニウム(Al)、白金(Pt)、銀(Ag)、及び金(Au)から選択されることが、本発明の効果を得る観点から好ましい。 The organometallic oxide according to the present invention is not particularly limited as long as it can be produced using a sol-gel method. For example, the metal, silicon introduced in “Science of Sol-Gel Method” P13, P20 , Lithium, sodium, copper, magnesium, calcium, bismuth, hafnium, niobium, strontium, barium, zinc, boron, aluminum, gallium, yttrium, silicon, germanium, lead, phosphorus, antimony, vanadium, tantalum, tungsten, lanthanum, neodymium Examples thereof include metal oxides containing one or more metals selected from titanium, zirconium, platinum, silver, and gold. Preferably, the metal atom represented by M is silicon (Si), titanium (Ti), zirconium (Zr), magnesium (Mg), calcium (Ca), strontium (Sr), bismuth (Bi), hafnium ( Hf), niobium (Nb), zinc (Zn), aluminum (Al), platinum (Pt), silver (Ag), and gold (Au) are preferably selected from the viewpoint of obtaining the effects of the present invention.
 上記一般式(A)において、OR1はフッ化アルコキシ基を表す。 In the general formula (A), OR 1 represents a fluorinated alkoxy group.
 R1は少なくとも一つのフッ素原子に置換したアルキル基、アリール基、シクロアルキル基、アシル基、アルコキシ基、複素環基を表す。各置換基の具体例は後述する。 R 1 represents an alkyl group, aryl group, cycloalkyl group, acyl group, alkoxy group or heterocyclic group substituted with at least one fluorine atom. Specific examples of each substituent will be described later.
 Rは水素原子、炭素数1個以上のアルキル基、アルケニル基、アリール基、シクロアルキル基、アシル基、アルコキシ基、又は複素環基を表す。又はそれぞれの基の水素の少なくとも一部をハロゲンで置換したものでもよい。また、ポリマーでもよい。 R represents a hydrogen atom, an alkyl group having 1 or more carbon atoms, an alkenyl group, an aryl group, a cycloalkyl group, an acyl group, an alkoxy group, or a heterocyclic group. Or what substituted at least one part of hydrogen of each group with the halogen may be used. Moreover, a polymer may be sufficient.
 アルキル基は置換又は未置換のものであるが、具体例としては、メチル基、エチル基、プロピル基、ブチル基、sec-ブチル基、tert-ブチル基、ペンチル基、ヘキシル基、ヘプチル基、オクチル基、ノニル基、デシル基、ウンデシル基、ドデシル基、トリデシル基、テトラデシル基、ペンタデシル基、ヘキサデシル基、ヘプタデシル基、オクタデシル基、ノナデシル基、イコシル基、ヘンイコシル基、ドコシル等であるが、好ましくは炭素数が8以上のものがよい。またこれらのオリゴマー、ポリマーでもよい。 Alkyl groups are substituted or unsubstituted, and specific examples include methyl, ethyl, propyl, butyl, sec-butyl, tert-butyl, pentyl, hexyl, heptyl, octyl. Group, nonyl group, decyl group, undecyl group, dodecyl group, tridecyl group, tetradecyl group, pentadecyl group, hexadecyl group, heptadecyl group, octadecyl group, nonadecyl group, icosyl group, heicosyl group, docosyl, etc., preferably carbon The number of 8 or more is good. These oligomers and polymers may also be used.
 アルケニル基は、置換又は未置換のもので、具体例としては、ビニル基、アリル基、ブテニル基、ペンテニル基、ヘキシセニル基等があり、好ましくは炭素数が8以上のものがよい。またこれらのオリゴマー、ポリマーでもよい。 The alkenyl group is substituted or unsubstituted, and specific examples include a vinyl group, an allyl group, a butenyl group, a pentenyl group, a hexenyl group, and the like, and preferably those having 8 or more carbon atoms. These oligomers and polymers may also be used.
 アリール基は置換又は未置換のもので、具体例としては、フェニル基、トリル基、4-シアノフェニル基、ビフェニル基、o,m,p-テルフェニル基、ナフチル基、アントラニル基、フェナントレニル基、フルオレニル基、9-フェニルアントラニル基、9,10-ジフェニルアントラニル基、ピレニル基等があり、好ましくは炭素数が8以上のものがよい。またこれらのオリゴマー、ポリマーでもよい。 The aryl group is substituted or unsubstituted, and specific examples include phenyl group, tolyl group, 4-cyanophenyl group, biphenyl group, o, m, p-terphenyl group, naphthyl group, anthranyl group, phenanthrenyl group, There are a fluorenyl group, a 9-phenylanthranyl group, a 9,10-diphenylanthranyl group, a pyrenyl group, and the like, preferably those having 8 or more carbon atoms. These oligomers and polymers may also be used.
 置換又は未置換のアルコキシ基の具体例としては、メトキシ基、n-ブトキシ基、tert-ブトキシ基、トリクロロメトキシ基、トリフルオロメトキシ基等でありが好ましくは炭素数が8以上のものがよい。またこれらのオリゴマー、ポリマーでもよい。 Specific examples of the substituted or unsubstituted alkoxy group include a methoxy group, an n-butoxy group, a tert-butoxy group, a trichloromethoxy group, and a trifluoromethoxy group, and preferably those having 8 or more carbon atoms. These oligomers and polymers may also be used.
 置換又は未置換のシクロアルキル基の具体例としては、シクロペンチル基、シクロヘキシル基、ノルボナン基、アダマンタン基、4-メチルシクロヘキシル基、4-シアノシクロヘキシル基等であり好ましくは炭素数が8以上のものがよい。またこれらのオリゴマー、ポリマーでもよい。 Specific examples of the substituted or unsubstituted cycloalkyl group include a cyclopentyl group, a cyclohexyl group, a norbonane group, an adamantane group, a 4-methylcyclohexyl group, a 4-cyanocyclohexyl group, and preferably those having 8 or more carbon atoms. Good. These oligomers and polymers may also be used.
 置換又は未置換の複素環基の具体例としては、ピロール基、ピロリン基、ピラゾール基、ピラゾリン基、イミダゾール基、トリアゾール基、ピリジン基、ピリダジン基、ピリミジン基、ピラジン基、トリアジン基、インドール基、ベンズイミダゾール基、プリン基、キノリン基、イソキノリン基、シノリン基、キノキサリン基、ベンゾキノリン基、フルオレノン基、ジシアノフルオレノン基、カルバゾール基、オキサゾール基、オキサジアゾール基、チアゾール基、チアジアゾール基、ベンゾオキサゾール基、ベンゾチアゾール基、ベンゾトリアゾール基、ビスベンゾオキサゾール基、ビスベンゾチアゾール基、ビスベンゾイミダゾール基等がある。またこれらのオリゴマー、ポリマーでもよい。 Specific examples of the substituted or unsubstituted heterocyclic group include pyrrole group, pyrroline group, pyrazole group, pyrazoline group, imidazole group, triazole group, pyridine group, pyridazine group, pyrimidine group, pyrazine group, triazine group, indole group, Benzimidazole group, purine group, quinoline group, isoquinoline group, sinoline group, quinoxaline group, benzoquinoline group, fluorenone group, dicyanofluorenone group, carbazole group, oxazole group, oxadiazole group, thiazole group, thiadiazole group, benzoxazole group Benzothiazole group, benzotriazole group, bisbenzoxazole group, bisbenzothiazole group, bisbenzimidazole group and the like. These oligomers and polymers may also be used.
 置換又は未置換のアシル基の具体例としては、ホルミル基、アセチル基、プロピオニル基、ブチリル基、イソブチリル基、バレリル基、イソバレリル基、ピバロイル基、ラウロイル基、ミリストイル基、パルミトイル基、ステアロイル基、オキサリル基、マロニル基、スクシニル基、グルタリル基、アジポイル基、ピメロイル基、スベロイル基、アゼラオイル基、セバコイル基、アクリロイル基、プロピオロイル基、メタクリロイル基、クロトノイル基、イソクロトノイル基、オレオイル基、エライドイル基、マレオイル基、フマロイル基、シトラコノイル基、メサコノイル基、カンホロイル基、ベンゾイル基、フタロイル基、イソフタロイル基、テレフタロイル基、ナフトイル基、トルオイル基、ヒドロアトロポイル基、アトロポイル基、シンナモイル基、フロイル基、テノイル基、ニコチノイル基、イソニコチノイル基、グリコロイル基、ラクトイル基、グリセロイル基、タルトロノイル基、マロイル基、タルタロイル基、トロポイル基、ベンジロイル基、サリチロイル基、アニソイル基、バニロイル基、ベラトロイル基、ピペロニロイル基、プロトカテクオイル基、ガロイル基、グリオキシロイル基、ピルボイル基、アセトアセチル基、メソオキサリル基、メソオキサロ基、オキサルアセチル基、オキサルアセト基、レブリノイル基これらのアシル基にフッソ、塩素、臭素、ヨウ素などが置換してもよい。好ましくはアシル基の炭素は8以上良い。またこれらのオリゴマー、ポリマーでもよい。 Specific examples of the substituted or unsubstituted acyl group include formyl group, acetyl group, propionyl group, butyryl group, isobutyryl group, valeryl group, isovaleryl group, pivaloyl group, lauroyl group, myristoyl group, palmitoyl group, stearoyl group, oxalyl group Group, malonyl group, succinyl group, glutaryl group, adipoyl group, pimeloyl group, suberoyl group, azelaoil group, sebacoyl group, acryloyl group, propioloyl group, methacryloyl group, crotonoyl group, isocrotonoyl group, oleoyl group, elidoyl group, maleoyl group , Fumaroyl group, citraconoyl group, mesaconoyl group, camphoroyl group, benzoyl group, phthaloyl group, isophthaloyl group, terephthaloyl group, naphthoyl group, toluoyl group, hydroatropoyl group, atropoyl group Cinnamoyl group, furoyl group, tenoyl group, nicotinoyl group, isonicotinoyl group, glycoloyl group, lactoyl group, glyceroyl group, tartronoyl group, maloyl group, tartaloyl group, tropoyl group, benzyloyl group, salicyloyl group, anisoyl group, vanilloyl group, veratroyl group , Piperoniloyl group, protocatechuyl group, galloyl group, glyoxyloyl group, pyrvoyl group, acetoacetyl group, mesooxalyl group, mesooxalo group, oxalacetyl group, oxalaceto group, levulinoyl group Fluoro, chlorine, bromine on these acyl groups , Iodine or the like may be substituted. Preferably, the acyl group has 8 or more carbon atoms. These oligomers and polymers may also be used.
 本発明に係る一般式(A)で表される構造を有する有機金属酸化物を形成するための、金属アルコキシド、金属カルボキシレート及びフッ化アルコールの具体的な組み合わせについて、以下に例示する。ただし、本発明はこれに限定されるものではない。 Specific examples of the combination of metal alkoxide, metal carboxylate and fluorinated alcohol for forming the organometallic oxide having the structure represented by the general formula (A) according to the present invention will be exemplified below. However, the present invention is not limited to this.
 前記金属アルコキシド、金属カルボキシレートとフッ化アルコール(R′-OH)は以下の反応スキームIIIによって、本発明に係る有機金属酸化物となる。ここで、(R′-OH)としては、以下のF-1~F-16の構造が例示される。 The metal alkoxide, metal carboxylate, and fluorinated alcohol (R′-OH) are converted to the organometallic oxide according to the present invention by the following reaction scheme III. Here, (R′—OH) is exemplified by the following structures F-1 to F-16.
Figure JPOXMLDOC01-appb-C000005
Figure JPOXMLDOC01-appb-C000005
Figure JPOXMLDOC01-appb-C000006
Figure JPOXMLDOC01-appb-C000006
 本発明に係る金属アルコキシド又は金属カルボキシレートは、以下のM(OR)n又はM(OCOR)nに示す化合物が例示され、本発明に係る有機金属酸化物は、前記(R′-OH:F-1~F-16)との組み合わせにより、下記例示化合物番号1~135の構造を有する化合物(下記例示化合物I、II及びIII参照。)となる。本発明に係る有機金
属酸化物は、ただしこれに限定されるものではない。
Examples of the metal alkoxide or metal carboxylate according to the present invention include compounds represented by the following M (OR) n or M (OCOR) n, and the organometallic oxide according to the present invention includes the above (R′—OH: F In combination with -1 to F-16), compounds having the structures of the following Exemplified Compound Nos. 1 to 135 (see Exemplified Compounds I, II and III below) are obtained. However, the organometallic oxide according to the present invention is not limited to this.
Figure JPOXMLDOC01-appb-C000007
Figure JPOXMLDOC01-appb-C000007
Figure JPOXMLDOC01-appb-C000008
Figure JPOXMLDOC01-appb-C000008
Figure JPOXMLDOC01-appb-C000009
Figure JPOXMLDOC01-appb-C000009
 本発明に係る有機金属酸化物を製造する有機金属酸化物の製造方法は、金属アルコキシドとフッ化アルコールの混合液を用いて製造することが特徴である。 The method for producing an organometallic oxide for producing an organometallic oxide according to the present invention is characterized by producing using a mixed liquid of a metal alkoxide and a fluorinated alcohol.
 反応の一例として例示化合物番号1の反応スキームIV及び有機金属酸化物層に適用するときの有機金属酸化物の構造を以下に示す。 As an example of the reaction, the reaction scheme IV of Exemplified Compound No. 1 and the structure of the organometallic oxide when applied to the organometallic oxide layer are shown below.
 なお、下記構造式において、「O-Ti」部の「Ti」は、さらに置換基を有しているが、省略してある。 In the structural formula below, “Ti” in the “O—Ti” part further has a substituent, but is omitted.
Figure JPOXMLDOC01-appb-C000010
Figure JPOXMLDOC01-appb-C000010
 本発明に係る有機金属酸化物の製造方法は、金属アルコキシド又は金属カルボキシレートにフッ化アルコールを加え混合液として撹拌混合させた後に、必要に応じて水と触媒を添加して所定温度で反応させる方法を挙げることができる。 In the method for producing an organometallic oxide according to the present invention, a fluorinated alcohol is added to a metal alkoxide or metal carboxylate, and the mixture is stirred and mixed. Then, water and a catalyst are added as necessary and reacted at a predetermined temperature. A method can be mentioned.
 ゾル・ゲル反応をさせる際には、加水分解・重縮合反応を促進させる目的で下記に示すような加水分解・重合反応の触媒となりうるものを加えてもよい。ゾル-ゲル反応の加水分解・重合反応の触媒として使用されるものは、「最新ゾル-ゲル法による機能性薄膜作製技術」(平島碩著、株式会社総合技術センター、P29)や「ゾル-ゲル法の科学」(作花済夫著、アグネ承風社、P154)等に記載されている一般的なゾル・ゲル反応で用いられる触媒である。例えば、酸触媒では塩酸、硝酸、硫酸、リン酸、酢酸、シュウ酸、酒石酸、トルエンスルホン酸等の無機及び有機酸類、アルカリ触媒では、水酸化アンモニウム、水酸化カリウム、水酸化ナトリウムなどのアルカリ金属水酸化物、テトラメチルアンモニウムヒドロキシド、テトラエチルアンモニウムヒドロキシド、テトラブチルアンモニウムヒドロキシドなどの4級アンモニウム水酸化物、アンモニア、トリエチルアミン、トリブチルアミン、モルホリン、ピリジン、ピペリジン、エチレンジアミン、ジエチレントリアミン、エタノールアミン、ジエタノールアミン、トリエタノールアミンなどのアミン類、3-アミノプロピルトリエトキシシラン、N-(2-アミノエチル)-3-アミノプロピルトリメトキシシランなどのアミノシラン類などが挙げられる。 When the sol-gel reaction is performed, for the purpose of accelerating the hydrolysis / polycondensation reaction, a substance that can be a catalyst for the hydrolysis / polymerization reaction as shown below may be added. What is used as a catalyst for hydrolysis / polymerization reaction of sol-gel reaction is "Functional thin film fabrication technology by the latest sol-gel method" (by Hirashima Satoshi, General Technology Center, P29) and "Sol-Gel It is a catalyst used in a general sol-gel reaction described in “Science of Law” (Sakuo Sakuo, Agne Jofusha, P154). For example, for acid catalysts, inorganic and organic acids such as hydrochloric acid, nitric acid, sulfuric acid, phosphoric acid, acetic acid, oxalic acid, tartaric acid, and toluenesulfonic acid, and for alkali catalysts, alkali metals such as ammonium hydroxide, potassium hydroxide, and sodium hydroxide Quaternary ammonium hydroxide such as hydroxide, tetramethylammonium hydroxide, tetraethylammonium hydroxide, tetrabutylammonium hydroxide, ammonia, triethylamine, tributylamine, morpholine, pyridine, piperidine, ethylenediamine, diethylenetriamine, ethanolamine, diethanolamine , Amines such as triethanolamine, aminosilanes such as 3-aminopropyltriethoxysilane, N- (2-aminoethyl) -3-aminopropyltrimethoxysilane Etc., and the like.
 好ましい触媒の使用量は、有機金属酸化物の原料となる金属アルコキシド又は金属カルボキシレート1モルに対して2モル当量以下、さらに好ましくは1モル当量以下ある。 The amount of the catalyst used is preferably 2 molar equivalents or less, more preferably 1 molar equivalent or less, per 1 mol of the metal alkoxide or metal carboxylate used as the organic metal oxide raw material.
 ゾル・ゲル反応をさせる際、好ましい水の添加量は、有機金属酸化物の原料となる金属アルコキシド又は金属カルボキシレート1モルに対して、40モル当量以下であり、より好ましくは、10モル当量以下であり、さらに好ましくは、5モル当量以下である。 When the sol-gel reaction is carried out, the preferable amount of water added is 40 molar equivalents or less, more preferably 10 molar equivalents or less with respect to 1 mol of the metal alkoxide or metal carboxylate as the raw material of the organometallic oxide. More preferably, it is 5 molar equivalents or less.
 本発明において、好ましいゾル・ゲル反応の反応濃度、温度、時間は、使用する金属アルコキシド又は金属カルボキシレートの種類や分子量、それぞれの条件が相互に関わるため一概には言えない。すなわち、アルコキシド又は金属カルボキシレートの分子量が高い場合や、反応濃度の高い場合に、反応温度を高く設定したり、反応時間を長くし過ぎたりすると、加水分解、重縮合反応に伴って反応生成物の分子量が上がり、高粘度化やゲル化する可能性がある。したがって、通常の好ましい反応濃度は、おおむね溶液中の固形分の質量%濃度で1~50%であり、5~30%がより好ましい。また、反応温度は反応時間にもよるが通常0~150℃であり、好ましくは1~100℃、より好ましくは20~60℃であり、反応時間は1~50時間程度が好ましい。 In the present invention, the preferred reaction concentration, temperature, and time of the sol-gel reaction cannot be generally described because the type and molecular weight of the metal alkoxide or metal carboxylate used and the respective conditions are related to each other. That is, when the molecular weight of the alkoxide or metal carboxylate is high, or when the reaction concentration is high, if the reaction temperature is set high or the reaction time is too long, the reaction product is accompanied by hydrolysis and polycondensation reaction. There is a possibility that the molecular weight of the polymer increases, resulting in high viscosity or gelation. Accordingly, the usual preferable reaction concentration is generally 1 to 50% in terms of the mass% concentration of solid content in the solution, and more preferably 5 to 30%. Although depending on the reaction time, the reaction temperature is usually 0 to 150 ° C., preferably 1 to 100 ° C., more preferably 20 to 60 ° C., and the reaction time is preferably about 1 to 50 hours.
 前記有機金属酸化物の重縮合体が有機金属酸化物層を形成し、以下の反応スキームVにより、水分を吸収して下記オリゴマーを生成し、大気安定性向上に寄与する。また、層中には、OR′として残る部分もあるが、密着性に影響するほど多くは残らない。 The organocondensate polycondensate forms an organometallic oxide layer, which absorbs moisture and produces the following oligomers according to the following reaction scheme V, contributing to the improvement of atmospheric stability. In addition, there is a portion remaining as OR 'in the layer, but not so much as to affect the adhesion.
 なお、下記構造式において、「O-Ti」部の「Ti」は、さらに置換基を有しているが、省略してある。 In the structural formula below, “Ti” in the “O—Ti” part further has a substituent, but is omitted.
Figure JPOXMLDOC01-appb-C000011
Figure JPOXMLDOC01-appb-C000011
 本発明に係る有機金属酸化物層は、前記本発明の有機金属酸化物を含む塗布液を調製し、基材上に塗布して焼結又は紫外線を照射して重縮合させながら皮膜化することで、形成することができる。 The organometallic oxide layer according to the present invention is prepared by preparing a coating solution containing the organometallic oxide of the present invention, coating the substrate and sintering or irradiating it with ultraviolet rays to form a film while polycondensing. Can be formed.
 塗布液を調製する際に必要であれば用いることのできる有機溶媒としては、例えば、脂肪族炭化水素、脂環式炭化水素、芳香族炭化水素等の炭化水素溶媒、ハロゲン化炭化水素溶媒、又は、脂肪族エーテル又は脂環式エーテル等のエーテル類等が適宜使用できる。 Examples of the organic solvent that can be used when preparing the coating liquid include hydrocarbon solvents such as aliphatic hydrocarbons, alicyclic hydrocarbons, and aromatic hydrocarbons, halogenated hydrocarbon solvents, or Ethers such as aliphatic ethers or alicyclic ethers can be used as appropriate.
 塗布液における本発明に係る有機金属酸化物の濃度は、目的とする厚さや塗布液のポットライフによっても異なるが、0.2~35質量%程度であることが好ましい。塗布液には重合を促進する触媒を添加することも好ましい。 The concentration of the organometallic oxide according to the present invention in the coating solution varies depending on the target thickness and the pot life of the coating solution, but is preferably about 0.2 to 35% by mass. It is also preferable to add a catalyst for promoting polymerization to the coating solution.
 調製した塗布液は、スプレーコート法、スピンコート法、ブレードコート法、ディップコート法、キャスト法、ロールコート法、バーコート法、ダイコート法などの塗布による方法、インクジェットプリント法、及びディスペンサー法を含む印刷法などのパターニングによる方法などの湿式形成法が挙げられ、材料に応じて使用できる。これらのうち好ましいのは、インクジェットプリント法である。インクジェットプリント法については、特に限定されるものではなく、公知の方法を採用することができる。 The prepared coating liquid includes spray coating, spin coating, blade coating, dip coating, dip coating, casting, roll coating, bar coating, die coating, and other coating methods, inkjet printing methods, and dispenser methods. A wet forming method such as a patterning method such as a printing method can be used, and it can be used depending on the material. Of these, the inkjet printing method is preferable. The ink jet printing method is not particularly limited, and a known method can be adopted.
 インクジェットプリント法によるインクジェットヘッドからの塗布液の吐出方式は、オンデマンド方式及びコンティニュアス方式のいずれでもよい。オンデマンド方式のインクジェットヘッドは、シングルキャビティー型、ダブルキャビティー型、ベンダー型、ピストン型、シェアーモード型及びシェアードウォール型等の電気-機械変換方式、又は、サーマルインクジェット型及びバブルジェット(登録商標)型等の電気-熱変換方式等のいずれでもよい。 The on-demand method or the continuous method may be used as the method for discharging the coating liquid from the ink jet head by the ink jet printing method. On-demand inkjet heads are available in electro-mechanical conversion methods such as single cavity type, double cavity type, bender type, piston type, shear mode type and shared wall type, or thermal inkjet type and bubble jet (registered trademark). ) Any type of electrical-thermal conversion system or the like may be used.
 例えば、特開2012-140017号公報、特開2013-010227号公報、特開2014-058171号公報、特開2014-097644号公報、特開2015-142979号公報、特開2015-142980号公報、特開2016-002675号公報、特開2016-002682号公報、特開2016-107401号公報、特開2017-109476号公報、特開2017-177626号公報等に記載されている構成からなるインクジェットヘッドを適宜選択して適用することができる。 For example, JP 2012-140017 A, JP 2013-010227 A, JP 2014-058171 A, JP 2014-097664 A, JP 2015-142979 A, JP 2015-142980 A, Inkjet heads having configurations described in JP2016-002675A, JP2016-002682A, JP2016-107401A, JP2017-109476A, JP2017-177626A, and the like. Can be appropriately selected and applied.
 塗布後の有機金属酸化物層を固定化するには、低温で重合反応が可能なプラズマやオゾンや紫外光を使うことが好ましく、中でも紫外光であることが薄膜表面の平滑性向上のために好ましい。 In order to fix the organometallic oxide layer after coating, it is preferable to use plasma, ozone, or ultraviolet light that can be polymerized at low temperatures. Among these, ultraviolet light is preferred for improving the smoothness of the thin film surface. preferable.
 紫外線処理における紫外線の発生手段としては、前述のとおり、例えば、メタルハライドランプ、高圧水銀ランプ、低圧水銀ランプ、キセノンアークランプ、カーボンアークランプ、エキシマランプ、UV光レーザー等が挙げられる。 Examples of the means for generating ultraviolet rays in the ultraviolet treatment include metal halide lamps, high-pressure mercury lamps, low-pressure mercury lamps, xenon arc lamps, carbon arc lamps, excimer lamps, and UV light lasers as described above.
 紫外線照射は、バッチ処理にも連続処理にも適合可能であり、使用する基材の形状によって適宜選定することができる。有機金属酸化物層を形成する基材が長尺フィルム状である場合には、これを搬送させながら上記のような紫外線発生源を具備した乾燥ゾーンで連続的に紫外線を照射することにより行うことができる。紫外線照射に要する時間は、使用する基材や乾燥剤含有塗布液の組成、濃度にもよるが、一般に0.1秒~10分間であり、好ましくは0.5秒~3分間である。 UV irradiation can be applied to both batch processing and continuous processing, and can be appropriately selected depending on the shape of the substrate used. When the base material on which the organic metal oxide layer is formed is a long film, it is carried out by continuously irradiating ultraviolet rays in the drying zone equipped with the ultraviolet ray generation source as described above while being conveyed. Can do. The time required for ultraviolet irradiation is generally 0.1 seconds to 10 minutes, preferably 0.5 seconds to 3 minutes, although it depends on the base material used and the composition and concentration of the desiccant-containing coating solution.
 塗膜面が受けるエネルギーとしては、均一で堅牢な薄膜を形成する観点から、1.0J/cm2以上であることが好ましく、1.5J/cm2以上であることがより好ましい。また、同様に、過度な紫外線照射を避ける観点から、14.0J/cm2以下であることが
好ましく、12.0J/cm2以下であることがより好ましく、10.0J/cm2以下であることが特に好ましい。
The energy coated surface receives, from the viewpoint of forming a uniform and robust film, is preferably 1.0 J / cm 2 or more, and more preferably 1.5 J / cm 2 or more. Similarly, from the viewpoint of avoiding excessive ultraviolet radiation, it is preferably 14.0J / cm 2 or less, more preferably 12.0J / cm 2 or less, is 10.0J / cm 2 or less It is particularly preferred.
 また、紫外線を照射する際の、酸素濃度は300~10000体積ppm(1体積%)とすることが好ましく、更に好ましくは、500~5000体積ppmである。このような酸素濃度の範囲に調整することにより、有機金属酸化物層が酸素過多になるのを防止して、水分吸収の劣化を防止することができる。 Further, the oxygen concentration at the time of irradiation with ultraviolet rays is preferably 300 to 10,000 volume ppm (1 volume%), more preferably 500 to 5000 volume ppm. By adjusting to such an oxygen concentration range, it is possible to prevent the organometallic oxide layer from becoming excessively oxygen and to prevent deterioration of moisture absorption.
 紫外線照射時にこれら酸素以外のガスとしては乾燥不活性ガスを用いることが好ましく、特にコストの観点から乾燥窒素ガスにすることが好ましい。 As the gas other than oxygen at the time of ultraviolet irradiation, it is preferable to use a dry inert gas, and it is particularly preferable to use a dry nitrogen gas from the viewpoint of cost.
 これらの紫外線処理の詳細については、例えば、特開2012-086394号公報の段落0055~0091、特開2012-006154号公報の段落0049~0085、特開2011-251460号公報の段落0046~0074等に記載の内容を参照することができる。 Details of these ultraviolet treatments include, for example, paragraphs 0055 to 0091 of JP2012-086394, paragraphs 0049 to 0085 of JP2012-006154, paragraphs 0046 to 0074 of JP2011-251460, and the like. The contents described in can be referred to.
 〔5〕封止層
 本発明に係る封止層は、少なくともポリシラザンを含有する塗布液を塗布して乾燥した層に改質処理を施してなる層である(以下、封止層をポリシラザン層という場合がある。)。
[5] Sealing layer The sealing layer according to the present invention is a layer formed by applying a modification treatment to a layer obtained by applying a coating liquid containing at least polysilazane (hereinafter, the sealing layer is referred to as a polysilazane layer). May be.)
 封止層の乾燥後の層厚としては、好ましくは5~1000nmの範囲内、より好ましくは10~800nmの範囲内、特に好ましくは50~500nmも範囲内であることが、封止効果とフレキシブル性を両立する観点から、好ましい。 The thickness of the sealing layer after drying is preferably within a range of 5 to 1000 nm, more preferably within a range of 10 to 800 nm, and particularly preferably within a range of 50 to 500 nm. From the viewpoint of achieving compatibility, it is preferable.
 (ポリシラザン)
 ポリシラザンとは、ケイ素-窒素結合を有するポリマーであり、Si-N、Si-H、N-H等の結合を有するSiO2、Si34、及び両方の中間固溶体SiOxy等のセラミック前駆体無機ポリマーである。
(Polysilazane)
Polysilazane is a polymer having a silicon-nitrogen bond, such as SiO 2 , Si 3 N 4 having a bond such as Si—N, Si—H, or N—H, and ceramics such as both intermediate solid solutions SiO x N y. It is a precursor inorganic polymer.
 具体的には、ポリシラザンは、好ましくは下記一般式(1)の部分構造を有する。 Specifically, polysilazane preferably has a partial structure represented by the following general formula (1).
Figure JPOXMLDOC01-appb-C000012
Figure JPOXMLDOC01-appb-C000012
 上記一般式(1)において、R1、R2及びR3は、それぞれ独立して、水素原子、置換又は非置換の、アルキル基、アリール基、ビニル基又は(トリアルコキシシリル)アルキル基である。この際、R1、R2及びR3は、それぞれ、同じであっても又は異なるものであってもよい。ここで、アルキル基としては、炭素原子数1~8の直鎖、分岐鎖又は環状のアルキル基が挙げられる。より具体的には、メチル基、エチル基、n-プロピル基、イソプロピル基、n-ブチル基、イソブチル基、sec-ブチル基、tert-ブチル基、n-ペンチル基、イソペンチル基、ネオペンチル基、n-ヘキシル基、n-ヘプチル基、n-オクチル基、2-エチルヘキシル基、シクロプロピル基、シクロペンチル基、シクロヘキシル基などがある。また、アリール基としては、炭素原子数6~30のアリール基が挙げられる。より具体的には、フェニル基、ビフェニル基、ターフェニル基などの非縮合炭化水素基;ペンタレニル基、インデニル基、ナフチル基、アズレニル基、ヘプタレニル基、ビフェニレニル基、フルオレニル基、アセナフチレニル基、プレイアデニル基、アセナフテニル基、フェナレニル基、フェナントリル基、アントリル基、フルオランテニル基、アセフェナントリレニル基、アセアントリレニル基、トリフェニレニル基、ピレニル基、クリセニル基、ナフタセニル基などの縮合多環炭化水素基が挙げられる。(トリアルコキシシリル)アルキル基としては、炭素原子数1~8のアルコキシ基で置換されたシリル基を有する炭素原子数1~8のアルキル基が挙げられる。より具体的には、3-(トリエトキシシリル)プロピル基、3-(トリメトキシシリル)プロピル基などが挙げられる。上記R1~R3に場合によって存在する置換基は、特に制限はないが、例えば、アルキル基、ハロゲン原子、ヒドロキシ基(-OH)、メルカプト基(-SH)、シアノ基(-CN)、スルホ基(-SO3H)、カルボキシ基(-COOH)、ニトロ基(-NO2)などがある。なお、場合によって存在する置換基は、置換するR1~R3と同じとなることはない。例えば、R1~R3がアルキル基の場合には、さらにアルキル基で置換されることはない。これらのうち、好ましくは、R1、R2及びR3は、水素原子、メチル基、エチル基、プロピル基、イソプロピル基、ブチル基、イソブチル基、tert-ブチル基、フェニル基、ビニル基、3-(トリエトキシシリル)プロピル基又は3-(トリメトキシシリルプロピル)基である。 In the general formula (1), R 1 , R 2 and R 3 are each independently a hydrogen atom, a substituted or unsubstituted alkyl group, aryl group, vinyl group or (trialkoxysilyl) alkyl group. . In this case, R 1 , R 2 and R 3 may be the same or different. Here, examples of the alkyl group include linear, branched or cyclic alkyl groups having 1 to 8 carbon atoms. More specifically, methyl group, ethyl group, n-propyl group, isopropyl group, n-butyl group, isobutyl group, sec-butyl group, tert-butyl group, n-pentyl group, isopentyl group, neopentyl group, n -Hexyl group, n-heptyl group, n-octyl group, 2-ethylhexyl group, cyclopropyl group, cyclopentyl group, cyclohexyl group and the like. Examples of the aryl group include aryl groups having 6 to 30 carbon atoms. More specifically, non-condensed hydrocarbon group such as phenyl group, biphenyl group, terphenyl group; pentarenyl group, indenyl group, naphthyl group, azulenyl group, heptaenyl group, biphenylenyl group, fluorenyl group, acenaphthylenyl group, preadenenyl group , Condensed polycyclic hydrocarbon groups such as acenaphthenyl group, phenalenyl group, phenanthryl group, anthryl group, fluoranthenyl group, acephenanthrenyl group, aceantrirenyl group, triphenylenyl group, pyrenyl group, chrysenyl group, naphthacenyl group, etc. Can be mentioned. The (trialkoxysilyl) alkyl group includes an alkyl group having 1 to 8 carbon atoms having a silyl group substituted with an alkoxy group having 1 to 8 carbon atoms. More specific examples include 3- (triethoxysilyl) propyl group and 3- (trimethoxysilyl) propyl group. The substituent optionally present in R 1 to R 3 is not particularly limited, and examples thereof include an alkyl group, a halogen atom, a hydroxy group (—OH), a mercapto group (—SH), a cyano group (—CN), There are a sulfo group (—SO 3 H), a carboxy group (—COOH), a nitro group (—NO 2 ) and the like. The optionally present substituent is not the same as R 1 to R 3 to be substituted. For example, when R 1 to R 3 are alkyl groups, they are not further substituted with alkyl groups. Of these, R 1 , R 2 and R 3 are preferably hydrogen, methyl, ethyl, propyl, isopropyl, butyl, isobutyl, tert-butyl, phenyl, vinyl, 3 -(Triethoxysilyl) propyl group or 3- (trimethoxysilylpropyl) group.
 また、上記一般式(1)において、nは、整数であり、一般式(1)で表される構造を有するポリシラザンが150~150000g/モルの数平均分子量を有するように定められることが好ましい。 In the general formula (1), n is an integer, and it is preferable that the polysilazane having the structure represented by the general formula (1) has a number average molecular weight of 150 to 150,000 g / mol.
 上記一般式(1)で表される構造を有する化合物において、好ましい態様の一つは、R1、R2及びR3の全てが水素原子であるパーヒドロポリシラザンである。 In the compound having the structure represented by the general formula (1), one of preferred embodiments is perhydropolysilazane in which all of R 1 , R 2 and R 3 are hydrogen atoms.
 ポリシラザンは有機溶媒に溶解した溶液状態で市販されており、市販品をそのままガスバリアー層形成用塗布液として使用することができる。ポリシラザン溶液の市販品としては、AZエレクトロニックマテリアルズ株式会社製のアクアミカ(登録商標)NN120-10、NN120-20、NAX120-20、NN110、NN310、NN320、NL110A、NL120A、NL120-20、NL150A、NP110、NP140、SP140等が挙げられる。 Polysilazane is commercially available in a solution state dissolved in an organic solvent, and the commercially available product can be used as it is as a coating solution for forming a gas barrier layer. Examples of commercially available polysilazane solutions include AQUAMICA (registered trademark) NN120-10, NN120-20, NAX120-20, NN110, NN310, NN320, NL110A, NL120A, NL120-20, NL150A, and NP110 manufactured by AZ Electronic Materials Co., Ltd. NP140, SP140 and the like.
 ポリシラザンを用いる場合、改質処理前の封止層中におけるポリシラザンの含有率としては、封止層の全質量を100質量%としたとき、100質量%でありうる。また、封止層がポリシラザン以外のものを含む場合には、層中におけるポリシラザンの含有率は、10質量%以上99質量%以下であることが好ましく、40質量%以上95質量%以下であることがより好ましく、特に好ましくは70質量%以上95質量%以下である。 When polysilazane is used, the content of polysilazane in the sealing layer before the modification treatment may be 100% by mass when the total mass of the sealing layer is 100% by mass. Moreover, when the sealing layer contains things other than polysilazane, the content of polysilazane in the layer is preferably 10% by mass or more and 99% by mass or less, and 40% by mass or more and 95% by mass or less. Is more preferably 70% by mass or more and 95% by mass or less.
 また、封止層形成用塗布液には、封止層の耐熱性を向上する観点から、アルミニウム化合物を含有することが好ましく、アルミニウム化合物としては、アルミニウムトリメトキシド、アルミニウムトリエトキシド等が挙げられ、市販品の具体的な例としては、例えば、AMD(アルミニウムジイソプロピレートモノsec-ブチレート)、ASBD(アルミニウムセカンダリーブチレート)、ALCH(アルミニウムエチルアセトアセテート・ジイソプロピレート)等が挙げられる。封止層形成用塗布液中の含有量としては0.1~10質量%であることが好ましく、1~5質量%であることがより好ましい。 The sealing layer forming coating solution preferably contains an aluminum compound from the viewpoint of improving the heat resistance of the sealing layer. Examples of the aluminum compound include aluminum trimethoxide and aluminum triethoxide. Specific examples of commercially available products include AMD (aluminum diisopropylate monosec-butyrate), ASBD (aluminum secondary butyrate), ALCH (aluminum ethyl acetoacetate diisopropylate) and the like. The content in the coating liquid for forming the sealing layer is preferably 0.1 to 10% by mass, and more preferably 1 to 5% by mass.
 また、低温でセラミック化するポリシラザンの他の例としては、上記一般式(1)で表される単位からなる主骨格を有するポリシラザンに、ケイ素アルコキシドを反応させて得られるケイ素アルコキシド付加ポリシラザン(例えば、特開平5-238827号公報参照。)、グリシドールを反応させて得られるグリシドール付加ポリシラザン(例えば、特開平6-122852号公報参照。)、アルコールを反応させて得られるアルコール付加ポリシラザン(例えば、特開平6-240208号公報参照。)、金属カルボン酸塩を反応させて得られる金属カルボン酸塩付加ポリシラザン(例えば、特開平6-299118号公報参照。)、金属を含むアセチルアセトナート錯体を反応させて得られるアセチルアセトナート錯体付加ポリシラザン(例えば、特開平6-306329号公報参照。)、金属微粒子を添加して得られる金属微粒子添加ポリシラザン(例えば、特開平7-196986号公報参照。)等が挙げられる。 In addition, as another example of polysilazane that is ceramicized at a low temperature, a silicon alkoxide-added polysilazane obtained by reacting a silicon alkoxide with a polysilazane having a main skeleton composed of a unit represented by the general formula (1) (for example, JP-A-5-238827), a glycidol-added polysilazane obtained by reacting glycidol (for example, see JP-A-6-122852), an alcohol-added polysilazane obtained by reacting an alcohol (for example, JP-A No. Hei. 6-240208), a metal carboxylate-added polysilazane obtained by reacting a metal carboxylate (for example, see JP-A-6-299118), and a metal-containing acetylacetonate complex. Obtained acetylacetonate complex-added polysila Down (e.g., JP-A-6-306329 JP reference.), Fine metal particles of the metal particles added polysilazane obtained by adding (e.g., JP-A-7-196986 JP reference.), And the like.
 本発明においては、湿式形成法又はインクジェットプリント法により前述の中間層及び封止層を製造することが好ましい。 In the present invention, the intermediate layer and the sealing layer are preferably produced by a wet forming method or an ink jet printing method.
 〈湿式形成法〉
 本発明に適用可能なインクジェットプリント法を除く湿式形成法としては、スピンコート法、キャスト法、スクリーン印刷法、ダイコート法、ブレードコート法、ロールコート法、スプレーコート法、カーテンコート法、LB法(ラングミュア-ブロジェット法)、及びディスペンサー等が挙げられ、均質な薄膜が得られやすく、かつ高生産性の点から、ダイコート法、ロールコート法、スプレーコート法などが好ましい。
<Wet forming method>
Examples of wet forming methods other than the ink jet printing method applicable to the present invention include spin coating methods, casting methods, screen printing methods, die coating methods, blade coating methods, roll coating methods, spray coating methods, curtain coating methods, LB methods ( Langmuir-Blodgett method), dispenser, and the like. From the viewpoint of obtaining a uniform thin film and high productivity, a die coating method, a roll coating method, a spray coating method, and the like are preferable.
 〈インクジェットプリント法〉
 本発明の中間層及び封止層の製造においては、インクジェットプリント法を適用することが、任意の位置に、有機EL素子の有機機能層を効率よく封止できる観点から、好ましい方法である。
<Inkjet printing method>
In the production of the intermediate layer and the sealing layer of the present invention, it is a preferable method to apply the inkjet printing method from the viewpoint of efficiently sealing the organic functional layer of the organic EL element at an arbitrary position.
 以下、インクジェットプリント法に使用するインクジェットヘッド、インク液滴の射出条件、インクジェットプリント方法と装置について、図を交えて説明する。 Hereinafter, an ink jet head used for the ink jet printing method, ink droplet ejection conditions, an ink jet printing method and an apparatus will be described with reference to the drawings.
 (インクジェットヘッド)
 インクジェットプリント法で用いられるインクジェットヘッドとしては、オンデマンド方式でもコンティニュアス方式でもよい。また、吐出方式としては、電気-機械変換方式(例えば、シングルキャビティー型、ダブルキャビティー型、ベンダー型、ピストン型、シェアーモード型、シェアードウォール型等)、電気-熱変換方式(例えば、サーマルインクジェット型、バブルジェット(登録商標)型等)、静電吸引方式(例えば、電界制御型、スリットジェット型等)、放電方式(例えば、スパークジェット型等)などを具体的な例として挙げることができるが、いずれの吐出方式を用いてもよい。また、印刷方式としては、シリアルヘッド方式、ラインヘッド方式等を制限なく用いることができる。
(Inkjet head)
As an inkjet head used in the inkjet printing method, an on-demand system or a continuous system may be used. Discharge methods include electro-mechanical conversion methods (eg, single cavity type, double cavity type, bender type, piston type, shear mode type, shared wall type, etc.), and electro-thermal conversion methods (eg, thermal Specific examples include an ink jet type, a bubble jet (registered trademark) type, an electrostatic suction type (for example, an electric field control type, a slit jet type, etc.), and a discharge type (for example, a spark jet type). However, any discharge method may be used. As a printing method, a serial head method, a line head method, or the like can be used without limitation.
 (インク液滴サイズ)
 各構成層の形成において、インクジェットヘッドから射出するインク液滴の体積は、0.5~100pLの範囲内とすることが好ましい。形成層の塗布ムラが少なく、かつ印刷速度を高速化できる観点から、2~20pLの範囲であることが、より好ましい。なお、インク液滴の体積は、印加電圧の調整等によって、所望の条件に適宜調整が可能である。
(Ink droplet size)
In forming each constituent layer, the volume of ink droplets ejected from the inkjet head is preferably in the range of 0.5 to 100 pL. The range of 2 to 20 pL is more preferable from the viewpoint of less application unevenness of the formation layer and high printing speed. The volume of the ink droplet can be appropriately adjusted to a desired condition by adjusting the applied voltage or the like.
 (印刷方法)
 インクジェットプリント法による印刷方法には、ワンパス印刷法とマルチパス印刷法がある。ワンパス印刷法は、所定の印刷領域に複数のインクジェットヘッドを固定配置し、1回のヘッドスキャンで印刷する方法である。これに対し、マルチパス印刷法(シリアルプリント方式ともいう。)は、所定の印刷領域を複数回のヘッドスキャンで印刷する方法である。
(Printing method)
Printing methods based on the ink jet printing method include a one-pass printing method and a multi-pass printing method. The one-pass printing method is a method in which a plurality of inkjet heads are fixedly arranged in a predetermined printing area and printed by one head scan. On the other hand, the multi-pass printing method (also referred to as a serial printing method) is a method for printing a predetermined printing area by a plurality of head scans.
 ワンパス印刷法では、所望とする塗布パターンの幅以上の幅にわたってノズルが並設された広幅のヘッドを用いることが好ましい。同一の基材上に、互いにパターンが連続していない独立した複数の塗布パターンを形成する場合は、少なくとも各塗布パターンの幅以上の広幅ヘッドを用いればよい。 In the one-pass printing method, it is preferable to use a wide head in which nozzles are arranged in parallel over the width of a desired coating pattern. When forming a plurality of independent coating patterns whose patterns are not continuous with each other on the same base material, a wide head having at least the width of each coating pattern may be used.
 図2は、ワンパス印刷法のインクジェットプリント方式を用いた中間層又は封止層の形成方法の一例を示す概略図である。 FIG. 2 is a schematic view showing an example of a method for forming an intermediate layer or a sealing layer using an inkjet printing method of a one-pass printing method.
 図2は、インクジェットヘッド30を具備したインクジェットプリンターを用いて、フレキシブル基材F上に形成された、有機EL素子を構成するガスバリアー層、第1電極、有機機能層群、第2電極に対して中間層又は封止層の各形成材料を含むインクを順次吐出して、複数の独立した形態の有機EL素子ELを形成する方法の一例を示してある。 FIG. 2 shows a gas barrier layer, a first electrode, an organic functional layer group, and a second electrode, which are formed on a flexible base material F and constitute an organic EL element, using an ink jet printer equipped with an ink jet head 30. An example of a method for forming a plurality of independent organic EL elements EL by sequentially ejecting ink containing each forming material of the intermediate layer or the sealing layer is shown.
 図2に示すように、フレキシブル基材Fを連続的に搬送しながら、インクジェットヘッド30により中間層又は封止層形成材料を含むインクを、インク液滴として順次射出して、有機EL素子ELを形成する。 As shown in FIG. 2, while continuously transporting the flexible base material F, the ink containing the intermediate layer or the sealing layer forming material is sequentially ejected as ink droplets by the ink jet head 30 to form the organic EL element EL. Form.
 本発明の製造方法に適用可能なインクジェットヘッド30としては、特に限定はなく、例えばインク圧力室に圧電素子を備えた振動板を有しており、この振動板によるインク圧力室の圧力変化でインク液を吐出させる剪断モード型(ピエゾ型)のヘッドでもよいし、発熱素子を有しており、この発熱素子からの熱エネルギーによりインク液の膜沸騰による急激な体積変化によりノズルからインク液を吐出させるサーマルタイプのヘッドであってもよい。 The inkjet head 30 applicable to the manufacturing method of the present invention is not particularly limited. For example, the inkjet head 30 includes a diaphragm having a piezoelectric element in the ink pressure chamber, and ink is changed by the pressure change in the ink pressure chamber by the diaphragm. A shear mode type (piezo-type) head that discharges the liquid may be used, or a heat generating element may be used, and the ink liquid is discharged from the nozzle by a sudden volume change due to film boiling of the ink liquid due to the heat energy from the heat generating element. It may be a thermal type head.
 インクジェットヘッド30には、射出用のインク液の供給機構などが接続されている。インク液の供給はタンク38Aにより行われる。インクジェットヘッド30内のインク液圧力を常に一定に保つようにこの例ではタンク液面を一定にする。その方法としては、インク液をタンク38Aからオーバーフローさせてタンク38Bに自然流下で戻している。タンク38Bからタンク38Aへのインク液の供給は、ポンプ31により行われており、射出条件に合わせて安定的にタンク38Aの液面が一定となるように制御されている。 The ink jet head 30 is connected to an ink supply mechanism for ejecting ink. The ink liquid is supplied by the tank 38A. In this example, the tank liquid level is made constant so that the ink liquid pressure in the ink jet head 30 is always kept constant. As the method, the ink liquid is overflowed from the tank 38A and returned to the tank 38B under a natural flow. The ink liquid is supplied from the tank 38B to the tank 38A by the pump 31, and is controlled so that the liquid level of the tank 38A is stably constant according to the ejection conditions.
 なお、ポンプ31からタンク38Aへインク液を戻す際には、フィルター32を通してから行われている。このように、インク液はインクジェットヘッド30へ供給される前に絶対濾過精度又は準絶対濾過精度が0.05~50μmの濾材を少なくとも1回は通過させることが好ましい。 The ink liquid is returned from the pump 31 to the tank 38A through the filter 32. Thus, before the ink liquid is supplied to the inkjet head 30, it is preferable to pass the filter medium having an absolute filtration accuracy or semi-absolute filtration accuracy of 0.05 to 50 μm at least once.
 また、インクジェットヘッド30の洗浄作業や液体充填作業などを実施するためにタンク36よりインク液が、タンク37より洗浄溶媒がポンプ39によりインクジェットヘッド30へ強制的に供給可能となっている。インクジェットヘッド30に対してこうしたタンクポンプ類は複数に分けても良いし、配管の分岐を使用しても良い、またそれらの組み合わせでもかまわない。図2では配管分岐13を使用している。さらにインクジェットヘッド30内のエアーを十分に除去するためにタンク36よりポンプ39にてインクジェット30へインク液を強制的に送液しながら下記に記すエアー抜き配管からインク液を抜き出して廃液タンク34に送ることもある。 Further, in order to perform the cleaning operation or liquid filling operation of the inkjet head 30, the ink liquid from the tank 36 and the cleaning solvent from the tank 37 can be forcibly supplied to the inkjet head 30 by the pump 39. Such tank pumps may be divided into a plurality of parts for the ink jet head 30, pipe branches may be used, or a combination thereof may be used. In FIG. 2, a pipe branch 13 is used. Further, in order to sufficiently remove the air in the ink jet head 30, the ink liquid is forcibly sent from the tank 36 to the ink jet 30 by the pump 39, and the ink liquid is extracted from the air vent pipe described below to the waste liquid tank 34. May be sent.
 図3は、インクジェットプリント方式に適用可能なインクジェットヘッドの構造の一例を示す概略外観図である。 FIG. 3 is a schematic external view showing an example of the structure of an inkjet head applicable to the inkjet printing method.
 図3Aは、本発明に適用可能なインクジェットヘッド30を示す概略斜視図であり、図3Bは、インクジェットヘッド30の底面図である。 FIG. 3A is a schematic perspective view showing an inkjet head 30 applicable to the present invention, and FIG. 3B is a bottom view of the inkjet head 30.
 本発明に適用可能なインクジェットヘッド30は、インクジェットプリンター(図示略)に搭載されるものであり、インクをノズルから吐出させるヘッドチップと、このヘッドチップが配設された配線基板と、この配線基板とフレキシブル基板を介して接続された駆動回路基板と、ヘッドチップのチャネルにフィルターを介してインクを導入するマニホールドと、内側にマニホールドが収納された筐体56と、この筐体56の底面開口を塞ぐように取り付けられたキャップ受板57と、マニホールドの第1インクポート及び第2インクポートに取り付けられた第1及び第2ジョイント81a、81bと、マニホールドの第3インクポートに取り付けられた第3ジョイント82と、筐体56に取り付けられたカバー部材59とを備えている。また、筐体56をプリンタ本体側に取り付けるための取り付け用孔68がそれぞれ形成されている。641、651、661及び671はそれぞれ取付用の凹部を示す。 An inkjet head 30 applicable to the present invention is mounted on an inkjet printer (not shown), a head chip that ejects ink from a nozzle, a wiring board on which the head chip is disposed, and the wiring board. And a drive circuit board connected via a flexible board, a manifold for introducing ink into the channel of the head chip via a filter, a casing 56 containing the manifold inside, and a bottom opening of the casing 56 A cap receiving plate 57 attached so as to close, first and second joints 81a and 81b attached to the first ink port and the second ink port of the manifold, and a third attached to the third ink port of the manifold. A joint 82 and a cover member 59 attached to the housing 56 are provided. Further, attachment holes 68 for attaching the casing 56 to the printer main body are formed. Reference numerals 641, 651, 661, and 671 denote recessed portions for attachment.
 また、図3Bで示すキャップ受板57は、キャップ受板取り付け部62の形状に対応して、外形が左右方向に長尺な略矩形板状として形成され、その略中央部に複数のノズルが配置されているノズルプレート61を露出させるため、左右方向に長尺なノズル用開口部71が設けられている。また、図3Aで示すインクジェットヘッド内部の具体的な構造に関しては、例えば、特開2012-140017号公報に記載されている図2等を参照することができる。 The cap receiving plate 57 shown in FIG. 3B is formed in a substantially rectangular plate shape whose outer shape is long in the left-right direction, corresponding to the shape of the cap receiving plate mounting portion 62, and a plurality of nozzles are formed in the substantially central portion. In order to expose the arranged nozzle plate 61, a nozzle opening 71 that is long in the left-right direction is provided. As for a specific structure inside the ink jet head shown in FIG. 3A, for example, FIG. 2 described in JP 2012-140017 A can be referred to.
 図3にはインクジェットヘッドの代表例を示したが、そのほかにも、例えば、特開2012-140017号公報、特開2013-010227号公報、特開2014-058171号公報、特開2014-097644号公報、特開2015-142979号公報、特開2015-142980号公報、特開2016-002675号公報、特開2016-002682号公報、特開2016-107401号公報、特開2017-109476号公報、特開2017-177626号公報等に記載されている構成からなるインクジェットヘッドを適宜選択して適用することができる。 FIG. 3 shows a representative example of an ink jet head. In addition, for example, JP 2012-140017 A, JP 2013-010227 A, JP 2014-058171 A, and JP 2014-097664 A. Gazette, JP-A-2015-14279, JP-A-2015-142980, JP-A-2016-002675, JP-A-2016-002682, JP-A-2016-107401, JP-A-2017-109476, An ink jet head having a configuration described in Japanese Patent Application Laid-Open No. 2017-177626 can be appropriately selected and applied.
 〈封止層の改質処理〉
 本発明に係る封止層はポリシラザンとその改質体を含むことを特徴とし、例えば、前記インクジェットプリント法によって形成されたポリシラザン含有封止層中のポリシラザンを改質処理することで得られる。改質処理とは、ポリシラザンの一部又は全部を、酸化ケイ素又は酸窒化ケイ素へ転化させる反応をいう。
<Reforming treatment of sealing layer>
The sealing layer according to the present invention includes polysilazane and a modified product thereof, and can be obtained, for example, by modifying polysilazane in the polysilazane-containing sealing layer formed by the inkjet printing method. The modification treatment refers to a reaction that converts part or all of polysilazane into silicon oxide or silicon oxynitride.
 改質処理は、前述の中間層の改質処理方法で挙げた真空紫外線照射処理を行うことが好ましい。 The reforming treatment is preferably performed by the vacuum ultraviolet irradiation treatment described in the above-described method for modifying the intermediate layer.
 真空紫外線照射工程でパーヒドロポリシラザンから酸窒化ケイ素、さらには酸化ケイ素が生じると推定される反応機構について、以下に説明する。 The reaction mechanism presumed to produce silicon oxynitride and further silicon oxide from perhydropolysilazane in the vacuum ultraviolet irradiation process will be described below.
 (1)脱水素、それに伴うSi-N結合の形成
 パーヒドロポリシラザン中のSi-H結合やN-H結合は真空紫外線照射による励起等で比較的容易に切断され、不活性雰囲気下ではSi-Nとして再結合すると考えられる(Siの未結合手が形成される場合もある。)。すなわち、酸化することなくSiN組成として硬化する。この場合はポリマー主鎖の切断は生じない。Si-H結合やN-H結合の切断は触媒の存在や、加熱によって促進される。切断されたHはH2として膜外に放出される。
(1) Dehydrogenation and accompanying Si—N bond formation Si—H bonds and N—H bonds in perhydropolysilazane are relatively easily cleaved by excitation with vacuum ultraviolet irradiation and the like. It is considered that they are recombined as N (a dangling bond of Si may be formed). That is, it is cured as a SiN 2 composition without being oxidized. In this case, the polymer main chain is not broken. The breaking of Si—H bonds and N—H bonds is promoted by the presence of a catalyst and heating. The cut H is released out of the membrane as H 2 .
 (2)加水分解・脱水縮合によるSi-O-Si結合の形成
 パーヒドロポリシラザン中のSi-N結合は水により加水分解され、ポリマー主鎖が切断されてSi-OHを形成する。二つのSi-OHが脱水縮合してSi-O-Si結合を形成して硬化する。これは大気中でも生じる反応であるが、不活性雰囲気下での真空紫外線照射中では、照射の熱によって基材から生じる水蒸気が主な水分源となると考えられる。水分が過剰となると脱水縮合しきれないSi-OHが残存し、SiO2.12.3の組成で示されるガスバリアー性の低い硬化膜となる。
(2) Formation of Si—O—Si Bonds by Hydrolysis / Dehydration Condensation Si—N bonds in perhydropolysilazane are hydrolyzed by water, and the polymer main chain is cleaved to form Si—OH. Two Si—OH are dehydrated and condensed to form a Si—O—Si bond and harden. This is a reaction that occurs even in the air, but during vacuum ultraviolet irradiation in an inert atmosphere, water vapor generated from the base material by the heat of irradiation is considered to be the main moisture source. When the water is excessive, Si—OH that cannot be dehydrated and condensed remains, and a cured film having a low gas barrier property represented by a composition of SiO 2.1 to 2.3 is obtained.
 (3)一重項酸素による直接酸化、Si-O-Si結合の形成
 真空紫外線照射中、雰囲気下に適当量の酸素が存在すると、酸化力の非常に強い一重項酸素が形成される。パーヒドロポリシラザン中のHやNはOと置き換わってSi-O-Si結合を形成して硬化する。ポリマー主鎖の切断により結合の組み換えを生じる場合もあると考えられる。
(3) Direct oxidation by singlet oxygen, formation of Si—O—Si bond When a suitable amount of oxygen is present in the atmosphere during irradiation with vacuum ultraviolet rays, singlet oxygen having very strong oxidizing power is formed. H or N in the perhydropolysilazane is replaced with O to form a Si—O—Si bond and harden. It is thought that recombination of the bond may occur due to cleavage of the polymer main chain.
 (4)真空紫外線照射・励起によるSi-N結合切断を伴う酸化
 真空紫外線のエネルギーはパーヒドロポリシラザン中のSi-Nの結合エネルギーよりも高いため、Si-N結合は切断され、周囲に酸素、オゾン、水等の酸素源が存在すると酸化されてSi-O-Si結合やSi-O-N結合が生じると考えられる。ポリマー主鎖の切断により結合の組み換えを生じる場合もあると考えられる。
(4) Oxidation accompanied by Si—N bond cleavage by vacuum ultraviolet irradiation / excitation Since the energy of vacuum ultraviolet light is higher than the bond energy of Si—N in perhydropolysilazane, the Si—N bond is cleaved, and oxygen, It is considered that when an oxygen source such as ozone or water is present, it is oxidized to form a Si—O—Si bond or a Si—O—N bond. It is thought that recombination of the bond may occur due to cleavage of the polymer main chain.
 ポリシラザンを含有する層に真空紫外線照射を施した層の酸窒化ケイ素の組成の調整は、上述の(1)~(4)の酸化機構を適宜組み合わせて酸化状態を制御することで行うことができる。 Adjustment of the composition of silicon oxynitride in the layer obtained by subjecting the polysilazane-containing layer to vacuum ultraviolet irradiation can be performed by appropriately controlling the oxidation state by appropriately combining the oxidation mechanisms (1) to (4) described above. .
 ポリシラザンの改質は、通常の製造においてはランプの紫外線強度や照射時間、また照射時の温度条件等の制約があり、上記(1)~(4)の反応が起こっても、層内のポリシラザンの全部を転化することは困難であり、したがって、生産ベースでのポリシラザンの改質処理では、多くの場合、未改質のポリシラザンが数%の範囲内で残存することになる。 The modification of polysilazane is limited by the ultraviolet intensity of the lamp, irradiation time, temperature conditions during irradiation, etc. in normal production, and even if the reactions (1) to (4) above occur, the polysilazane in the layer Therefore, it is difficult to convert all of the polysilazane. Therefore, in the modification process of polysilazane on a production basis, unmodified polysilazane often remains within a range of several percent.
 本発明における封止層への真空紫外線照射処理による改質において、照度、照射エネルギー量、光源の選定、照射時の酸素濃度、及び加熱処理等の条件は、前述の中間層へ真空紫外線照射の条件を適宜用いることができる。 In the modification by the vacuum ultraviolet irradiation treatment to the sealing layer in the present invention, conditions such as illuminance, irradiation energy amount, selection of light source, oxygen concentration at the time of irradiation, and heat treatment are the conditions of the above-mentioned intermediate layer of vacuum ultraviolet irradiation. Conditions can be used as appropriate.
 これらの改質処理においては、例えば、特開2012-086394号公報の段落「0055」~「0091」、特開2012-006154号公報の段落「0049」~「0085」、特開2011-251460号公報の段落「0046」~「0074」等に記載の内容を参照することができる。 In these reforming treatments, for example, paragraphs “0055” to “0091” in JP2012-086394A, paragraphs “0049” to “0085” in JP2012-006154A, JP2011-251460A, for example. The contents described in paragraphs “0046” to “0074” of the publication can be referred to.
 2.その他の電子デバイス
 本発明の電子デバイスの適用例として、前述の有機EL素子以外のその他の電子デバイスとして、有機光電変換素子を有する太陽電池及び有機薄膜トランジスタについて説明する。
2. Other Electronic Device As an application example of the electronic device of the present invention, a solar cell and an organic thin film transistor having an organic photoelectric conversion element will be described as other electronic devices other than the above-described organic EL element.
 〔1〕有機光電変換素子を有する太陽電池
 本発明の電子デバイスにおいて、本発明に係る封止層及び中間層は有機光電変換素子の封止層として適用することが好ましい。
[1] Solar cell having organic photoelectric conversion element In the electronic device of the present invention, the sealing layer and the intermediate layer according to the present invention are preferably applied as a sealing layer of the organic photoelectric conversion element.
 以下、光電変換素子及び太陽電池を説明する。図では、本発明の中間層及び封止層は省略して図示しているが、前述の有機EL素子と同様に素子全体が中間層及び封止層によって覆われている。 Hereinafter, a photoelectric conversion element and a solar cell will be described. In the drawing, the intermediate layer and the sealing layer of the present invention are omitted, but the entire element is covered with the intermediate layer and the sealing layer as in the above-described organic EL element.
 図4は、バルクヘテロジャンクション型の有機光電変換素子からなるシングル構成(バルクヘテロジャンクション層が1層の構成)の太陽電池の一例を示す断面図である。 FIG. 4 is a cross-sectional view showing an example of a solar cell having a single configuration (a configuration having one bulk heterojunction layer) composed of a bulk heterojunction type organic photoelectric conversion element.
 図4において、バルクヘテロジャンクション型の有機光電変換素子200は、基板201の一方面上に、透明電極(陽極)202、正孔輸送層207、バルクヘテロジャンクション層の光電変換部204、電子輸送層(又はバッファー層ともいう。208)及び対極(陰極)203が順次積層されている。 In FIG. 4, a bulk heterojunction type organic photoelectric conversion element 200 includes a transparent electrode (anode) 202, a hole transport layer 207, a bulk heterojunction layer photoelectric conversion unit 204, an electron transport layer (or an electron transport layer) on one surface of a substrate 201. Also referred to as a buffer layer, 208) and a counter electrode (cathode) 203 are sequentially stacked.
 基板201は、順次積層された透明電極202、光電変換部204及び対極203を保持する部材である。本実施形態では、基板201側から光電変換される光が入射するので、基板201は、この光電変換される光を透過させることが可能な、すなわち、この光電変換すべき光の波長に対して透明な部材であることが好ましい。基板201は、例えば、ガラス基板や樹脂基板等が用いられる。この基板201は、必須ではなく、例えば、光電変換部204の両面に透明電極202及び対極203を形成することでバルクヘテロジャンクション型の有機光電変換素子200が構成されてもよい。 The substrate 201 is a member that holds the transparent electrode 202, the photoelectric conversion unit 204, and the counter electrode 203 that are sequentially stacked. In the present embodiment, since light that is photoelectrically converted enters from the substrate 201 side, the substrate 201 can transmit the light that is photoelectrically converted, that is, with respect to the wavelength of the light to be photoelectrically converted. A transparent member is preferred. As the substrate 201, for example, a glass substrate or a resin substrate is used. The substrate 201 is not essential. For example, the bulk heterojunction organic photoelectric conversion element 200 may be configured by forming the transparent electrode 202 and the counter electrode 203 on both surfaces of the photoelectric conversion unit 204.
 光電変換部204は、光エネルギーを電気エネルギーに変換する層であって、p型半導体材料とn型半導体材料とを一様に混合したバルクヘテロジャンクション層を有して構成される。p型半導体材料は、相対的に電子供与体(ドナー)として機能し、n型半導体材料は、相対的に電子受容体(アクセプター)として機能する。ここで、電子供与体及び電子受容体は、“光を吸収した際に、電子供与体から電子受容体に電子が移動し、正孔と電子のペア(電荷分離状態)を形成する電子供与体及び電子受容体”であり、電極のように単に電子を供与又は受容するものではなく、光反応によって、電子を供与又は受容するものである。 The photoelectric conversion unit 204 is a layer that converts light energy into electrical energy, and includes a bulk heterojunction layer in which a p-type semiconductor material and an n-type semiconductor material are uniformly mixed. The p-type semiconductor material functions relatively as an electron donor (donor), and the n-type semiconductor material functions relatively as an electron acceptor (acceptor). Here, the electron donor and the electron acceptor are “an electron donor in which, when light is absorbed, electrons move from the electron donor to the electron acceptor to form a hole-electron pair (charge separation state)”. And an electron acceptor ", which don't just donate or accept electrons like an electrode, but donates or accepts electrons by photoreaction.
 図4において、基板201を介して透明電極202から入射された光は、光電変換部204のバルクヘテロジャンクション層における電子受容体又は電子供与体で吸収され、電子供与体から電子受容体に電子が移動し、正孔と電子のペア(電荷分離状態)が形成される。発生した電荷は、内部電界、例えば、透明電極202と対極203の仕事関数が異なる場合では透明電極202と対極203との電位差によって、電子は電子受容体間を通り、また正孔は電子供与体間を通り、それぞれ異なる電極へ運ばれ光電流が検出される。例えば、透明電極202の仕事関数が対極203の仕事関数よりも大きい場合では、電子は透明電極202へ、正孔は対極203へ輸送される。なお、仕事関数の大小が逆転すれば、電子と正孔はこれとは逆方向に輸送される。また、透明電極202と対極203との間に電位をかけることにより、電子と正孔の輸送方向を制御することもできる。 In FIG. 4, light incident from the transparent electrode 202 through the substrate 201 is absorbed by the electron acceptor or electron donor in the bulk heterojunction layer of the photoelectric conversion unit 204, and electrons move from the electron donor to the electron acceptor. Thus, a hole-electron pair (charge separation state) is formed. The generated electric charge is caused by an internal electric field, for example, when the work functions of the transparent electrode 202 and the counter electrode 203 are different, the electrons pass between the electron acceptors and the holes are electron donors due to the potential difference between the transparent electrode 202 and the counter electrode 203. The photocurrent is detected by passing through different electrodes. For example, when the work function of the transparent electrode 202 is larger than the work function of the counter electrode 203, electrons are transported to the transparent electrode 202 and holes are transported to the counter electrode 203. If the work function is reversed, electrons and holes are transported in the opposite direction. In addition, by applying a potential between the transparent electrode 202 and the counter electrode 203, the transport direction of electrons and holes can be controlled.
 なお、図4には記載していないが、正孔ブロック層、電子ブロック層、電子注入層、正孔注入層、又は平滑化層等の他の層を有していてもよい。 Although not shown in FIG. 4, other layers such as a hole blocking layer, an electron blocking layer, an electron injection layer, a hole injection layer, or a smoothing layer may be included.
 また、さらなる太陽光利用率(光電変換効率)の向上を目的として、このような光電変換素子を積層した、タンデム型の構成(バルクヘテロジャンクション層を複数有する構成)であってもよい。 Also, a tandem configuration (a configuration having a plurality of bulk heterojunction layers) in which such photoelectric conversion elements are stacked may be used for the purpose of further improving the sunlight utilization rate (photoelectric conversion efficiency).
 図5は、タンデム型のバルクヘテロジャンクション層を備える有機光電変換素子からなる太陽電池を示す断面図である。タンデム型構成の場合、基板201上に、順次透明電極202、第1の光電変換部209を積層した後、電荷再結合層(中間電極)205を積層した後、第2の光電変換部206、次いで対極203を積層することで、タンデム型の構成とすることができる。 FIG. 5 is a cross-sectional view showing a solar cell composed of an organic photoelectric conversion element having a tandem bulk heterojunction layer. In the case of the tandem configuration, the transparent electrode 202 and the first photoelectric conversion unit 209 are sequentially stacked on the substrate 201, the charge recombination layer (intermediate electrode) 205 is stacked, and then the second photoelectric conversion unit 206, Next, by stacking the counter electrode 203, a tandem structure can be obtained.
 上記のような層に用いることができる材料については、例えば、特開2015-149483号公報の段落0045~0113に記載のn型半導体材料、及びp型半導体材料が挙げられる。 Examples of materials that can be used for the layer as described above include n-type semiconductor materials and p-type semiconductor materials described in paragraphs 0045 to 0113 of JP-A-2015-149483.
 有機光電変換素子を構成する電極については、前述の有機EL素子で説明した陽極と陰極を用いることが好ましい。その場合、有機光電変換素子は、バルクヘテロジャンクション層で生成した正電荷と負電荷とが、それぞれp型有機半導体材料、及びn型有機半導体材料を経由して、それぞれ透明電極及び対極から取り出され、電池として機能するものである。それぞれの電極には、電極を通過するキャリアに適した特性が求められる。 For the electrodes constituting the organic photoelectric conversion element, it is preferable to use the anode and the cathode described in the above-mentioned organic EL element. In that case, in the organic photoelectric conversion element, positive charges and negative charges generated in the bulk heterojunction layer are respectively extracted from the transparent electrode and the counter electrode via the p-type organic semiconductor material and the n-type organic semiconductor material, respectively. It functions as a battery. Each electrode is required to have characteristics suitable for carriers passing through the electrode.
 有機光電変換素子は、バルクヘテロジャンクション層で発生した電荷をより効率的に取り出すことが可能となるため、バルクヘテロジャンクション層と透明電極との中間には正孔輸送層・電子ブロック層を有していることが好ましい。 The organic photoelectric conversion element has a hole transport layer / electron block layer in between the bulk heterojunction layer and the transparent electrode because it is possible to more efficiently extract charges generated in the bulk heterojunction layer. It is preferable.
 これらの層を構成する材料としては、例えば、正孔輸送層としては、ヘレウス社製Clevios等のPEDOT、ポリアニリン及びそのドープ材料、WO2006/019270号等に記載のシアン化合物等を用いることができる。 As a material constituting these layers, for example, as the hole transport layer, PEDOT such as Clevios manufactured by Heraeus, polyaniline and its doped material, cyan compounds described in WO2006 / 019270, and the like can be used.
 有機光電変換素子は、バルクヘテロジャンクション層と対極との中間には電子輸送層・正孔ブロック層・バッファー層を形成することで、バルクヘテロジャンクション層で発生した電荷をより効率的に取り出すことが可能となるため、これらの層を有していることが好ましい。 The organic photoelectric conversion device can extract charges generated in the bulk heterojunction layer more efficiently by forming an electron transport layer, hole blocking layer, and buffer layer between the bulk heterojunction layer and the counter electrode. Therefore, it is preferable to have these layers.
 有機光電変換素子は、太陽光のより効率的な受光を目的として、各種の光学機能層を有していてよい。光学機能層としては、例えば、反射防止膜、マイクロレンズアレイ等の集光層、対極で反射した光を散乱させて再度バルクヘテロジャンクション層に入射させることができるような光拡散層等を設けてもよい。 The organic photoelectric conversion element may have various optical function layers for the purpose of more efficiently receiving sunlight. As the optical functional layer, for example, a light condensing layer such as an antireflection film or a microlens array, or a light diffusing layer that can scatter the light reflected by the counter electrode and enter the bulk heterojunction layer again can be provided. Good.
 〔2〕有機薄膜トランジスタ
 図6は、有機薄膜トランジスタの構成を示した概略断面図である。図では、本発明の電子デバイスにおいて、本発明に係る封止層及び中間層は有機薄膜トランジスタの封止層として適用することが好ましい。
[2] Organic Thin Film Transistor FIG. 6 is a schematic sectional view showing the configuration of the organic thin film transistor. In the figure, in the electronic device of the present invention, the sealing layer and the intermediate layer according to the present invention are preferably applied as a sealing layer of an organic thin film transistor.
 本発明に係る中間層及び封止層は省略して図示しているが、前述の有機EL素子と同様に素子全体が中間層及び封止層によって覆われている。 Although the intermediate layer and the sealing layer according to the present invention are omitted, the entire element is covered with the intermediate layer and the sealing layer in the same manner as the organic EL element described above.
 図6Aは、支持体306上に金属箔等によりソース電極302、ドレイン電極303を形成し、両電極間に、再表2009/101862号公報に記載の有機半導体材料として、6,13-ビストリイソプロピルシリルエチニルペンタセンからなる電荷移動性薄膜(有機半導体層)301を形成し、その上に絶縁層305を形成し、さらにその上にゲート電極304を形成して電界効果トランジスタを形成したものである。 In FIG. 6A, a source electrode 302 and a drain electrode 303 are formed on a support 306 by a metal foil or the like, and 6,13-bistriisopropyl is used as an organic semiconductor material described in the reissue table 2009/101862 between both electrodes. A field effect transistor is formed by forming a charge transfer thin film (organic semiconductor layer) 301 made of silylethynylpentacene, forming an insulating layer 305 thereon, and further forming a gate electrode 304 thereon.
 図6Bは、有機半導体層301を、図6(a)では電極間に形成したものを、コート法等を用いて電極及び支持体表面全体を覆うように形成したものを表す。 FIG. 6B shows the organic semiconductor layer 301 formed between the electrodes in FIG. 6A so as to cover the entire surface of the electrode and the support using a coating method or the like.
 図6Cは、支持体306上にまずコート法等を用いて、有機半導体層301を形成し、その後ソース電極302、ドレイン電極303、絶縁層305、及びゲート電極304を形成したものを表す。 FIG. 6C shows a structure in which an organic semiconductor layer 301 is first formed on a support 306 by using a coating method or the like, and then a source electrode 302, a drain electrode 303, an insulating layer 305, and a gate electrode 304 are formed.
 図6Dは、支持体306上にゲート電極304を金属箔等で形成した後、絶縁層305を形成し、その上に金属箔等で、ソース電極302及びドレイン電極303を形成し、該電極間に本発明の発光性組成物により形成された有機半導体層301を形成する。 6D, after forming the gate electrode 304 with a metal foil or the like over the support 306, the insulating layer 305 is formed, and the source electrode 302 and the drain electrode 303 are formed with the metal foil or the like on the insulating layer 305. Then, an organic semiconductor layer 301 formed of the light emitting composition of the present invention is formed.
 その他、図6E及び図6Fに示すような構成を取ることもできる。 In addition, the configuration as shown in FIGS. 6E and 6F can be adopted.
 以下、実施例を挙げて本発明を具体的に説明するが、本発明はこれらに限定されるものではない。なお、実施例において「部」又は「%」の表示を用いるが、特に断りがない限り「質量部」又は「質量%」を表す。 Hereinafter, the present invention will be specifically described with reference to examples, but the present invention is not limited thereto. In addition, although the display of "part" or "%" is used in an Example, unless otherwise indicated, "mass part" or "mass%" is represented.
 〔実施例1〕
 中間層の材料として以下の無溶剤型ポリマーを使用した、
 ・UV硬化型フッ素系樹脂 ディフェンサOP-3801(DIC社製)
 上記UV硬化型樹脂を、シリコンウェハ上に200nmの層厚でスピン塗布成膜させ、UV:365nmを1分照射したものに、表Iに記載の中間層表面の改質処理を施したものを測定サンプルとした。
[Example 1]
Using the following solventless polymer as the material of the intermediate layer,
・ UV curable fluorine resin Defensor OP-3801 (manufactured by DIC)
The above UV curable resin was spin-coated on a silicon wafer with a layer thickness of 200 nm and irradiated with UV: 365 nm for 1 minute, and subjected to the modification treatment of the intermediate layer surface described in Table I A measurement sample was obtained.
 なお、改質処理条件は以下のとおりである。 The reforming treatment conditions are as follows.
 (VUV:真空紫外線照射処理)
 (株)エム・ディ・コム製エキシマ照射装置MODEL:MECL-M-1-200
 波長:172nm
 ランプ封入ガス:Xe
 エキシマ光強度:0.3~1J/cm2
 試料と光源の距離  :2mm
 ステージ加熱温度  :80℃
 照射装置内の酸素濃度:0体積%
 (UV:紫外線照射処理)
 高圧水銀ランプを用い、波長365nmのUVを2J/cm2の条件で照射した。
(VUV: Vacuum UV irradiation treatment)
Excimer irradiation device MODEL: MECL-M-1-200 manufactured by M.D.Com
Wavelength: 172nm
Lamp filled gas: Xe
Excimer light intensity: 0.3-1 J / cm 2
Distance between sample and light source: 2mm
Stage heating temperature: 80 ° C
Oxygen concentration in the irradiation device: 0% by volume
(UV: UV irradiation treatment)
Using a high-pressure mercury lamp, UV with a wavelength of 365 nm was irradiated under the condition of 2 J / cm 2 .
 (フラッシュ焼成処理)
 250nm以下の短波長カットフィルターを装着したキセノンフラッシュランプ2400WS(COMET社製)を用いて、酸素濃度0.002体積%、水蒸気濃度0.002体積%(酸素含有物質濃度0.004体積%)の雰囲気下で、光照射エネルギーの総計が2J/cm2のフラッシュ光を、照射時間2m秒で照射して、焼成処理を行った。
(Flash firing process)
Using a xenon flash lamp 2400WS (made by COMET) equipped with a short wavelength cut filter of 250 nm or less, an oxygen concentration of 0.002% by volume and a water vapor concentration of 0.002% by volume (oxygen-containing substance concentration of 0.004% by volume). Under the atmosphere, flash processing was performed by irradiating flash light having a total light irradiation energy of 2 J / cm 2 with an irradiation time of 2 milliseconds.
 (プラズマイオン注入処理)
 プラズマイオン注入装置(RF電源:日本電子(株)製、RF56000、高電圧パルス電源:栗田製作所(株)、PV-3-HSHV-0835)を用いて、得られた中間層表面に対し、2J/cm2の条件にてプラズマイオン注入を行った。
(Plasma ion implantation process)
Using a plasma ion implantation apparatus (RF power supply: manufactured by JEOL Ltd., RF56000, high voltage pulse power supply: Kurita Seisakusho Co., Ltd., PV-3-HSHV-0835), 2J was applied to the surface of the obtained intermediate layer. Plasma ion implantation was performed under the conditions of / cm 2 .
 <改質層厚の測定>
 改質処理した中間層のデプスプロファイル測定を行い、改質層厚を求めた。
<Measurement of modified layer thickness>
The depth profile of the modified intermediate layer was measured to determine the modified layer thickness.
 装置:アルバック・ファイ製QuanteraSXM
 X線:単色化Al-Kα
 スパッタイオン:Ar+(3kV)
 その結果、中間層表面深さ0~70nmの炭素成分比率は、平均12at%であり、表面深さ70~200nmの炭素成分比率は、平均30at%であり、中間層表面から70nmの厚さで改質されていることが分かった。本発明では、炭素成分比率が通常の層よりも低いことを改質層と定義する。高エネルギー照射により、炭素成分が分解・揮発するため、一般的に炭素成分が低い方が膜はより緻密化するといわれる。
Equipment: Quantera SXM manufactured by ULVAC-PHI
X-ray: Monochromatic Al-Kα
Sputter ion: Ar + (3 kV)
As a result, the carbon component ratio at the intermediate layer surface depth of 0 to 70 nm is 12 at% on average, and the carbon component ratio at the surface depth of 70 to 200 nm is 30 at% on average, with a thickness of 70 nm from the intermediate layer surface. It was found that it was modified. In the present invention, it is defined as a modified layer that the carbon component ratio is lower than that of a normal layer. Since the carbon component is decomposed and volatilized by high energy irradiation, it is generally said that the lower the carbon component, the denser the film.
 また、真空紫外線処理に比較して、フラッシュ焼成処理及びプラズマイオン注入処理は、改質されてはいるが、改質具合は弱かった。 Also, compared with the vacuum ultraviolet ray treatment, the flash firing treatment and the plasma ion implantation treatment were modified, but the degree of modification was weak.
 <接触角の測定>
 中間層表面の純水の接触角の測定は、JIS-R3257に基づいて、23℃、55%RHの雰囲気下で、接触角計(協和界面科学株式会社製、商品名DropMaster DM100)を用いて、純水1μLを滴下し1分後における接触角を測定した。なお、測定は有機薄膜幅手方向に対して等間隔で10点測定して、最大値及び最小値を除いてその平均値を接触角とした。
<Measurement of contact angle>
The contact angle of pure water on the surface of the intermediate layer was measured using a contact angle meter (trade name DropMaster DM100, manufactured by Kyowa Interface Science Co., Ltd.) in an atmosphere of 23 ° C. and 55% RH based on JIS-R3257. Then, 1 μL of pure water was dropped and the contact angle after 1 minute was measured. In addition, the measurement measured 10 points | pieces at equal intervals with respect to the organic thin-film width direction, and made the average value the contact angle except the maximum value and the minimum value.
Figure JPOXMLDOC01-appb-T000013
Figure JPOXMLDOC01-appb-T000013
 〔実施例2〕
 サンプルは、シリコンウェハ上に実施例1と同様に中間層を200nmの層厚になるように、スピン塗布成膜させ、UV:365nmを1分照射し、各表面改質処理を施した後、PHPSを含有する塗布液を中間層上に500nmの層厚でスピン塗布成膜し、ホットプレートで80℃で1分乾燥後、VUV表面改質処理を6J/cm2の条件で施し、封止
層とした。
[Example 2]
The sample was spin-coated on the silicon wafer in the same manner as in Example 1 so that the intermediate layer had a thickness of 200 nm, irradiated with UV: 365 nm for 1 minute, and subjected to each surface modification treatment. A coating solution containing PHPS is spin-coated on the intermediate layer to a thickness of 500 nm, dried on a hot plate at 80 ° C. for 1 minute, and then subjected to a VUV surface modification treatment under conditions of 6 J / cm 2 and sealed. Layered.
 (VUV:真空紫外線照射処理)
 (株)エム・ディ・コム製エキシマ照射装置MODEL:MECL-M-1-200
 波長:172nm
 ランプ封入ガス:Xe
 エキシマ光強度:6J/cm2
 試料と光源の距離  :2mm
 ステージ加熱温度  :80℃
 照射装置内の酸素濃度:0.1体積%
 なお、PHPSを含有する塗布液は、PHPSを20質量%含むジブチルエーテル溶液(AZエレクトロニックマテリアルズ株式会社製、NN120-20)と、アミン触媒(N,N,N′,N′-テトラメチル-1,6-ジアミノヘキサン(TMDAH))を含むPHPS20質量%のジブチルエーテル溶液(AZエレクトロニックマテリアルズ株式会社製、NAX120-20)とを、4:1(質量比)の割合で混合し、さらに乾燥層厚調整のためジブチルエーテルで適宜希釈し、塗布液を調製した。
(VUV: Vacuum UV irradiation treatment)
Excimer irradiation device MODEL: MECL-M-1-200 manufactured by M.D.Com
Wavelength: 172nm
Lamp filled gas: Xe
Excimer light intensity: 6 J / cm 2
Distance between sample and light source: 2mm
Stage heating temperature: 80 ° C
Oxygen concentration in the irradiation device: 0.1% by volume
The coating solution containing PHPS includes a dibutyl ether solution containing 20% by mass of PHPS (manufactured by AZ Electronic Materials Co., Ltd., NN120-20) and an amine catalyst (N, N, N ′, N′-tetramethyl- Mix with a 20% by weight dibutyl ether solution (manufactured by AZ Electronic Materials Co., Ltd., NAX120-20) containing 1,6-diaminohexane (TMDAH) at a ratio of 4: 1 (mass ratio) and further dry. A coating solution was prepared by appropriately diluting with dibutyl ether to adjust the layer thickness.
 <密着性評価(クロスカット法)>
 碁盤目テープ試験(旧 JIS K 5400)を行った。
<Adhesion evaluation (cross-cut method)>
A cross-cut tape test (former JIS K 5400) was conducted.
 試験面にカッターナイフを用いて、素地に達する縦横11本の切り傷をつけ100個の碁盤目を作る。 Using a cutter knife on the test surface, make 100 cuts with 11 vertical and horizontal cuts reaching the substrate.
 次いで、碁盤目部分にセロハンテープを強く圧着させ、テープの端を45°の角度で一気に引き剥がし、中間層とPHPS層の間の碁盤目の状態を標準図(図7)と比較して評価した。 Next, the cellophane tape was strongly pressure-bonded to the grid area, and the end of the tape was peeled off at an angle of 45 °, and the condition of the grid pattern between the intermediate layer and the PHPS layer was evaluated by comparison with the standard diagram (FIG. 7). did.
Figure JPOXMLDOC01-appb-T000014
Figure JPOXMLDOC01-appb-T000014
 上記表IIの結果から、VUVによる表面改質処理が、中間層とPHPSを含有する封止層との密着性を向上させるのに最も効果的であることが分かった。 From the results of Table II above, it was found that the surface modification treatment by VUV is most effective for improving the adhesion between the intermediate layer and the sealing layer containing PHPS.
 〔実施例3〕
 (有機EL素子の作製)
 陽極としてITO(インジウム・スズ酸化物)を100nm製膜したガラス基板を、イソプロピルアルコールで超音波洗浄し、乾燥窒素ガスで乾燥及びUVオゾン洗浄を行い、真空蒸着装置の基板ホルダーに固定した。
Example 3
(Production of organic EL element)
A glass substrate on which 100 nm of ITO (indium tin oxide) was formed as an anode was ultrasonically cleaned with isopropyl alcohol, dried with dry nitrogen gas and UV ozone cleaned, and fixed to a substrate holder of a vacuum deposition apparatus.
 次いで、HAT-CN(1,4,5,8,9,12-ヘキサアザトリフェニレンヘキサカルボニトリル)を10nm蒸着して正孔注入輸送層を設けた。 Next, HAT-CN (1, 4, 5, 8, 9, 12-hexaazatriphenylenehexacarbonitrile) was deposited to a thickness of 10 nm to provide a hole injection transport layer.
 次いで、α-NPD(4,4′-ビス〔N-(1-ナフチル)-N-フェニルアミノ〕ビフェニル)を前記正孔注入層上に蒸着し、厚さ40nmの正孔輸送層を設けた。 Next, α-NPD (4,4′-bis [N- (1-naphthyl) -N-phenylamino] biphenyl) was deposited on the hole injection layer to provide a hole transport layer having a thickness of 40 nm. .
 ホスト材料としてmCP(1,3-ビス(N-カルバゾリル)ベンゼン)と、発光性化合物としてFIrpic(Bis[2-(4,6-difluorophenyl)pyridinato-C2,N](picolinato)iridium(III))とを、それぞれ94%、6%の体積%になるように共蒸着し、厚さ30nmの発光層を設けた。 MCP (1,3-bis (N-carbazolyl) benzene) as the host material and FIrpic (Bis [2- (4,6-difluorophenyl) pyridinato-C2, N] (picolinato) iridium (III)) as the luminescent compound Were vapor-deposited to be 94% and 6% by volume, respectively, to provide a light emitting layer having a thickness of 30 nm.
 その後、BCP(2,9-ジメチル-4,7-ジフェニル-1,10-フェナントロリン)を蒸着し、厚さ330nmの電子輸送層を設けた。 Thereafter, BCP (2,9-dimethyl-4,7-diphenyl-1,10-phenanthroline) was deposited, and an electron transport layer having a thickness of 330 nm was provided.
 引き続き、銀を蒸着して厚さ10nmの陰極を形成した。 Subsequently, silver was deposited to form a cathode having a thickness of 10 nm.
 実施例1と同様に中間層を前記陰極上に200nmの層厚でスピン塗布成膜させ、UV:365nmを1分照射し、実施例1の各表面改質処理を施した後、実施例2と同様に封止層としてPHPSを含有する塗布液を中間層上に500nmの層厚でスピン塗布成膜した。 As in Example 1, the intermediate layer was formed by spin coating on the cathode with a layer thickness of 200 nm, irradiated with UV: 365 nm for 1 minute, subjected to each surface modification treatment of Example 1, and then Example 2 Similarly to the above, a coating solution containing PHPS as a sealing layer was formed by spin coating on the intermediate layer with a layer thickness of 500 nm.
 その後、有機EL素子の非発光面をガラスケースで覆い、さらに厚さ300μmのガラス基板を封止用基板として用いて、周囲にシール材として、エポキシ系光硬化型接着剤(東亞合成社製ラックストラックLC0629B)を適用し、これを陰極上に重ねて透明支持基板と密着させ、ガラス基板側からUVを照射して、硬化させて、ガラス缶封止した。これは、上記作製した有機EL素子の中間層及び封止層に対する外部からの湿度やガスの影響を遮断し、本発明に係る中間層及び封止層形成による効果を明らかにするためである。 Thereafter, the non-light-emitting surface of the organic EL element is covered with a glass case, and a glass substrate having a thickness of 300 μm is used as a sealing substrate, and an epoxy-based photocurable adhesive (LUX The track LC0629B) was applied, and this was overlaid on the cathode and brought into close contact with the transparent support substrate, irradiated with UV from the glass substrate side, cured, and sealed in a glass can. This is to block the influence of humidity and gas from the outside on the intermediate layer and the sealing layer of the organic EL element produced as described above, and to clarify the effect of forming the intermediate layer and the sealing layer according to the present invention.
 また、ガラス缶封止の代わりにガスバリアーフィルムを、前記封止層上に積層した。 Further, a gas barrier film was laminated on the sealing layer instead of sealing the glass can.
 なお、ガスバリアーフィルム(表中、バリアーフィルムと表記。)は以下の手順で作製し用いた。 A gas barrier film (denoted as a barrier film in the table) was prepared and used in the following procedure.
 (ガスバリアーフィルム)
 ポリエチレンナフタレートフィルム(帝人フィルムソリューション株式会社製)の全面に、特開2004-68143号公報に記載の構成の大気圧プラズマ放電処理装置を用いて、SiOxからなる無機物のガスバリアー層を層厚500nmとなるように形成した。これにより、酸素透過度0.001mL/(m2・24h・atm)以下、水蒸気透過度0.001g/(m2・24h)以下のガスバリアー性を有する可撓性のガスバリアーフィルムを作製した。ガスバリアーフィルムの片面に、封止樹脂層として熱硬化型の液状接着剤(エポキシ系樹脂)を厚さ25μmで形成した。そして、この封止樹脂層を設けたガスバリアーフィルムを、前記中間層又はPHPS塗布液を塗布成膜した素子に重ね合わせた。このとき、陽極及び陰極の取出し部の端部が外に出るように、ガスバリアーフィルムの封止樹脂層形成面を、有機EL素子の封止面側に連続的に重ね合わせた。
(Gas barrier film)
An inorganic gas barrier layer made of SiO x is formed on the entire surface of a polyethylene naphthalate film (manufactured by Teijin Film Solutions Co., Ltd.) using an atmospheric pressure plasma discharge treatment apparatus having a structure described in Japanese Patent Application Laid-Open No. 2004-68143. It formed so that it might become 500 nm. Thus, a flexible gas barrier film having a gas barrier property with an oxygen permeability of 0.001 mL / (m 2 · 24 h · atm) or less and a water vapor permeability of 0.001 g / (m 2 · 24 h) or less was produced. . A thermosetting liquid adhesive (epoxy resin) having a thickness of 25 μm was formed as a sealing resin layer on one side of the gas barrier film. Then, the gas barrier film provided with this sealing resin layer was superposed on the intermediate layer or the element coated with the PHPS coating solution. At this time, the sealing resin layer forming surface of the gas barrier film was continuously overlaid on the sealing surface side of the organic EL element so that the ends of the anode and cathode extraction portions were exposed.
 次に、ガスバリアーフィルムを貼り合せた試料を減圧装置内に配置し、90℃で0.1MPaの減圧条件下で押圧をかけて5分間保持した。続いて、試料を大気圧環境に戻し、さらに90℃で30分間加熱して接着剤を硬化させた。 Next, the sample to which the gas barrier film was bonded was placed in a decompression apparatus, and pressed at 90 ° C. under a decompression condition of 0.1 MPa and held for 5 minutes. Subsequently, the sample was returned to the atmospheric pressure environment and further heated at 90 ° C. for 30 minutes to cure the adhesive.
 上記封止工程は、大気圧下、含水率1ppm以下の窒素雰囲気下で、JIS B 9920に準拠し、測定した清浄度がクラス100で、露点温度が-80℃以下、酸素濃度0.8体積ppm以下の大気圧で行った。 The sealing process is performed under atmospheric pressure and in a nitrogen atmosphere with a water content of 1 ppm or less in accordance with JIS B 9920. The measured cleanliness is class 100, the dew point temperature is −80 ° C. or less, and the oxygen concentration is 0.8 volume. It was carried out at atmospheric pressure below ppm.
 <評価>
 60℃、90%RHで1週間放置した後の発光状態を観察し、封止性能の評価を行った。具体的には、100倍の光学顕微鏡(株式会社モリテックス製 MS-804、レンズMP-ZE25-200)で、有機EL素子の発光部の一部分を拡大して撮影した。次に、撮影画像を2mm四方に切り抜き、それぞれの画像について、ダークスポット発生の有無を観察した。観察結果より、発光面積に対するダークスポットの発生面積比率を求め、下記の基準に従って、ダークスポット耐性を評価した。
<Evaluation>
The light emission state after being left for 1 week at 60 ° C. and 90% RH was observed, and the sealing performance was evaluated. Specifically, a part of the light emitting portion of the organic EL element was photographed with a 100 × optical microscope (Mortex Co., Ltd. MS-804, lens MP-ZE25-200). Next, the captured image was cut out in a 2 mm square, and the presence or absence of dark spots was observed for each image. From the observation results, the ratio of the dark spot generation area to the light emission area was determined, and the dark spot resistance was evaluated according to the following criteria.
 5:ダークスポットの発生面積が、0.1%未満である
 4:ダークスポットの発生面積が、0.1%以上、1.0%未満である
 3:ダークスポットの発生面積が、1.0%以上、3.0%未満である
 2:ダークスポットの発生面積が、3.0%以上、6.0%未満である
 1:ダークスポットの発生面積が、6.0%以上である
Figure JPOXMLDOC01-appb-T000015
5: The area where dark spots are generated is less than 0.1% 4: The area where dark spots are generated is 0.1% or more and less than 1.0% 3: The area where dark spots are generated is 1.0 % And less than 3.0% 2: Dark spot generation area is 3.0% and less than 6.0% 1: Dark spot generation area is 6.0% and more
Figure JPOXMLDOC01-appb-T000015
 表IIIの結果から以下のことが分かった。 The following were found from the results in Table III.
 (結果1)
 中間層自体(OP-3801)が有機EL素子にダメージが与えないことが実証された(参考例であるNo.101参照。)。
(Result 1)
It was demonstrated that the intermediate layer itself (OP-3801) did not damage the organic EL device (see No. 101 as a reference example).
 (結果2)
 中間層を加えないで封止層を直接設けたものは、ガスバリアー層液由来の有機EL素子のダメージを観測した(比較例である有機EL素子No.110参照。)。
(Result 2)
In the case where the sealing layer was directly provided without adding the intermediate layer, damage to the organic EL element derived from the gas barrier layer liquid was observed (see organic EL element No. 110 as a comparative example).
 一方で、中間層にVUVを施したものが、上層の溶媒浸透防止として最も効果的であることが分かった(実施例であるNo.102~107及び109参照。)。 On the other hand, it was found that the VUV applied to the intermediate layer was the most effective for preventing solvent penetration of the upper layer (see Examples Nos. 102 to 107 and 109).
 (結果3)
 有機EL素子に直接バリアーフィルムを貼り付けたものは、バリアーフィルム貼り付け時の熱/圧力の影響で有機EL素子ダメージを観測した(比較例であるNo.112参照。)。
(Result 3)
When the barrier film was directly attached to the organic EL element, damage to the organic EL element was observed due to the influence of heat / pressure when the barrier film was attached (see No. 112, which is a comparative example).
 一方で、中間層を設けたものは中間層がクッション層(応力緩和層)として働き有機EL素子ダメージを抑制する効果があることが分かった(参考例であるNo.108、及び実施例であるNo.109参照。)。 On the other hand, it was found that the one provided with the intermediate layer acts as a cushion layer (stress relaxation layer) and has an effect of suppressing damage to the organic EL element (No. 108 as a reference example and Examples). No. 109).
 〔実施例4〕
 実施例3と同様にして、有機EL素子を作製した。
Example 4
In the same manner as in Example 3, an organic EL element was produced.
 続いて、中間層としてOP-3801を前記陰極上に200nmの層厚でスピン塗布成膜させ、乾燥して中間層を形成した。乾燥条件は、120℃で20分とした。次いで、真空紫外線(VUV)の積算光量を1J/cm2照射した後、封止層としてPHPSを含有する塗布液を500nmの層厚で中間層上にスピン塗布成膜し、80℃のホットプレートで1分加熱した。 Subsequently, OP-3801 was spin-coated with a thickness of 200 nm on the cathode as an intermediate layer and dried to form an intermediate layer. Drying conditions were 120 ° C. and 20 minutes. Next, after irradiating 1 J / cm 2 of the cumulative amount of vacuum ultraviolet light (VUV), a coating solution containing PHPS as a sealing layer is spin-coated on the intermediate layer with a layer thickness of 500 nm, and a hot plate at 80 ° C. For 1 minute.
 その後、種々の改質処理条件で表面の改質を行い、その評価を下記の方法で行った。 Thereafter, the surface was modified under various modification treatment conditions, and the evaluation was performed by the following method.
 <評価>
 60℃、90%RHで1日放置した後の発光状態を観察し、封止性能の評価を行った。具体的には、100倍の光学顕微鏡(株式会社モリテックス製 MS-804、レンズMP-ZE25-200)で、有機EL素子の発光部の一部分を拡大して撮影した。次に、撮影画像を2mm四方に切り抜き、それぞれの画像について、ダークスポット発生の有無を観察した。観察結果より、発光面積に対するダークスポットの発生面積比率を求め、下記の基準に従って、ダークスポット耐性を評価した。
<Evaluation>
The light emitting state after being left for 1 day at 60 ° C. and 90% RH was observed, and the sealing performance was evaluated. Specifically, a part of the light emitting portion of the organic EL element was photographed with a 100 × optical microscope (Mortex Co., Ltd. MS-804, lens MP-ZE25-200). Next, the captured image was cut out in a 2 mm square, and the presence or absence of dark spots was observed for each image. From the observation results, the ratio of the dark spot generation area to the light emission area was determined, and the dark spot resistance was evaluated according to the following criteria.
 5:ダークスポットの発生面積が、0.1%未満である
 4:ダークスポットの発生面積が、0.1%以上、1.0%未満である
 3:ダークスポットの発生面積が、1.0%以上、3.0%未満である
 2:ダークスポットの発生面積が、3.0%以上、6.0%未満である
 1:ダークスポットの発生面積が、6.0%以上である
Figure JPOXMLDOC01-appb-T000016
5: The area where dark spots are generated is less than 0.1% 4: The area where dark spots are generated is 0.1% or more and less than 1.0% 3: The area where dark spots are generated is 1.0 % And less than 3.0% 2: Dark spot generation area is 3.0% and less than 6.0% 1: Dark spot generation area is 6.0% and more
Figure JPOXMLDOC01-appb-T000016
 表IVから、PHPSの改質条件をVUVとしたときが最もガスバリアー性が発現し、かつVUVの環境を酸素濃度が0.1~0.5体積%にすると、より効果が高まることが分かった。 From Table IV, it can be seen that gas barrier properties are most apparent when the modification conditions of PHPS are set to VUV, and that the effect is enhanced when the oxygen concentration of the VUV is 0.1 to 0.5% by volume. It was.
 〔実施例5〕
 実施例3と同様にして、有機EL素子を作製した。
Example 5
In the same manner as in Example 3, an organic EL element was produced.
 続いて、中間層としてOP-3801を前記陰極上に200nmの層厚でスピン塗布成膜させ、乾燥して中間層を形成した。乾燥条件は、120℃で20分とした。次いで、真空紫外線(VUV)の積算光量を1J/cm2照射した後、封止層としてPHPSを含有する塗布液を500nmの層厚で中間層上にスピン塗布成膜し、80℃のホットプレートで1分加熱した。 Subsequently, OP-3801 was spin-coated with a thickness of 200 nm on the cathode as an intermediate layer and dried to form an intermediate layer. Drying conditions were 120 ° C. and 20 minutes. Next, after irradiating 1 J / cm 2 of the cumulative amount of vacuum ultraviolet light (VUV), a coating solution containing PHPS as a sealing layer is spin-coated on the intermediate layer with a layer thickness of 500 nm, and a hot plate at 80 ° C. For 1 minute.
 その後、PHPSを含有する塗布液を用いて、封止層を3層及び5層に塗り分けた試料を作製しVUVを6J/cm2照射した。得られた試料の評価を下記の方法で行った。 Thereafter, using a coating solution containing PHPS, a sample in which the sealing layer was separately applied into 3 layers and 5 layers was prepared, and VUV was irradiated at 6 J / cm 2 . The obtained sample was evaluated by the following method.
 <評価>
 60℃、90%RHで4日間放置した後の発光状態を観察し、封止性能の評価を行った。具体的には、100倍の光学顕微鏡(株式会社モリテックス製 MS-804、レンズMP-ZE25-200)で、有機EL素子の発光部の一部分を拡大して撮影した。次に、撮影画像を2mm四方に切り抜き、それぞれの画像について、ダークスポット発生の有無を観察した。観察結果より、発光面積に対するダークスポットの発生面積比率を求め、下記の基準に従って、ダークスポット耐性を評価した。
<Evaluation>
The light emitting state after being left for 4 days at 60 ° C. and 90% RH was observed, and the sealing performance was evaluated. Specifically, a part of the light emitting portion of the organic EL element was photographed with a 100 × optical microscope (Mortex Co., Ltd. MS-804, lens MP-ZE25-200). Next, the captured image was cut out in a 2 mm square, and the presence or absence of dark spots was observed for each image. From the observation results, the ratio of the dark spot generation area to the light emission area was determined, and the dark spot resistance was evaluated according to the following criteria.
 5:ダークスポットの発生面積が、0.1%未満である
 4:ダークスポットの発生面積が、0.1%以上、1.0%未満である
 3:ダークスポットの発生面積が、1.0%以上、3.0%未満である
 2:ダークスポットの発生面積が、3.0%以上、6.0%未満である
 1:ダークスポットの発生面積が、6.0%以上である
Figure JPOXMLDOC01-appb-T000017
5: The area where dark spots are generated is less than 0.1% 4: The area where dark spots are generated is 0.1% or more and less than 1.0% 3: The area where dark spots are generated is 1.0 % And less than 3.0% 2: Dark spot generation area is 3.0% and less than 6.0% 1: Dark spot generation area is 6.0% and more
Figure JPOXMLDOC01-appb-T000017
 表Vから、PHPS(VUV有)の積層数を増やすことで、有機EL素子のガスバリアー性が大きく向上することが分かった。 From Table V, it was found that increasing the number of PHPS (with VUV) layers greatly improves the gas barrier properties of the organic EL element.
 〔実施例6〕
 実施例6では、インクジェットプリント法で作製した、青色蛍光発光する照明装置(及び素子)の封止性について確認した。
Example 6
In Example 6, the sealing property of the lighting device (and element) that emits blue fluorescent light, which was manufactured by the inkjet printing method, was confirmed.
 <評価用照明装置の作製>
 (基材の準備)
 まず、ポリエチレンナフタレートフィルム(帝人フィルムソリューション株式会社製)の陽極を形成する側の全面に、特開2004-68143号公報に記載の構成の大気圧プラズマ放電処理装置を用いて、SiOxからなる無機物のガスバリアー層を層厚500nmとなるように形成した。これにより、酸素透過度0.001mL/(m2・24h)以下、水蒸気透過度0.001g/(m2・24h)以下のガスバリアー性を有する可撓性の基材を作製した。
<Production of lighting device for evaluation>
(Preparation of base material)
First, an inorganic substance made of SiOx is formed on the entire surface of the polyethylene naphthalate film (manufactured by Teijin Film Solutions Co., Ltd.) on the side where the anode is formed using an atmospheric pressure plasma discharge treatment apparatus having a configuration described in JP-A-2004-68143. The gas barrier layer was formed so as to have a layer thickness of 500 nm. Thus, a flexible base material having a gas barrier property with an oxygen permeability of 0.001 mL / (m 2 · 24 h) or less and a water vapor permeability of 0.001 g / (m 2 · 24 h) or less was produced.
 (陽極の形成)
 上記基材上に厚さ120nmのITO(インジウム・スズ酸化物)をスパッタ法により製膜し、フォトリソグラフィー法によりパターニングを行い、陽極を形成した。なお、パターンは発光領域の面積が5cm×5cmになるようなパターンとした。
(Formation of anode)
An ITO (indium tin oxide) film having a thickness of 120 nm was formed on the substrate by sputtering, and patterned by photolithography to form an anode. The pattern was such that the area of the light emitting region was 5 cm × 5 cm.
 (正孔注入層の形成)
 陽極を形成した基材をイソプロピルアルコールで超音波洗浄し、乾燥窒素ガスで乾燥し、UVオゾン洗浄を5分間行った。そして、陽極を形成した基材上に、特許第4509787号公報の実施例16と同様に調製したポリ(3,4-エチレンジオキシチオフェン)/ポリスチレンスルホネート(PEDOT/PSS)の分散液をイソプロピルアルコールで希釈した2質量%溶液をインクジェットプリント法にて塗布、80℃で5分乾燥し、層厚40nmの正孔注入層を形成した。
(Formation of hole injection layer)
The substrate on which the anode was formed was subjected to ultrasonic cleaning with isopropyl alcohol, dried with dry nitrogen gas, and UV ozone cleaning was performed for 5 minutes. Then, a dispersion of poly (3,4-ethylenedioxythiophene) / polystyrene sulfonate (PEDOT / PSS) prepared in the same manner as in Example 16 of Japanese Patent No. 4509787 was placed on the substrate on which the anode was formed. The 2% by weight solution diluted in (1) was applied by ink jet printing and dried at 80 ° C. for 5 minutes to form a hole injection layer having a layer thickness of 40 nm.
 (正孔輸送層の形成)
 次に、正孔注入層を形成した基材を、窒素ガス(グレードG1)を用いた窒素雰囲気下に移し、下記組成の正孔輸送層形成用塗布液を用いて、インクジェットプリント法にて塗布、150℃で30分乾燥し、層厚30nmの正孔輸送層を形成した。
〈正孔輸送層形成用塗布液〉
 正孔輸送材料 HT-3(重量平均分子量Mw=80000)
                            10質量部
 パラ(p)-キシレン               3000質量部
 (発光層の形成)
 次に、正孔輸送層を形成した基材を、下記組成の発光層形成用塗布液を用い、インクジェットプリント法にて塗布し、130℃で30分間乾燥し、層厚50nmの発光層を形成した。
(Formation of hole transport layer)
Next, the base material on which the hole injection layer is formed is transferred to a nitrogen atmosphere using nitrogen gas (grade G1), and is applied by an inkjet printing method using a coating liquid for forming a hole transport layer having the following composition. And dried at 150 ° C. for 30 minutes to form a hole transport layer having a layer thickness of 30 nm.
<Coating liquid for hole transport layer formation>
Hole transport material HT-3 (weight average molecular weight Mw = 80000)
10 parts by mass Para (p) -xylene 3000 parts by mass (formation of light emitting layer)
Next, the base material on which the hole transport layer is formed is applied by an inkjet printing method using a light emitting layer forming coating solution having the following composition, and dried at 130 ° C. for 30 minutes to form a light emitting layer having a layer thickness of 50 nm. did.
 〈発光層形成用塗布液〉
 ホスト化合物 H-4                  9質量部
 金属錯体CD-2                    1質量部
 蛍光材料F-1                   0.1質量部
 酢酸ノルマルブチル                2000質量部
 (ブロック層の形成)
 次に、発光層を形成した基材を、下記組成のブロック層形成用塗布液を用い、インクジェットプリント法にて塗布し、80℃で30分間乾燥し、層厚10nmのブロック層を形成した。
<Light emitting layer forming coating solution>
Host compound H-4 9 parts by weight Metal complex CD-2 1 part by weight Fluorescent material F-1 0.1 part by weight Normal butyl acetate 2000 parts by weight (formation of block layer)
Next, the base material on which the light emitting layer was formed was applied by an ink jet printing method using a coating solution for forming a block layer having the following composition, and dried at 80 ° C. for 30 minutes to form a block layer having a layer thickness of 10 nm.
 〈ブロック層形成用塗布液〉
 HB-4                        2質量部
 イソプロピルアルコール(IPA)         1500質量部
 2,2,3,3,4,4,5,5-オクタフルオロ-1-ペンタノール
                           500質量部
 (電子輸送層の形成)
 次に、ブロック層を形成した基材を、下記組成の電子輸送層形成用塗布液を用い、インクジェットプリント法にて塗布し、80℃で30分間乾燥し、層厚30nmの電子輸送層を形成した。
 〈電子輸送層形成用塗布液〉
 ET-1                        6質量部
 2,2,3,3-テトラフルオロ-1-プロパノール 2000質量部
 (電子注入層、陰極の形成)
 続いて、基板を大気に曝露することなく真空蒸着装置へ取り付けた。また、モリブデン製抵抗加熱ボートにフッ化ナトリウム及びフッ化カリウムを入れたものを真空蒸着装置に取り付け、真空槽を4×10-5Paまで減圧した。その後、ボートに通電して加熱し、フッ化ナトリウムを0.02nm/秒で前記電子輸送層上に蒸着し、膜厚1nmの薄膜を形成した。同様に、フッ化カリウムを0.02nm/秒でフッ化ナトリウム薄膜上に蒸着し、層厚1.5nmの電子注入層を形成した。
<Block layer forming coating solution>
HB-4 2 parts by weight Isopropyl alcohol (IPA) 1500 parts by weight 2,2,3,3,4,4,5,5-octafluoro-1-pentanol 500 parts by weight (formation of electron transport layer)
Next, the substrate on which the block layer is formed is applied by an ink jet printing method using an electron transport layer forming coating solution having the following composition, and dried at 80 ° C. for 30 minutes to form an electron transport layer having a layer thickness of 30 nm. did.
<Coating liquid for electron transport layer formation>
ET-1 6 parts by mass 2,2,3,3-tetrafluoro-1-propanol 2000 parts by mass (formation of electron injection layer and cathode)
Subsequently, the substrate was attached to a vacuum deposition apparatus without being exposed to the atmosphere. Moreover, what put sodium fluoride and potassium fluoride in the resistance heating boat made from molybdenum was attached to the vacuum evaporation system, and the vacuum tank was pressure-reduced to 4x10 < -5 > Pa. Thereafter, the boat was energized and heated, and sodium fluoride was deposited on the electron transport layer at 0.02 nm / second to form a thin film having a thickness of 1 nm. Similarly, potassium fluoride was vapor-deposited on the sodium fluoride thin film at 0.02 nm / second to form an electron injection layer having a layer thickness of 1.5 nm.
 引き続き、アルミニウムを蒸着して厚さ100nmの陰極を形成した。 Subsequently, aluminum was deposited to form a cathode having a thickness of 100 nm.
 なお、用いた化合物を下記に示す。 The compounds used are shown below.
Figure JPOXMLDOC01-appb-C000018
Figure JPOXMLDOC01-appb-C000018
 続いて、中間層としてOP-3801を前記陰極上に200nmの層厚でスピン塗布成膜させ、乾燥して中間層を形成した。乾燥条件は、120℃で20分とした。次いで、真空紫外線(VUV)の積算光量を1J/cm2照射した後、封止層としてPHPSを含有する塗布液を500nmの層厚で中間層上にスピン塗布成膜し、80℃のホットプレートで1分加熱後、VUVを6J/cm2照射した。 Subsequently, OP-3801 was spin-coated with a thickness of 200 nm on the cathode as an intermediate layer and dried to form an intermediate layer. Drying conditions were 120 ° C. and 20 minutes. Next, after irradiating 1 J / cm 2 of the cumulative amount of vacuum ultraviolet light (VUV), a coating solution containing PHPS as a sealing layer is spin-coated on the intermediate layer with a layer thickness of 500 nm, and a hot plate at 80 ° C. For 1 minute, and then irradiated with 6 J / cm 2 of VUV.
 また、比較例として中間層を成膜していない素子も作製した。 Also, as a comparative example, an element having no intermediate layer formed thereon was also produced.
 <評価>
 中間層及び封止層を積層成膜した有機EL素子は、中間層を成膜していない有機EL素子に比べて、60℃、90%RHで1週間放置した状態でのダークスポット耐性が大幅に改善されていた。
<Evaluation>
The organic EL device with the intermediate layer and the sealing layer laminated has a greater resistance to dark spots when left at 60 ° C. and 90% RH for one week than the organic EL device without the intermediate layer. It was improved.
 以上から、インクジェットプリント法で作製した照明装置においても、本発明に係る塗布成膜による中間層及び封止層により高い封止性能が得られることが認められた。 From the above, it was confirmed that a lighting device manufactured by the inkjet printing method can obtain high sealing performance by the intermediate layer and the sealing layer formed by the coating film formation according to the present invention.
 〔実施例7〕
 実施例6で作製した有機EL素子の陰極上に、中間層として上記OP-3801を200nmの層厚でスピン塗布成膜させ、UV:365nmを1分照射及び乾燥して中間層を形成した。乾燥条件は、120℃で20分とし、表VI記載のように真空紫外線(VUV)の積算光量を1J/cm2で照射有り無しで改質処理した。次いで、下記有機金属酸化物(ゾル・ゲル液)を乾燥膜厚100nmになるようにスピン塗布成膜し、同様に紫外線(UV)の積算光量を2J/cm2で照射有り無しで改質処理した。次いで、封止層として、PHPSを含有する塗布液を500nmの層厚で有機金属酸化物層上にスピン塗布成膜し、80℃のホットプレートで1分加熱後、真空紫外線(VUV)を6J/cm2照射して改質処理を行い、有機EL素子No.401~403を作製した。
Example 7
On the cathode of the organic EL device produced in Example 6, the above-mentioned OP-3801 was spin-coated as an intermediate layer with a layer thickness of 200 nm, and irradiated with UV: 365 nm for 1 minute and dried to form an intermediate layer. The drying condition was 120 ° C. for 20 minutes, and the reforming treatment was performed with and without irradiation at 1 J / cm 2 of the cumulative amount of vacuum ultraviolet light (VUV) as shown in Table VI. Next, the following organometallic oxide (sol / gel solution) is formed by spin coating so as to have a dry film thickness of 100 nm. Similarly, the modification process is performed with or without irradiation with an integrated amount of ultraviolet (UV) light of 2 J / cm 2. did. Next, as a sealing layer, a coating solution containing PHPS is spin-coated on the organometallic oxide layer with a layer thickness of 500 nm, heated on a hot plate at 80 ° C. for 1 minute, and then subjected to 6 V of vacuum ultraviolet (VUV). / Cm 2 irradiation to perform the modification treatment, the organic EL element No. 401 to 403 were produced.
 〈有機金属酸化物の調製〉
 水分濃度1ppm以下の乾燥窒素雰囲気下のグローブボックス内で、テトラエトキシドシラン(Si(OEt)4)の3質量%脱水テトラフルオロプロパノール(TFPO:例示化合物F-1)溶液を調液し、湿度30%の大気に1分間開放し、すぐにグローブボックス内に戻した溶液をゾル・ゲル液とした。
<Preparation of organometallic oxide>
In a glove box under a dry nitrogen atmosphere with a moisture concentration of 1 ppm or less, a 3% by mass dehydrated tetrafluoropropanol (TFPO: Exemplified Compound F-1) solution of tetraethoxide silane (Si (OEt) 4 ) was prepared, and the humidity A solution that was opened to 30% atmosphere for 1 minute and immediately returned to the glove box was used as a sol-gel solution.
 <評価>
 (1)中間層及び有機金属酸化物層を形成した段階で、接触角の測定を実施例1と同様の方法で行った。
<Evaluation>
(1) At the stage where the intermediate layer and the organometallic oxide layer were formed, the contact angle was measured in the same manner as in Example 1.
 (2)中間層、有機金属酸化物層及び封止層を形成した段階で、実施例2と同様の方法で密着性を評価した。 (2) Adhesiveness was evaluated in the same manner as in Example 2 at the stage where the intermediate layer, organometallic oxide layer, and sealing layer were formed.
 (3)中間層、有機金属酸化物層及び封止層を形成した有機EL素子として、実施例4と同様の方法でダークスポット耐性を評価した。 (3) As an organic EL device having an intermediate layer, an organic metal oxide layer, and a sealing layer, dark spot resistance was evaluated in the same manner as in Example 4.
 評価結果を、下記表VIに示した。 Evaluation results are shown in Table VI below.
Figure JPOXMLDOC01-appb-T000019
Figure JPOXMLDOC01-appb-T000019
 表VIから、有機金属酸化物層を加えることで、接触角が低下し、密着性、ダークスポット耐性が向上することが分かった。さらに、有機金属酸化物層を紫外線(UV)で改質した有機EL素子No.403は、接触角が低下し、密着性に特に優れる結果が得られた。 From Table VI, it was found that by adding an organometallic oxide layer, the contact angle was lowered, and adhesion and dark spot resistance were improved. Furthermore, organic EL element No. 1 in which the organometallic oxide layer was modified with ultraviolet rays (UV). For 403, the contact angle was decreased, and particularly excellent adhesion was obtained.
 〔実施例8〕
 本発明の塗布成膜による中間層及び封止層を使用し、有機薄膜太陽電池(有機光電変換素子)を作製した。
Example 8
An organic thin-film solar cell (organic photoelectric conversion element) was produced using the intermediate layer and the sealing layer formed by the coating film formation of the present invention.
 〈有機光電変換素子の作製〉
 陽極としてITO(Indium Tin Oxide)を100nm製膜したガラス基板を、イソプロピルアルコールで超音波洗浄し、乾燥窒素ガスで乾燥及びUVオゾン洗浄を行い、真空蒸着装置の基板ホルダーに固定した。
<Preparation of organic photoelectric conversion element>
A glass substrate on which 100 nm of ITO (Indium Tin Oxide) was formed as an anode was ultrasonically cleaned with isopropyl alcohol, dried with dry nitrogen gas and UV ozone cleaned, and fixed to a substrate holder of a vacuum deposition apparatus.
 真空蒸着装置内の真空度を1×10-4Paまで減圧した後、陽極の上に銅フタロシアニン(CuPC)とアントラ[9,1,2-c,d,e:10,5,6-c′,d′,e′][ビス[ベンゾイミダゾロ[2,1-a]イソキノリン]]-10,21-ジオン(PTCBI)をCuCP:PTCBI=1:1の割合で共蒸着し、400nmの厚さでバルクヘテロジャンクション層を設けた。 After reducing the degree of vacuum in the vacuum deposition apparatus to 1 × 10 −4 Pa, copper phthalocyanine (CuPC) and anthra [9, 1, 2-c, d, e: 10, 5, 6-c are formed on the anode. ', D', e '] [bis [benzimidazolo [2,1-a] isoquinoline]]-10,21-dione (PTCBI) at the ratio of CuCP: PTCBI = 1: 1 A bulk heterojunction layer was provided in thickness.
 続いて陰極としてアルミニウム(100nm)を蒸着した。 Subsequently, aluminum (100 nm) was deposited as a cathode.
 続いて、中間層としてOP-3801を前記陰極上に200nmの層厚でインクジェットプリント法にて塗布成膜させ、乾燥して中間層を形成した。乾燥条件は、120℃で20分とした。次いで、真空紫外線(VUV)の積算光量を1J/cm2照射した後、封止層としてPHPSを含有する塗布液を中間層上に500nmの層厚でスピン塗布成膜し、80℃のホットプレートで1分加熱後、VUVを6J/cm2照射し、有機光電変換素子を作製した。 Subsequently, OP-3801 as an intermediate layer was applied and formed on the cathode at a layer thickness of 200 nm by an ink jet printing method, and dried to form an intermediate layer. Drying conditions were 120 ° C. and 20 minutes. Next, after irradiating 1 J / cm 2 of the cumulative amount of vacuum ultraviolet light (VUV), a coating solution containing PHPS as a sealing layer is formed by spin coating on the intermediate layer with a layer thickness of 500 nm, and a hot plate at 80 ° C. After heating for 1 minute, VUV was irradiated at 6 J / cm 2 to produce an organic photoelectric conversion element.
 <評価>
 得られた有機光電変換素子を、60℃、90%RHに10日間放置した状態でソーラーシミュレーターの100mW/cm2の強度の光を照射したところ、初期状態時の光電流が維持されていた。以上の結果から、有機光電変換素子においても本発明の塗布成膜による中間層及び封止層によって、高い封止性能が得られることが認められた。
<Evaluation>
When the obtained organic photoelectric conversion element was irradiated with light of 100 mW / cm 2 of solar simulator in a state where it was left at 60 ° C. and 90% RH for 10 days, the photocurrent in the initial state was maintained. From the above results, it was confirmed that high sealing performance can be obtained also in the organic photoelectric conversion element by the intermediate layer and the sealing layer formed by the coating film formation of the present invention.
 〔実施例9〕
 本発明の塗布成膜による中間層及び封止層を使用し、有機薄膜トランジスタを作製した。
Example 9
An organic thin film transistor was produced using the intermediate layer and the sealing layer formed by the coating film formation of the present invention.
 <有機薄膜トランジスタの作製>
 図6Aに従い、支持体306上に金属箔等によりソース電極302、ドレイン電極303を形成し、両電極間に、再表2009/101862号公報に記載の有機半導体材料として、6,13-ビストリイソプロピルシリルエチニルペンタセンからなる電荷移動性薄膜(有機半導体層)301として厚さ約30nmの有機半導体層形成し、その上に絶縁層305を形成し、さらにその上にゲート電極304を形成して有機薄膜トランジスタを作製した。
<Production of organic thin film transistor>
In accordance with FIG. 6A, a source electrode 302 and a drain electrode 303 are formed on a support 306 with a metal foil or the like, and 6,13-bistriisopropyl is used as an organic semiconductor material described in Table 2009/101862 between both electrodes. An organic semiconductor layer having a thickness of about 30 nm is formed as a charge transfer thin film (organic semiconductor layer) 301 made of silylethynylpentacene, an insulating layer 305 is formed thereon, and a gate electrode 304 is further formed thereon to form an organic thin film transistor Was made.
 続いて絶縁層305及びゲート電極304上に、中間層としてOP-3801を200nmの層厚でスピン塗布成膜させ、乾燥して中間層を形成した。乾燥条件は、120℃で20分とした。次いで、真空紫外線(VUV)の積算光量を1J/cm2照射した後、封止層としてPHPSを含有する塗布液を中間層上に500nmの層厚でスピン塗布成膜し、80℃のホットプレートで1分加熱後、VUVを6J/cm2照射し、有機薄膜トランジスタを作製した。 Subsequently, OP-3801 was spin-coated with a thickness of 200 nm as an intermediate layer on the insulating layer 305 and the gate electrode 304, and dried to form an intermediate layer. Drying conditions were 120 ° C. and 20 minutes. Next, after irradiating 1 J / cm 2 of the cumulative amount of vacuum ultraviolet light (VUV), a coating solution containing PHPS as a sealing layer is formed by spin coating on the intermediate layer with a layer thickness of 500 nm, and a hot plate at 80 ° C. After heating for 1 minute, VUV was irradiated at 6 J / cm 2 to produce an organic thin film transistor.
 <評価>
 得られた有機薄膜トランジスタを、60℃、90%RHに10日間放置した状態で、pチャネルのエンハンスメント型FET(Field-Effect Transistor)の動作特性を評価したところ、本発明に係る中間層及び封止層を施した有機薄膜トランジスタは、初期状態と同様な良好な動作特性及びキャリア移動特性を示した。以上の結果から、有機薄膜トランジスタにおいても本発明の塗布成膜による中間層及び封止層によって、高い封止性能が得られることが認められた。
<Evaluation>
When the obtained organic thin film transistor was allowed to stand at 60 ° C. and 90% RH for 10 days, the operating characteristics of a p-channel enhancement type FET (Field-Effect Transistor) were evaluated. The organic thin film transistor provided with the layer showed good operating characteristics and carrier transfer characteristics similar to the initial state. From the above results, it was confirmed that high sealing performance can be obtained also in the organic thin film transistor by the intermediate layer and the sealing layer formed by the coating film formation of the present invention.
 本発明の電子デバイスは、封止層形成時に用いる溶剤の有機機能層への浸透が抑制され、発光機能障害を防止し、かつ、有機機能層と封止層の密着性に優れる電子デバイスであることから、有機EL素子、有機光電変換素子を有する太陽電池及び有機薄膜トランジスタ等の封止層を有する電子デバイスに好適である。 The electronic device of the present invention is an electronic device in which penetration of a solvent used for forming a sealing layer into an organic functional layer is suppressed, a light emitting functional failure is prevented, and adhesion between the organic functional layer and the sealing layer is excellent. Therefore, it is suitable for an electronic device having a sealing layer such as an organic EL element, a solar battery having an organic photoelectric conversion element, and an organic thin film transistor.
 F フレキシブル基材
 1 ガスバリアー層
 2 透明電極(陽極)
 3 有機機能層
 4 対極(陰極)
 5 中間層
 6 封止層
 7 有機金属酸化物含有層
 EL 有機EL素子
 31、39 ポンプ
 32 フィルター
 33 配管分岐
 34 廃液タンク
 35 制御部
 36、37、38A、38B タンク
 50 インクジェットヘッド
 56 筐体
 57 キャップ受板
 59 カバー部材
 61 ノズルプレート
 62 キャップ受板取り付け部
 68 取り付け用孔
 71 ノズル用開口部
 81a 第1ジョイント
 81b 第2ジョイント
 82 第3ジョイント
 100 真空紫外線照射装置
 101 チャンバー
 102 Xeエキシマランプ
 104 ステージ
 200 バルクヘテロジャンクション型の有機光電変換素子
 201 基板
 202 透明電極(陽極)
 203 対極(陰極)
 204 光電変換部(バルクヘテロジャンクション層)
 205 電荷再結合層
 206 第2の光電変換部
 207 正孔輸送層
 208 電子輸送層
 209 第1の光電変換部 301 有機半導体層
 302 ソース電極
 303 ドレイン電極
 304 ゲート電極
 305 絶縁層
 306 支持体
F Flexible substrate 1 Gas barrier layer 2 Transparent electrode (anode)
3 Organic functional layer 4 Counter electrode (cathode)
5 Intermediate Layer 6 Sealing Layer 7 Organometallic Oxide Containing Layer EL Organic EL Element 31, 39 Pump 32 Filter 33 Pipe Branch 34 Waste Liquid Tank 35 Control Unit 36, 37, 38A, 38B Tank 50 Inkjet Head 56 Housing 57 Cap Receiver Plate 59 Cover member 61 Nozzle plate 62 Cap receiving plate mounting portion 68 Mounting hole 71 Nozzle opening 81a First joint 81b Second joint 82 Third joint 100 Vacuum ultraviolet irradiation device 101 Chamber 102 Xe excimer lamp 104 Stage 200 Bulk heterojunction Type organic photoelectric conversion element 201 substrate 202 transparent electrode (anode)
203 Counter electrode (cathode)
204 Photoelectric conversion part (bulk heterojunction layer)
205 charge recombination layer 206 second photoelectric conversion unit 207 hole transport layer 208 electron transport layer 209 first photoelectric conversion unit 301 organic semiconductor layer 302 source electrode 303 drain electrode 304 gate electrode 305 insulating layer 306 support

Claims (16)

  1.  少なくとも有機機能層と封止層を具備する電子デバイスであって、
     前記封止層が、ポリシラザンとその改質体を含有し、かつ、前記有機機能層と前記封止層の間に光又は熱硬化型のポリマーを含有する中間層が配置されていることを特徴とする電子デバイス。
    An electronic device comprising at least an organic functional layer and a sealing layer,
    The sealing layer contains polysilazane and a modified product thereof, and an intermediate layer containing a light or thermosetting polymer is disposed between the organic functional layer and the sealing layer. And electronic devices.
  2.  前記光又は熱硬化型のポリマーが、無溶剤型のポリマーであることを特徴とする請求項1に記載の電子デバイス。 2. The electronic device according to claim 1, wherein the light or thermosetting polymer is a solvent-free polymer.
  3.  前記中間層が、シロキサン系樹脂、アクリル系樹脂又はエポキシ系樹脂を含有することを特徴とする請求項1又は請求項2に記載の電子デバイス。 The electronic device according to claim 1, wherein the intermediate layer contains a siloxane resin, an acrylic resin, or an epoxy resin.
  4.  前記中間層が、シロキサン系樹脂を含有することを特徴とする請求項1から請求項3までのいずれか一項に記載の電子デバイス。 The electronic device according to any one of claims 1 to 3, wherein the intermediate layer contains a siloxane-based resin.
  5.  前記中間層の前記封止層側表面に、改質層を有することを特徴とする請求項1から請求項4までのいずれか一項に記載の電子デバイス。 5. The electronic device according to claim 1, further comprising a modified layer on the surface of the intermediate layer on the sealing layer side.
  6.  前記改質層の前記封止層側表面において、温度23℃における水に対する接触角が、20~100°の範囲内であることを特徴とする請求項5に記載の電子デバイス。 6. The electronic device according to claim 5, wherein a contact angle with respect to water at a temperature of 23 ° C. is within a range of 20 to 100 ° on the surface of the modified layer on the sealing layer side.
  7.  前記改質層の層厚が、1~70nmの範囲内であることを特徴とする請求項5又は請求項6に記載の電子デバイス。 7. The electronic device according to claim 5, wherein the layer thickness of the modified layer is in the range of 1 to 70 nm.
  8.  前記中間層と封止層の間に、下記一般式(A)で表される構造を有する有機金属酸化物を含有する有機金属酸化物層を有することを特徴とする請求項1からから請求項7までのいずれか一項に記載の電子デバイス。
     一般式(A) R-[M(OR1y(O-)x-yn-R
    (式中、Rは、水素原子、炭素数1個以上のアルキル基、アルケニル基、アリール基、シクロアルキル基、アシル基、アルコキシ基、又は複素環基を表す。ただし、Rは置換基としてフッ素原子を含む炭素鎖でもよい。Mは、金属原子を表す。OR1は、フッ化アルコ
    キシ基を表す。xは金属原子の価数、yは1とxの間の任意な整数を表す。nは重縮合度をそれぞれ表す。)
    The organic metal oxide layer containing an organic metal oxide having a structure represented by the following general formula (A) is provided between the intermediate layer and the sealing layer. The electronic device according to any one of 7 to 7.
    General formula (A) R— [M (OR 1 ) y (O—) xy ] n —R
    (In the formula, R represents a hydrogen atom, an alkyl group having 1 or more carbon atoms, an alkenyl group, an aryl group, a cycloalkyl group, an acyl group, an alkoxy group, or a heterocyclic group. However, R represents fluorine as a substituent. It may be a carbon chain containing atoms, M represents a metal atom, OR 1 represents a fluorinated alkoxy group, x represents a valence of the metal atom, and y represents an arbitrary integer between 1 and x. Represents the degree of polycondensation.)
  9. 前記Mで表される金属原子が、Si、Ti、Zr、Mg、Ca、Sr、Bi、Hf、Nb、Zn、Al、Pt、Ag、及びAuから選択されることを特徴とする請求項8に記載の電子デバイス。 9. The metal atom represented by M is selected from Si, Ti, Zr, Mg, Ca, Sr, Bi, Hf, Nb, Zn, Al, Pt, Ag, and Au. The electronic device according to.
  10.  前記有機金属酸化物層が、少なくともゾル・ゲル転移された塗布膜からなることを特徴とする請求項8又は請求項9に記載の電子デバイス。 10. The electronic device according to claim 8 or 9, wherein the organometallic oxide layer is formed of a coating film subjected to at least a sol-gel transition.
  11.  前記封止層の上に、さらに接着剤を介してガスバリアーフィルムが貼合されていることを特徴とする請求項1から請求項10までのいずれか一項に記載の電子デバイス。 The electronic device according to any one of claims 1 to 10, wherein a gas barrier film is further bonded on the sealing layer via an adhesive.
  12.  前記電子デバイスが、有機エレクトロルミネッセンス素子、有機光電変換素子を用いた太陽電池、又は有機薄膜トランジスタであることを特徴とする請求項1から請求項11までのいずれか一項に記載の電子デバイス。 The electronic device according to any one of claims 1 to 11, wherein the electronic device is an organic electroluminescence element, a solar cell using an organic photoelectric conversion element, or an organic thin film transistor.
  13.  請求項1から請求項11までのいずれか一項に記載の電子デバイスを製造する電子デバイスの製造方法であって、
     前記中間層を有機機能層上に形成する工程、
     前記中間層に紫外線照射処理、フラッシュ焼成処理、大気圧プラズマ処理、プラズマイオン注入処理、又は加熱処理を行う工程、及び、
     前記封止層を前記中間層上に積層形成する工程、
     を含むことを特徴とする電子デバイスの製造方法。
    An electronic device manufacturing method for manufacturing the electronic device according to any one of claims 1 to 11,
    Forming the intermediate layer on the organic functional layer;
    A step of performing ultraviolet irradiation treatment, flash baking treatment, atmospheric pressure plasma treatment, plasma ion implantation treatment, or heat treatment on the intermediate layer; and
    A step of laminating and forming the sealing layer on the intermediate layer;
    A method for manufacturing an electronic device, comprising:
  14.  前記中間層に紫外線照射処理、フラッシュ焼成処理、大気圧プラズマ処理、プラズマイオン注入処理、又は加熱処理を行う工程により、前記中間層表面に改質層を形成し、かつ、当該改質層表面において温度23℃における水に対する接触角を、20~100°の範囲内にすることを特徴とする請求項13に記載の電子デバイスの製造方法。 A modified layer is formed on the surface of the intermediate layer by a process of performing ultraviolet irradiation treatment, flash baking treatment, atmospheric pressure plasma treatment, plasma ion implantation treatment, or heat treatment on the intermediate layer, and 14. The method of manufacturing an electronic device according to claim 13, wherein a contact angle with respect to water at a temperature of 23 ° C. is in a range of 20 to 100 °.
  15.  前記中間層の形成を、インクジェットプリント法で行うことを特徴とする請求項13又は請求項14に記載の電子デバイスの製造方法。 The method for manufacturing an electronic device according to claim 13 or 14, wherein the intermediate layer is formed by an inkjet printing method.
  16.  前記封止層をインクジェットプリント法によって形成し、次いで真空紫外線照射処理を行うことを特徴とする請求項13から請求項15までのいずれか一項に記載の電子デバイスの製造方法。 The method for manufacturing an electronic device according to any one of claims 13 to 15, wherein the sealing layer is formed by an inkjet printing method, and then vacuum ultraviolet irradiation treatment is performed.
PCT/JP2019/021001 2018-05-31 2019-05-28 Electronic device and method for producing same WO2019230682A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201980036162.1A CN112205076A (en) 2018-05-31 2019-05-28 Electronic device and method of manufacturing the same
JP2020522195A JPWO2019230682A1 (en) 2018-05-31 2019-05-28 Electronic devices and their manufacturing methods

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018-104204 2018-05-31
JP2018104204 2018-05-31

Publications (1)

Publication Number Publication Date
WO2019230682A1 true WO2019230682A1 (en) 2019-12-05

Family

ID=68698152

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2019/021001 WO2019230682A1 (en) 2018-05-31 2019-05-28 Electronic device and method for producing same

Country Status (3)

Country Link
JP (1) JPWO2019230682A1 (en)
CN (1) CN112205076A (en)
WO (1) WO2019230682A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113327734A (en) * 2021-05-13 2021-08-31 佛山华骏特瓷科技有限公司 Resistor disc preparation method
WO2023008234A1 (en) * 2021-07-30 2023-02-02 日本ゼオン株式会社 Device structure and manufacturing method thereof
JP7457513B2 (en) 2020-02-03 2024-03-28 リンテック株式会社 PRODUCTION METHOD OF PROTECTIVE FILM-FORMING FILM, COMPOSITE SHEET FOR PROTECTIVE FILM-FORMING, AND APPARATUS

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002222691A (en) * 2000-07-24 2002-08-09 Tdk Corp Luminescent element
US20040234813A1 (en) * 2003-05-20 2004-11-25 Lee Jong-Hyuk Organic electroluminescent device and method of manuatacturing the same
JP2015221757A (en) * 2014-05-22 2015-12-10 双葉電子工業株式会社 Compound, desiccant, sealed structure and organic el element
JP2015225785A (en) * 2014-05-28 2015-12-14 国立大学法人山形大学 Sealing method for organic electroluminescence element
WO2016147959A1 (en) * 2015-03-18 2016-09-22 コニカミノルタ株式会社 Gas barrier film
WO2018037791A1 (en) * 2016-08-24 2018-03-01 コニカミノルタ株式会社 Organic electro-luminescence emission device

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002222691A (en) * 2000-07-24 2002-08-09 Tdk Corp Luminescent element
US20040234813A1 (en) * 2003-05-20 2004-11-25 Lee Jong-Hyuk Organic electroluminescent device and method of manuatacturing the same
JP2015221757A (en) * 2014-05-22 2015-12-10 双葉電子工業株式会社 Compound, desiccant, sealed structure and organic el element
JP2015225785A (en) * 2014-05-28 2015-12-14 国立大学法人山形大学 Sealing method for organic electroluminescence element
WO2016147959A1 (en) * 2015-03-18 2016-09-22 コニカミノルタ株式会社 Gas barrier film
WO2018037791A1 (en) * 2016-08-24 2018-03-01 コニカミノルタ株式会社 Organic electro-luminescence emission device

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7457513B2 (en) 2020-02-03 2024-03-28 リンテック株式会社 PRODUCTION METHOD OF PROTECTIVE FILM-FORMING FILM, COMPOSITE SHEET FOR PROTECTIVE FILM-FORMING, AND APPARATUS
CN113327734A (en) * 2021-05-13 2021-08-31 佛山华骏特瓷科技有限公司 Resistor disc preparation method
CN113327734B (en) * 2021-05-13 2022-05-06 佛山华骏特瓷科技有限公司 Resistor disc preparation method
WO2023008234A1 (en) * 2021-07-30 2023-02-02 日本ゼオン株式会社 Device structure and manufacturing method thereof

Also Published As

Publication number Publication date
CN112205076A (en) 2021-01-08
JPWO2019230682A1 (en) 2021-07-26

Similar Documents

Publication Publication Date Title
JP5565454B2 (en) Method for producing gas barrier film, resin base material for organic electroluminescence, and organic electroluminescence device using the same
JP5803937B2 (en) GAS BARRIER FILM, METHOD FOR PRODUCING GAS BARRIER FILM, AND ELECTRONIC DEVICE
JP6056854B2 (en) GAS BARRIER FILM, METHOD FOR PRODUCING GAS BARRIER FILM, AND ELECTRONIC DEVICE
JP5716752B2 (en) Method for producing gas barrier film, gas barrier film and electronic device
CN104736336B (en) The manufacture method of gas barrier film, gas barrier film and electronic equipment
WO2019230682A1 (en) Electronic device and method for producing same
WO2014142036A1 (en) Gas barrier film, method for producing gas barrier film, and organic electroluminescent element
WO2013002026A1 (en) Gas barrier film, manufacturing process for gas barrier film, and electronic device
JP6507523B2 (en) Organic electroluminescent device
JPWO2015083660A1 (en) Organic electroluminescence device
JP5895689B2 (en) Electronic device and manufacturing method thereof
JP7136118B2 (en) Electronic device manufacturing method
JP2013069726A (en) Wavelength conversion member and solar power generation module using the same
JP2006299145A (en) Gas barrier film, resin substrate using gas barrier film and used for organic electroluminescence and organic electroluminescent element
WO2020111174A1 (en) Laminate, method for producing same, and electronic device provided with same
WO2016163215A1 (en) Organic electroluminescent element
JP2017222071A (en) Gas barrier film, method for producing the same and organic electroluminescent device
WO2019230283A1 (en) Gas-barrier base material, production method therefor, and electronic device equipped with such base material
JPWO2017217200A1 (en) Light extraction film and organic electroluminescent light emitting device
WO2014126063A1 (en) Organic electroluminescent element and method for manufacturing organic electroluminescent element
JP2016089083A (en) Method for producing gas barrier film, gas barrier film and electronic device
JP6773048B2 (en) Light emitting device
JP6477468B2 (en) Organic electroluminescence device
JP2016190442A (en) Gas barrier film, transparent conductive member, and organic electroluminescent element

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19810106

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2020522195

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 19810106

Country of ref document: EP

Kind code of ref document: A1