WO2019162203A1 - Procédé de détermination d'une valeur de paramètre dimensionnel corrigée relative à une caractéristique formée par un processus lithographique et appareils associés - Google Patents

Procédé de détermination d'une valeur de paramètre dimensionnel corrigée relative à une caractéristique formée par un processus lithographique et appareils associés Download PDF

Info

Publication number
WO2019162203A1
WO2019162203A1 PCT/EP2019/053797 EP2019053797W WO2019162203A1 WO 2019162203 A1 WO2019162203 A1 WO 2019162203A1 EP 2019053797 W EP2019053797 W EP 2019053797W WO 2019162203 A1 WO2019162203 A1 WO 2019162203A1
Authority
WO
WIPO (PCT)
Prior art keywords
measurement
dimensional parameter
determining
corrected
image
Prior art date
Application number
PCT/EP2019/053797
Other languages
English (en)
Inventor
Sander Frederik WUISTER
Thomas I. Wallow
Original Assignee
Asml Netherlands B.V.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands B.V. filed Critical Asml Netherlands B.V.
Publication of WO2019162203A1 publication Critical patent/WO2019162203A1/fr

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2814Measurement of surface topography
    • H01J2237/2816Length
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2817Pattern inspection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31793Problems associated with lithography
    • H01J2237/31796Problems associated with lithography affecting resists

Definitions

  • the present description relates to methods and apparatus for applying patterns to a substrate in a lithographic process.
  • a lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate.
  • a lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs).
  • a patterning device which is alternatively referred to as a mask or a reticle, may be used to generate a pattern to be formed on an individual layer of, e.g., the IC.
  • This pattern can be transferred onto a target portion (e.g. comprising part of, one, or several dies) on a substrate (e.g. a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate.
  • resist radiation-sensitive material
  • a single substrate will contain a network of adjacent target portions that are successively patterned.
  • lithographic apparatus include so-called steppers, in which each target portion is irradiated by exposing an entire pattern onto the target portion at one time, and so-called scanners, in which each target portion is irradiated by scanning the pattern through a radiation beam in a given direction (the “scanning”-direction) while synchronously scanning the substrate parallel or anti-parallel to this direction. It is also possible to transfer the pattern from the patterning device to the substrate by imprinting the pattern onto the substrate.
  • parameters of the patterned substrate are measured.
  • Parameters may include, for example, the overlay error between successive layers formed in or on the patterned substrate and/or critical linewidth or critical dimension (CD) of a structure on the substrate such as developed resist. This measurement may be performed on a product substrate and/or on a dedicated metrology target.
  • CD critical linewidth or critical dimension
  • process control methods are used to monitor and control the process. Such process control techniques are typically performed to obtain corrections for control of the lithographic process. It would be desirable to improve, e.g., such process control methods.
  • a method of determining a corrected dimensional parameter value relating to a feature formed by a lithographic process, the corrected dimensional parameter value being corrected for a measurement effect on the dimensional parameter comprising: performing a measurement to obtain at least two measurement values for the dimensional parameter; determining the measurement effect from the at least two measurement values; and determining the corrected dimensional parameter value from the determined measurement effect.
  • a computing apparatus comprising a processor, and being configured to perform a method as described herein.
  • a scanning electron microscopy inspection apparatus operable to image a plurality of features on a substrate, and comprising a computing apparatus as described herein.
  • a computer program comprising program instructions operable to perform a method as described herein when run on a suitable apparatus.
  • Figure 1 depicts a lithographic apparatus together with other apparatuses forming a production facility for, e.g., semiconductor devices;
  • Figure 2 schematically depicts two examples of stochastic variation, wherein Figure 2A schematically depicts line edge roughness (LER) and Figure 2B schematically depicts line width roughness (LWR);
  • Figure 3 shows a graph of dose E against position x, illustrating the concept of a blurred ILS
  • Figure 4 illustrates the effect of resist shrinkage and CD change due to SEM metrology, showing in Figure 4A an aerial image interacting with a resist layer, in Figure 4B the after development imaged structure in resist and in Figure 4C the same structure as shown in Figure 4B after measurement using SEM metrology;
  • Figure 5 is a plot of CD against SEM dose for a particular feature; and [0017] Figure 6 is a flowchart of a method according to an embodiment of the invention.
  • Figure 1 at 200 shows a lithographic apparatus LA as part of an industrial production facility implementing a high-volume, lithographic manufacturing process.
  • the manufacturing process is adapted for the manufacture of semiconductor products (e.g., integrated circuits) on substrates W such as semiconductor wafers.
  • semiconductor products e.g., integrated circuits
  • substrates W such as semiconductor wafers.
  • semiconductor products e.g., integrated circuits
  • the skilled person will appreciate that a wide variety of products can be manufactured by processing different types of substrates in variants of this process.
  • the production of semiconductor products is used purely as an example which has great commercial significance today.
  • a measurement station MEA is shown at 202 and an exposure station EXP is shown at 204.
  • a control unit LACU is shown at 206.
  • each substrate visits the measurement station and the exposure station to have a pattern applied.
  • a projection system is used to transfer a product pattern from a patterning device MA onto the substrate using conditioned radiation and a projection system. This is done by forming an image of the pattern in a layer of radiation- sensitive resist material.
  • the term“projection system” used herein should be broadly interpreted as encompassing any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum.
  • the patterning MA device may be a mask or reticle, which imparts a pattern to a radiation beam transmitted or reflected by the patterning device.
  • Well-known modes of operation include a stepping mode and a scanning mode.
  • the projection system may cooperate with support and positioning systems for the substrate and the patterning device in a variety of ways to apply a desired pattern to many target portions across a substrate.
  • Programmable patterning devices may be used instead of reticles having a fixed pattern.
  • the radiation for example may include electromagnetic radiation in the deep ultraviolet (DUV) or extreme ultraviolet (EUV) wavebands.
  • DUV deep ultraviolet
  • EUV extreme ultraviolet
  • the present disclosure is also applicable to other types of lithographic process, for example imprint lithography and direct writing lithography, for example by electron beam.
  • the lithographic apparatus control unit LACU controls all the movements and
  • LACU also includes signal processing and data processing capacity to implement desired calculations relevant to the operation of the apparatus.
  • control unit LACU will be realized as a system of many sub-units, each handling the real-time data acquisition, processing and control of a subsystem or component within the apparatus.
  • the substrate is processed at the measurement station MEA so that various preparatory steps may be carried out.
  • the preparatory steps may include mapping the surface height of the substrate using a level sensor and/or measuring the position of alignment marks on the substrate using an alignment sensor.
  • the alignment marks are arranged nominally in a regular grid pattern. However, due to inaccuracies in creating the marks and also due to deformations of the substrate that occur throughout its processing, the marks deviate from the ideal grid. Consequently, in addition to measuring position and orientation of the substrate, the alignment sensor in practice measures in detail the positions of many marks across the substrate area, if the apparatus is to print product features at the correct locations with very high accuracy.
  • the apparatus may be of a so-called dual stage type which has two substrate tables, each with a positioning system controlled by the control unit LACU. While one substrate on one substrate table is being exposed at the exposure station EXP, another substrate can be loaded onto the other substrate table at the measurement station MEA so that various preparatory steps may be carried out.
  • the substrate tables can be exchanged between the stations EXP and MEA.
  • the measurement of alignment marks is therefore very time-consuming and the provision of two substrate tables enables a substantial increase in the throughput of the apparatus. If a position sensor is not capable of measuring the position of the substrate table while it is at the measurement station as well as at the exposure station, a second position sensor may be provided to enable the positions of the substrate table to be tracked at both stations.
  • apparatus 200 forms part of a“litho cell” or“litho cluster” that also contains a coating apparatus 208 configured to apply photosensitive resist and/or one or more other coatings to substrates W for patterning by the apparatus 200.
  • a baking apparatus 210 and developing apparatus 212 are provided for developing the exposed pattern into a physical resist pattern.
  • substrate handling systems take care of supporting the substrates and transferring them from one piece of apparatus to the next.
  • These apparatuses which are often collectively referred to as the track, are under the control of a track control unit which is itself controlled by a supervisory control system SCS, which also controls the lithographic apparatus via lithographic apparatus control unit LACU.
  • Supervisory control system SCS receives recipe information R which provides in great detail a definition of the steps to be performed to create each patterned substrate and can receive information 252 from the control unit LACU.
  • patterned substrates 220 are transferred to other processing apparatuses such as are illustrated at 222, 224, 226.
  • apparatus 222 in this embodiment is an etching station, and apparatus 224 performs a post-etch annealing step.
  • Further physical and/or chemical processing steps are applied in one or more further apparatuses 226. Numerous types of operation can be required to make a real device, such as deposition of material, modification of surface material characteristics (oxidation, doping, ion implantation etc.), chemical-mechanical polishing (CMP), and so forth.
  • CMP chemical-mechanical polishing
  • the apparatus 226 may, in practice, represent a series of different processing steps performed in one or more apparatuses. As another example, apparatus and processing steps may be provided for the implementation of self- aligned multiple patterning, to produce multiple smaller features based on a precursor pattern laid down by the lithographic apparatus.
  • substrates 230 arriving at the litho cluster may be newly prepared substrates, or they may be substrates that have been processed previously in this cluster or in another apparatus entirely.
  • substrates 232 on leaving apparatus 226 may be returned for a subsequent patterning operation in the same litho cluster, they may be destined for patterning operations in a different cluster, or they may be finished products to be sent for dicing and packaging.
  • Each layer of the product structure typically involves a different set of process steps, and the apparatuses used at each layer may be completely different in type. Further, even where the processing steps to be applied are nominally the same, in a large facility, there may be several supposedly identical machines working in parallel to perform the steps on different substrates. Small differences in set-up or faults between these machines can mean that they influence different substrates in different ways. Even steps that are relatively common to each layer, such as etching (apparatus 222) may be implemented by several etching apparatuses that are nominally identical but working in parallel to maximize throughput. In practice, moreover, different layers require different etch processes, for example chemical etches, plasma etches, according to the details of the material to be etched, and special requirements such as, for example, anisotropic etching.
  • the previous and/or subsequent processes may be performed in other lithography apparatuses, as just mentioned, and may even be performed in different types of lithography apparatus.
  • one or more layers in the device manufacturing process which are very demanding in one or more parameters such as resolution and/or overlay may be performed in a more advanced lithography tool than one or more other layers that are less demanding. Therefore one or more layers may be exposed in an immersion type lithography tool, while one or more others are exposed in a‘dry’ tool.
  • One or more layers may be exposed in a tool working at DUV wavelengths, while one or more others are exposed using EUV wavelength radiation.
  • a manufacturing facility in which the litho cell is located also typically includes a metrology system which receives one or more or all of the substrates W that have been processed in the litho cell. Metrology results are provided 242 directly or indirectly to the supervisory control system SCS. If errors are detected, adjustments 266 may be made to, e.g., exposures of subsequent substrates, especially if the metrology can be done soon and fast enough that other substrates of the same batch are still to be exposed.
  • already exposed substrates may be stripped and reworked to improve yield, or discarded, thereby avoiding performing further processing on substrates that are known to be faulty. In a case where only some target portions of a substrate are faulty, further exposures can be performed only on those target portions which are good.
  • a metrology apparatus 240 which is provided for making measurements of parameters of one or more of the products at desired stages in the manufacturing process.
  • a common example of a metrology station in a modem lithographic production facility is a scatterometer, for example a dark-field scatterometer, an angle-resolved scatterometer or a spectroscopic scatterometer, and it may be applied to measure properties of the developed substrates at 220 prior to etching in the apparatus 222.
  • a performance parameter such as overlay or critical dimension (CD) does not meet specified accuracy requirements in the developed resist.
  • the metrology results 242 from the apparatus 240 can be used to maintain accurate performance of the patterning operations in the litho cluster, by supervisory control system SCS and/or control unit LACU making small adjustments over time, thereby reducing or minimizing the risk of products being made out-of-specification, and requiring re-work.
  • a metrology station is a scanning electron microscope (SEM), otherwise referred to as an electron beam (e-beam) metrology device, which may be included in addition to, or as an alternative to, a scatterometer.
  • metrology apparatus 240 may comprise an e-beam or SEM metrology device, either alone or in addition to a scatterometer.
  • E-beam and SEM metrology devices have an advantage of measuring features directly (i.e., they directly image the features), rather than the indirect measurement techniques used in scatterometry (where parameter values are determined from reconstruction from and/or asymmetry in diffraction orders of radiation diffracted by the structure being measured).
  • a disadvantage with e-beam or SEM metrology devices is their measurement speed, which is much slower than scatterometry, limiting their potential application to specific offline monitoring processes.
  • metrology apparatus 240 and/or other metrology apparatuses can be applied to measure properties of the processed substrates 232, 234, and incoming substrates 230.
  • the metrology apparatus can be used on the processed substrate to determine values of a parameter such as overlay or CD.
  • Lithographic projection apparatuses typically project a patterned (i.e., by a patterning device) image at a point immediately above the substrate, and then ultimately into the resist.
  • the projected image is called the aerial image, which comprises a distribution of radiation intensity as a function of spatial position in the image plane.
  • the aerial image is the source of the information that is exposed into the resist, forming a gradient in dissolution rates that enables the three-dimensional resist image to appear during development.
  • Stochastic induced failure predictions are typically made based on measurement of the variation of a dimensional parameter, such as CD (so called local CD uniformity (LCDU)), line edge position (so called line edge roughness (LER)), or linewidth (so called linewidth roughness (LWR)).
  • CD local CD uniformity
  • LER line edge roughness
  • LWR linewidth roughness
  • Accurate measurement of the number of failures is cumbersome, as low failure rates (e.g., of the order of 1 per million to 1 per billion) can be expected in an optimized process. Therefore, failure predictions are based on stochastic LCDU, LER or LWR predictions which rely on relatively few measurements.
  • stochastics tend to be driven by optical contrast and more specifically, the image log slope (ILS).
  • the ILS is the gradient of the logarithm of the aerial image intensity /:
  • the position coordinate x can be normalized by multiplying the ILS by the nominal linewidth w to obtain what is referred to as the normalized ILS (NILS):
  • Stochasticity can be of significance for extreme ultraviolet (EUV) lithography, as features are smaller relative to lithography techniques using lower energy exposure radiation, as is the number of photons in the exposure radiation (because of their higher energy). EUV radiation is
  • EUV radiation having a wavelength within the range of 5-20 nm; for example within the range of 13-14 nm.
  • EUV radiation with a wavelength of less than 10 nm could be used, for example within the range of 5-10 nm (e.g., 6.7 nm or 6.8 nm).
  • Such radiation is termed extreme ultraviolet radiation or soft x-ray radiation.
  • Possible sources for EUV radiation include, for example, laser- produced plasma sources, discharge plasma sources, or sources based on synchrotron radiation provided by an electron storage ring.
  • Imaging using a lithographic projection apparatus, will result in a stochastic variation in one or more parameters, such as pronounced line width roughness (LWR) and/or local CD variation in small two-dimensional features such as holes.
  • the stochastic variation may be attributed to factors such as photon shot noise, photon- generated secondary electrons, photon absorption variation, photon-generated acids in the resist, etc.
  • the stochastic variation in smaller features is a significant factor in production yield and justifies inclusion in a variety of optimization processes of the litho cell.
  • the throughput can also be affected by the total amount of radiation directed to the substrate.
  • a portion of the radiation (e.g., pupil fill ratio) from the source is sacrificed in order to achieve desired shapes of the source.
  • a higher (N)ILS is obtained if the pupil fill ratio is lower, and as such there is a trade-off.
  • FIG. 2A schematically depicts an example stochastic effect in the form of line edge roughness (LER).
  • LER line edge roughness
  • the resist images 903 A, 903B and 903C of the edge 903 may have slightly different shapes and locations.
  • Locations 904 A, 904B and 904C of the resist images 903 A, 903B and 903C may be measured by averaging the resist images 903 A, 903B and 903C, respectively, as represented by averages 902A, 902B and 902C respectively.
  • LER of the edge 903 may be a measure of the spatial distribution of the locations 904 A, 904B and 904C.
  • the LER may be a 3s of the spatial distribution (assuming the distribution is a normal distribution).
  • the LER may be derived from many exposures or simulations of the edge 903.
  • FIG. 2B schematically depicts line width roughness (LWR).
  • LWR line width roughness
  • the resist images 910A, 910B and 910C of the rectangle feature 910 may have slightly different widths 911A, 91 IB and 911C, respectively.
  • LWR of the rectangle feature 910 may be a measure of the distribution of the widths 911 A, 911B and 911C.
  • the LWR may be a 3s of the distribution (assuming the distribution is a normal distribution).
  • the LWR may be derived from many exposures or simulations of the rectangle feature 910.
  • LCDU In the context of a short feature (e.g., a contact hole), the widths of its images are not well defined because long edges are not available for averaging their locations.
  • a similar quantity, LCDU may be used to characterize this stochastic variation.
  • the LCDU is a 3s of the distribution (assuming the distribution is a normal distribution) of measured CDs of images of the short feature.
  • stochastic variation as described herein may comprise a measure of the variation of any dimensional parameter, and as such may comprise a line edge roughness (LER), a line width roughness (LWR), an LCDU, a hole LCDU, a circle edge roughness (CER), an edge placement error (EPE), or a combination selected therefrom.
  • LER line edge roughness
  • LWR line width roughness
  • CER circle edge roughness
  • EPE edge placement error
  • a design variable of the optimization process may comprise an ILS (e.g., more specifically a blurred ILS (ILS B )), dose and/or image intensity (e.g., aerial image intensity).
  • the blurred ILS (ILS B ) is the image log slope ILS (or normalized ILS) having a spatial blur applied thereto (e.g., by convolution with a Gaussian distribution), such that a blurred ILS has less contrast/slope than the unblurred aerial image.
  • the spatial blur may represent blur of a resist image due to diffusion of a chemical species generated in a resist layer by exposure to radiation.
  • Ligure 3 illustrates how a blurred ILS ILS B can be used to translate local dose variations into local CD (or another stochastic parameter) variations. It shows a plot of dose E against position x, the curve representing the absorbed dose within the resist. Due to the limited number of photons, the absorbed dose obeys Poissonian statistics, resulting in an intrinsic local dose variation O dose , which in turn results in a variation in the number of photons absorbed by the resist ⁇ N ph >.
  • the blurred ILS ILS B comprises a combination of the ILS defined by the aerial image and this local dose variation Od ose .
  • the blurred ILS ILS B translates the local dose variation Od ose into a resultant local CD variation LCDU, shown here as a CD variation range describing a circular feature CL, although this is equally applicable to any feature.
  • the LCDU (in nm) will depend on the blurred ILS ILS B and the number of photons absorbed by the resist ⁇ N ph > according to:
  • the blurred ILS is typically determined from CD measurements which are performed using scanning electron microscopy (SEM) techniques.
  • SEM scanning electron microscopy
  • the problem with this is that the very act of measuring CD in resist, e.g., after development (after development inspection ADI), results in a measurement effect, more specifically a change in the measured CD.
  • This is because electrons of the electron beam used to measure in SEM are absorbed and interact with the resist (outgassing reaction), resulting in shrinkage of the resist.
  • the CD of line features measured using SEM techniques tend to decrease (narrow) as a result of the SEM measurement and, conversely, the CD of hole or trench features tend to increase (widen) as a result of the SEM measurement.
  • Ligure 4A shows the position of aerial image AI, which defines the (normalized) ILS, with respect to a resist layer R during exposure.
  • Ligure 4B shows the developed feature in resist R’ following a development step.
  • the critical dimension CD ADI of this feature R’ is the true ADI critical dimension.
  • the aerial image AI is shown for reference only and would not be present at this stage of processing.
  • Ligure 4C shows the developed feature in resist R’’ following a SEM metrology step to measure the CD.
  • the critical dimension CDSEM of this feature R’’ is not the true ADI CD, but rather there is a CD difference ACD between CDADI and CDSEM (i.e., a measurement effect).
  • the CD difference ACD is purely the result of the SEM inspection.
  • the resist CD With increased SEM dose the resist CD will reduce. This dependence on dose is cumulative, and therefore the ultimate CD will depend on the number of SEM measurements performed and resultant image frames obtained, as obtaining each frame subjects the resist to a further SEM dose.
  • FIG. 5 is a graph of measured CD (y axis) against SEM dose ESEM (X axis), showing an example shrink curve SC for a given feature (e.g., a trench or hole feature).
  • the measured CD is therefore not representative of the CD after development. Because CD and the ILS are fundamentally coupled, this means that the ILS determined from the measured CD is not representative of the true, after-development ILS. This makes stochastic failure predictions based on this measured ILS inaccurate. Since both the CD difference due to measurement (which can be anything up to 15 nm) and the CD-ILS dependence vary per feature, an incorrect ILS is almost always obtained.
  • CD or other dimensional parameter
  • ACD SEM measurement
  • the CD measurement effect may be determined in different ways.
  • the simplest way is to obtain a plurality of SEM frames (images) of the same feature.
  • each frame is stored separately, and therefore a CD value for a feature can be determined per frame.
  • the CD measurement effect is substantially linear (i.e., relates to the linear part of the shrink curve SC of Figure 5).
  • the CD difference determined from the two (successive) frames may be assumed to be the same as the CD difference between the CD in the first frame and the unknown, after-development (pre-inspection) CD that is being estimated.
  • the estimate can be made more accurate, as the shrink curve is actually typically more exponential than linear.
  • the dependence of CD on SEM dose i.e., a curve such as that of Figure 5
  • the model can then be used to more accurately estimate the CD measurement effect, and therefore the after-development CD, of similar features (for example, assuming that the other relevant variables e.g., resist type, SEM voltage etc. are maintained the same).
  • FIG. 6 is a flowchart describing an exemplary method using this concept.
  • a feature is formed on a substrate and at step 1705, the CD, or other relevant dimensional parameter of the feature, is measured on at least two frames using a SEM.
  • a corrected CD value is determined based on the measured CD values of the previous step.
  • the corrected CD value comprises an estimate of the after-development CD, before measurement. This step may use any of the methods proposed in the previous paragraph.
  • an image intensity metric is determined from the corrected CD values.
  • the image intensity metric may comprise an ILS, a normalized ILS, a blurred ILS or a blurred normalized ILS, for example.
  • a value of the stochastic variation e.g., LER or LCDU
  • each of the at least two measurement values are determined from a different frame of an image of the feature.
  • determining the measurement effect comprises determining the difference from two of the at least two measurement values, and attributing this difference to the measurement effect.
  • determining the measurement effect comprises modelling the variation of the dimensional parameter with a measurement parameter.
  • a computing apparatus comprising a processor, and being configured to perform the method of any preceding clause.
  • a scanning electron microscopy inspection apparatus operable to image one or more features on a substrate, and comprising the computing apparatus of clause 16.
  • a computer program comprising program instructions operable to perform the method of any of clauses 1 to 15 when run on a suitable apparatus.
  • UV radiation e.g., having a wavelength of or about 365, 355, 248, 193, 157 or 126 nm
  • EUV radiation e.g., having a wavelength in the range of 5-20 nm
  • particle beams such as ion beams or electron beams.
  • optical components may refer to any one or combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic and electrostatic optical components.
  • a computer program may be configured to provide any of the above described methods.
  • the computer program may be provided on a computer readable medium.
  • the computer program may be a computer program product.
  • the product may comprise a non-transitory computer usable storage medium.
  • the computer program product may have computer-readable program code embodied in the medium configured to perform the method.
  • the computer program product may be configured to cause at least one processor to perform some or all of a method described herein.
  • These computer program instructions may be provided to a processor circuit of a general purpose computer circuit, special purpose computer circuit, and/or other programmable data processing circuit to produce a machine, such that the instructions, which execute via the processor of the computer and/or other programmable data processing apparatus, transform and control transistors, values stored in memory locations, and other hardware components within such circuitry to implement the functions/acts specified in the block diagrams and/or flowchart block or blocks, and thereby create means (functionality) and/or structure for implementing the functions/acts specified in the block diagrams and/or flowchart block(s).
  • Computer program instructions may also be stored in a computer-readable medium that can direct a computer or other programmable data processing apparatus to function in a particular manner, such that the instructions stored in the computer-readable medium produce an article of manufacture including instructions which implement the functions/acts specified in the block diagrams and/or flowchart block or blocks.
  • a tangible, non-transitory computer-readable medium may include an electronic, magnetic, optical, electromagnetic, or semiconductor data storage system, apparatus, or device. More specific examples of the computer-readable medium would include the following: a portable computer diskette, a random access memory (RAM) circuit, a read-only memory (ROM) circuit, an erasable programmable read-only memory (EPROM or Flash memory) circuit, a portable compact disc read only memory (CD-ROM), and a portable digital video disc read-only memory (DVD/Blu-ray).
  • RAM random access memory
  • ROM read-only memory
  • EPROM or Flash memory erasable programmable read-only memory
  • CD-ROM compact disc read only memory
  • DVD/Blu-ray portable digital video disc read-only memory
  • the computer program instructions may also be loaded onto a computer and/or other programmable data processing apparatus to cause a series of operational steps to be performed on the computer and/or other programmable apparatus to produce a computer-implemented process such that the instructions which execute on the computer or other programmable apparatus provide steps for implementing the functions/acts specified in the block diagrams and/or flowchart block or blocks.
  • the present disclosure may be embodied in hardware and/or in software (including firmware, resident software, micro-code, etc.) that runs on a processor, which may collectively be referred to as "circuitry,” "a module” or variants thereof.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

L'invention concerne un procédé de détermination d'une valeur de paramètre dimensionnel corrigée relative à une caractéristique formée par un processus lithographique, la valeur de paramètre dimensionnel corrigée étant corrigée pour obtenir un effet de mesure sur le paramètre dimensionnel, le procédé consistant en : la réalisation d'une mesure pour obtenir au moins deux valeurs de mesure pour le paramètre dimensionnel ; la détermination de l'effet de mesure à partir desdites valeurs de mesure ; et la détermination de la valeur de paramètre dimensionnel corrigée à partir de l'effet de mesure déterminé.
PCT/EP2019/053797 2018-02-22 2019-02-15 Procédé de détermination d'une valeur de paramètre dimensionnel corrigée relative à une caractéristique formée par un processus lithographique et appareils associés WO2019162203A1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862634190P 2018-02-22 2018-02-22
US62/634,190 2018-02-22

Publications (1)

Publication Number Publication Date
WO2019162203A1 true WO2019162203A1 (fr) 2019-08-29

Family

ID=65494121

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2019/053797 WO2019162203A1 (fr) 2018-02-22 2019-02-15 Procédé de détermination d'une valeur de paramètre dimensionnel corrigée relative à une caractéristique formée par un processus lithographique et appareils associés

Country Status (2)

Country Link
TW (1) TW201945853A (fr)
WO (1) WO2019162203A1 (fr)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116206935A (zh) * 2023-05-04 2023-06-02 华芯程(杭州)科技有限公司 一种晶圆测量机台的校准方法、装置及设备

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150036914A1 (en) * 2011-08-22 2015-02-05 Hitachi High-Technologies Corporation Method for estimating shape before shrink and cd-sem apparatus
WO2015121127A1 (fr) 2014-02-11 2015-08-20 Asml Netherlands B.V. Modèle pour calculer une variation stochastique dans un motif arbitraire
WO2016128392A1 (fr) 2015-02-13 2016-08-18 Asml Netherlands B.V. Optimisation de pente logarithmique d'image (ils)
US20170315055A1 (en) * 2016-04-29 2017-11-02 Asml Netherlands B.V. Method and Apparatus for Determining the Property of a Structure, Device Manufacturing Method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150036914A1 (en) * 2011-08-22 2015-02-05 Hitachi High-Technologies Corporation Method for estimating shape before shrink and cd-sem apparatus
WO2015121127A1 (fr) 2014-02-11 2015-08-20 Asml Netherlands B.V. Modèle pour calculer une variation stochastique dans un motif arbitraire
WO2016128392A1 (fr) 2015-02-13 2016-08-18 Asml Netherlands B.V. Optimisation de pente logarithmique d'image (ils)
US20170315055A1 (en) * 2016-04-29 2017-11-02 Asml Netherlands B.V. Method and Apparatus for Determining the Property of a Structure, Device Manufacturing Method

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
BENJAMIN BUNDAY ET AL: "Characterization of CD-SEM metrology for iArF photoresist materials", PROCEEDINGS OF SPIE MEDICAL IMAGING 1997, vol. 6922, 14 March 2008 (2008-03-14), 1000 20th St. Bellingham WA 98225-6705 USA, pages 69221A, XP055589062, ISSN: 0277-786X, ISBN: 978-1-5106-2781-9, DOI: 10.1117/12.774317 *
HIROKI KAWADA ET AL: "Zero-shrink dimension evaluated for ArF-resist patterns measured by CD-SEM", PROCEEDINGS OF SPIE MEDICAL IMAGING 1997, vol. 5038, 27 May 2003 (2003-05-27), 1000 20th St. Bellingham WA 98225-6705 USA, pages 861, XP055588853, ISSN: 0277-786X, ISBN: 978-1-5106-2781-9, DOI: 10.1117/12.483658 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116206935A (zh) * 2023-05-04 2023-06-02 华芯程(杭州)科技有限公司 一种晶圆测量机台的校准方法、装置及设备
CN116206935B (zh) * 2023-05-04 2023-07-18 华芯程(杭州)科技有限公司 一种晶圆测量机台的校准方法、装置及设备

Also Published As

Publication number Publication date
TW201945853A (zh) 2019-12-01

Similar Documents

Publication Publication Date Title
US11300891B2 (en) Methods and apparatus for calculating substrate model parameters and controlling lithographic processing
US20230021079A1 (en) Method for controlling a lithographic apparatus and associated apparatuses
TWI572990B (zh) 施加一圖案至一基板之方法、元件製造方法及用於此等方法之微影裝置
US10816907B2 (en) Method for determining an optimized set of measurement locations for measurement of a parameter of a lithographic process, metrology system and computer program products for implementing such methods
US11022896B2 (en) Mark position determination method
US20160370711A1 (en) Lithographic apparatus with data processing apparatus
US10578980B2 (en) Method of determining a position of a feature
CN110036347B (zh) 改变蚀刻参数的方法
US11194258B2 (en) Method and apparatus for determining a fingerprint of a performance parameter
US11733614B2 (en) Method of metrology and associated apparatuses
WO2019162203A1 (fr) Procédé de détermination d'une valeur de paramètre dimensionnel corrigée relative à une caractéristique formée par un processus lithographique et appareils associés
US11016399B2 (en) Method for controlling a manufacturing apparatus and associated apparatuses
EP4231096A1 (fr) Procédés de métrologie
TWI811952B (zh) 度量衡方法及設備
WO2024046691A1 (fr) Procédé de configuration d'un champ de vision d'un appareil d'inspection
TWI841450B (zh) 度量衡方法及設備
EP4361727A1 (fr) Procédé de métrologie d'inclinaison et appareils associés

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19705959

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 19705959

Country of ref document: EP

Kind code of ref document: A1