WO2019055393A1 - Compositions and methods for depositing silicon-containing films - Google Patents

Compositions and methods for depositing silicon-containing films Download PDF

Info

Publication number
WO2019055393A1
WO2019055393A1 PCT/US2018/050414 US2018050414W WO2019055393A1 WO 2019055393 A1 WO2019055393 A1 WO 2019055393A1 US 2018050414 W US2018050414 W US 2018050414W WO 2019055393 A1 WO2019055393 A1 WO 2019055393A1
Authority
WO
WIPO (PCT)
Prior art keywords
plasma
disilacyclobutane
tert
bis
group
Prior art date
Application number
PCT/US2018/050414
Other languages
French (fr)
Other versions
WO2019055393A8 (en
Inventor
Ming Li
Xinjian Lei
Raymond N. VRTIS
Robert G. RIDGEWAY
Manchao Xiao
Original Assignee
Versum Material Us, Llc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Material Us, Llc filed Critical Versum Material Us, Llc
Priority to CN201880071503.4A priority Critical patent/CN111295465B/en
Priority to EP18855737.5A priority patent/EP3682041B1/en
Priority to CN202211551636.6A priority patent/CN115992345A/en
Priority to JP2020515256A priority patent/JP7025534B2/en
Priority to KR1020207010524A priority patent/KR102434249B1/en
Priority to US16/646,686 priority patent/US11851756B2/en
Priority to SG11202002265PA priority patent/SG11202002265PA/en
Publication of WO2019055393A1 publication Critical patent/WO2019055393A1/en
Priority to IL273146A priority patent/IL273146A/en
Publication of WO2019055393A8 publication Critical patent/WO2019055393A8/en
Priority to US18/515,031 priority patent/US20240093366A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/0803Compounds with Si-C or Si-Si linkages
    • C07F7/0805Compounds with Si-C or Si-Si linkages comprising only Si, C or H atoms
    • C07F7/0807Compounds with Si-C or Si-Si linkages comprising only Si, C or H atoms comprising Si as a ring atom
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Definitions

  • Described herein are methods and compositions for depositing conformal, stoichiometric or non-stoichiometric, silicon carbide or silicon carbonitride films using a silicon precursor comprising at least one silacycloalkane. More specifically, described herein are deposition processes, such as without limitation, plasma enhanced atomic layer deposition ("PEALD”), plasma enhanced cyclic chemical vapor deposition
  • PEALD plasma enhanced atomic layer deposition
  • PECCVD plasma enhanced flowable vapor deposition
  • PEFCVD plasma enhanced flowable vapor deposition
  • Low pressure chemical vapor deposition (LPCVD) processes are one of the more widely accepted methods used by semiconductor industry for the deposition of silicon nitride films.
  • Low pressure chemical vapor deposition (LPCVD) using ammonia may require deposition temperatures of greater than 650°C to obtain reasonable growth rates and uniformities. Higher deposition temperatures are typically employed to provide improved film properties.
  • One of the more common industry methods to grow silicon nitride is through low pressure chemical vapor deposition in a hot wall reactor at temperatures greater than 750°C using the silane, dichlorosilane, and/or ammonia as precursors.
  • certain precursors, such as silane are pyrophoric. This may present problems in handling and usage.
  • films deposited from dichlorosilane may contain certain impurities, such as chlorine and ammonium chloride, which are formed as byproducts during the deposition process.
  • US patent No. 9,455,138 discloses a method for forming a dielectric film in a trench on a substrate by plasma-enhanced atomic layer deposition (PEALD) performs >1 process cycles, each process cycle including (i) feeding a silicon-containing precursor in a pulse, (ii) supplying a hydrogen-containing reactant gas at a flow rate 30-800 seem in the absence of nitrogen-containing gas, (iii) supplying a noble gas to the reaction space, and (iv) applying RF power in the presence of the reactant gas and the noble gas and in the absence of any precursor in the reaction space, to form a monolayer constituting a dielectric film on a substrate at a growth rate of less than one at. layer thickness per cycle.
  • PEALD plasma-enhanced atomic layer deposition
  • US Patent No. 9,234,276 discloses methods and systems for providing SiC films.
  • a layer of SiC can be provided under process conditions that employ one or more Si-containing precursors that have >1 Si-H bonds and/or Si-Si bonds.
  • the Si- containing precursors may also have >1 Si-0 bonds and/or Si-C bonds.
  • One or more radical species in a substantially low energy state can react with the Si-containing precursors to form the SiC film.
  • the >1 radical species can be formed in a remote plasma source.
  • US Patent No. 8,846,536 discloses a method to deposit and modify the flowable dielectric film. By one or more integration processes, the wet etch rate of the flowable dielectric film can be changed by a factor of at least 10.
  • US Publ. No. 2013/0217241 discloses the deposition and treatment of Si-C-N containing flowable layers.
  • Si and C may come from a Si-C-containing precursor, while N may come from an N-containing precursor.
  • the initial Si-C-N containing flowable layer is treated to remove components that enables the flowability. Removal of these components can increase etch tolerance, reduce shrinkage, adjust film tension and electrical properties.
  • the post treatment can be thermal annealing, UV exposure or high density plasma.
  • US Patent No. 8,889,566 discloses a method to deposit flowable film by exciting the silicon precursor with a local plasma and depositing with a second plasma.
  • the silicon precursor can be silylamine, higher order silane or halogenated silane.
  • the second reactant gas can be NH 3 , N 2 , H 2 , and/or 0 2 .
  • US Patent No. 7,825,040 discloses a method of filling a gap by introducing an alkoxysilane or aminosilane precursor, and depositing a flowable Si-containing film by plasma reaction.
  • the precursor doesn't contain a Si-C bond or a C-C bond.
  • US Patent Nos. 8,889,566, 7,521 ,378, and 8,575,040 describe an approach to depositing a silicon oxide film using flowable chemical vapor deposition process as gas phase polymerization.
  • Compounds such as trisilylamine (TSA) was used to deposit Si, H, and N containing oligomers that were subsequently oxidized to SiO x films using ozone exposure.
  • US Patent No. 8,846,536 discloses a method to deposit and modify the flowable dielectric film. By one or more integration processes, the wet etch rate of the flowable dielectric film can be changed by a factor of at least 10.
  • Described herein are methods for forming a dielectric film comprising stoichiometric or non-stoichiometric silicon carbide, silicon carbonitride films, silicon carboxide, silicon oxycarbonitride onto at least a portion of a substrate.
  • the silicon precursors described herein comprise at least one silacycloalkane precursor selected from the group consisting of compounds represented by the structure of Formula IA and compounds represented by the structure of Formula
  • R 1 is selected from the group consisting of hydrogen, a linear or branched Ci to Cio alkyl group, cyclic C 3 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, and a linear or branched C 2 to C 10 alkynyl group;
  • R 2 is selected from the group consisting of a linear or branched C 2 to C 6 alkenyl group, a linear or branched C 2 to C 6 alkynyl group, and cyclic C 3 to do alkyl group.
  • composition comprising: (a) at least one silacycloalkane precursor selected from the group consisting of compounds represented by the structure of Formula IA and compounds represented by the structure of Formula
  • R 1 is selected from the group consisting of hydrogen, a linear or branched d to do alkyl group, a cyclic C 3 to Ci 0 alkyl group, a linear or branched C 2 to do alkenyl group, and a linear or branched C 2 to C 10 alkynyl group; and R 2 is selected from the group consisting of a linear or branched C 2 to C 6 alkenyl group, a linear or branched C 2 to C 6 alkynyl group, and a cyclic C 3 to C 10 alkyl group; and (b) a solvent.
  • R 1 and R 2 are each hydrogen. In other embodiments, R 1 is hydrogen.
  • the solvent is at least one selected from the group consisting of ether, tertiary amine, alkyl hydrocarbon, aromatic hydrocarbon, tertiary aminoether, and combinations thereof.
  • the difference between the boiling point of the silacycloalkane and the boiling point of the solvent is 40°C or less.
  • a silicon carbide film comprising the steps of:
  • R 1 is selected from the group consisting of hydrogen, a linear or branched d to do alkyl group, a cyclic C 3 to Ci 0 alkyl group, a linear or branched C 2 to do alkenyl group, and a linear or branched C 2 to C 10 alkynyl group; and R 2 is selected from the group consisting of a linear or branched C 2 to C 6 alkenyl group, a linear or branched C 2 to C 6 alkynyl group, and a cyclic C 3 to C 10 alkyl group, wherein the at least one silacycloalkane precursor reacts on at least a portion of the surface of the substrate to provide a chemisorbed layer;
  • a method of forming a dielectric film comprising silicon and carbide using a deposition process selected from a plasma enhanced atomic layer deposition process or plasma enhanced ALD-like process or plasma enhanced flowable chemical vapor deposition comprising the steps of:
  • a purge gas comprising at least one selected from nitrogen, a noble gas, and combinations thereof;
  • the invention relates to a method of forming a dielectric film comprising silicon, nitrogen, and carbon onto at least a surface of a substrate, using a at least one deposition process selected from the group consisting of , plasma enhanced atomic layer deposition process, plasma enhanced ALD-like process, and plasma enhanced flowable chemical vapor deposition, the method comprising the steps of: a. providing a substrate in a reactor;
  • R 1 is selected from the group consisting of hydrogen, a linear or branched d to do alkyl group, a cyclic C 3 to Ci 0 alkyl group, a linear or branched C 2 to do alkenyl group, and a linear or branched C 2 to d 0 alkynyl group; and R 2 is selected from the group consisting of a linear or branched C 2 to C 6 alkenyl group, a linear or branched C 2 to C 6 alkynyl group, and a cyclic C 3 to C 10 alkyl group, wherein the at least one silacycloalkane precursor reacts on at least a portion of the surface of the substrate to provide a chemisorbed layer;
  • a purge gas comprising at least one selected from nitrogen, a noble gas, and combinations thereof;
  • steps b through e are repeated until a desired thickness of the silicon carbonitride film is obtained.
  • a further aspect of the invention relates to a silicon containing film produced by any of the foregoing methods or from any of the foregoing compositions wherein the silicon carbide or silicon carbonitride film has a density of 2.2g/cc or greater.
  • FIG. 1 is an SEM micrograph image of a PEFCVD film deposited from the precursor 1 ,1 ,3,3-tetravinyl-1 ,3-disilacyclobutane according to Example 4.
  • a silicon carbide film or silicon carbonitride film is considered a "high quality" film if it has one or more of the following characteristics: a density of 2.2 grams per cubic centimeter (g/cc) or greater (e.g., about 2.2 to about 3.0 g/cc, about 2.4 to about 3.0/cc and in some cases about 2.5 to about 2.8 g/cc), a low wet etch rate (as measured in dilute hydrofluoric acid (0.5wt% HF in Dl water) in accordance with the method described below in greater detail), and combinations thereof compared to other silicon carbide or silicon carbonitride films.
  • g/cc grams per cubic centimeter
  • a low wet etch rate as measured in dilute hydrofluoric acid (0.5wt% HF in Dl water) in accordance with the method described below in greater detail
  • the refractive index for the silicon nitride film should be 1 .9 or higher (e.g., about 1 .9 to about 2.4, about 2.0 to about 2.4 and in some cases about 2.0 to about 2.2) as measured by ellipsometer.
  • compositions for depositing a silicon- containing film comprising: (a) at least one silacycloalkane precursor selected from the group consisting of compounds represented by the structure of Formula IA and compounds represented by the structure of Formula IB:
  • R 1 is selected from the group consisting of hydrogen, a linear or branched d to Cio alkyl group, a cyclic C 3 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, and a linear or branched C 2 to do alkynyl group; and R 2 is selected from the group consisting of a linear or branched C 2 to C 6 alkenyl group, a linear or branched C 2 to C 6 alkynyl group, and a cyclic C 3 to C 10 alkyl group; and; (b) optionally, at least one solvent.
  • exemplary solvents include, without limitation, ethers, tertiary amines, alkyl hydrocarbons, aromatic hydrocarbons, tertiary aminoethers, and combinations thereof.
  • the difference between the boiling point of the silacycloalkane and the boiling point of the solvent is 40°C or less.
  • the wt% of silacycloalkane precursor compound in the solvent can vary from 1 to 99 wt%, or 10 to 90 wt%, or 20 to 80 wt %, or 30 to 70 wt %, or 40 to 60 wt %, to 50 to 50 wt%.
  • the composition can be delivered via direct liquid injection into a reactor chamber for silicon-containing film.
  • ALD atomic layer deposition
  • ALD-like method at a low temperature, or one or more deposition temperatures ranging from about 25°C to about 400°C, using a silacycloalkane precursor having Formula IA or IB described herein in a plasma process which comprises nitrogen and optionally a noble gas.
  • the silicon carbide or silicon carbonitride film further comprises oxygen such as a silicon oxynitride film.
  • the silicon carbide film comprises oxygen and nitrogen such as a silicon carboxynitride film with oxygen content ranging from 0.1 to 30 at.% and carbon content ranging from 0.1 to 40 at.% measured by XPS.
  • Exemplary silacycloalkane precursors having a structure represented by Formula IA or IB include, but are not limited to, the following precursors shown in Table 1 .
  • Table 1 Precursors havin Formula IA
  • silacycloalkane precursors having a structure represented by Formula IA or IB described herein exhibit a balance of reactivity and stability that makes them ideally suited as PEALD or PECCVD or PEFCVD precursors for semiconductor device manufacturing processes.
  • certain precursors may have boiling points (e.g., greater than about 200°C) that are too high to be vaporized and delivered to the reactor to be deposited as a film on a substrate.
  • Precursors having higher relative boiling points require that the delivery container and lines need to be heated at or above the boiling point of the precursor under a given vacuum to prevent condensation or particles from forming in the container, lines, or both.
  • the silacycloalkane precursors having a structure represented by Formula IA or IB possess at least two carbon-carbon double or triple bonds or at least two cyclic alkane groups are better and, therefore, it is believed enabling deposition of silicon carbide via crossing link or polymerization of the carbon-carbon double or triple bonds or the cyclic alkane groups, especially cyclopropyl under plasma conditions.
  • the silacycloalkane precursors having a structure represented by Formula IA or IB described herein comprise 2% or less by weight, or 1 % or less by weight, or 0.5% or less by weight of by-product (after being stored for a time period of 6 months or greater, or one year or greater) which is indicative of being shelf stable.
  • the silacycloalkane precursors having a structure represented by Formula IA or IB described herein comprise 100 ppm or less of halide impurity such as chloride, or 50 ppm or less of halide impurity, or 10 ppm or less of halide impurity.
  • the silacycloalkane precursor described herein may be able to deposit high density materials at one or more deposition temperatures, e.g., 400°C or less, 350°C or less, 300°C or less, or 250°C or less, 200°C or less, 150°C or less, 100°C or less, or 50°C or less.
  • alkyl hydrocarbon refers a linear or branched C 6 to C 20 hydrocarbon, cyclic C 6 to C 20 hydrocarbon.
  • exemplary hydrocarbons include, but are not limited to, hexane, heptane, octane, nonane, decane, dodecane, cyclooctane, cyclononane, cyclodecane, and mixtures thereof.
  • aromatic hydrocarbon refers a C 6 to C 20 aromatic hydrocarbon.
  • exemplary aromatic hydrocarbons include, but are not limited to, toluene and mesitylene.
  • cyclic alkyl denotes a cyclic functional group having from 3 to 10 or from 4 to 10 carbon atoms or from 5 to 10 carbon atoms.
  • exemplary cyclic alkyl groups include, but are not limited to, cyclopropyl, cyclobutyl, cyclopentyl, cyclohexyl, and cyclooctyl groups, preferably cyclopropyl due to high reactivity.
  • aryl denotes an aromatic cyclic functional group having from 5 to 12 carbon atoms or from 6 to 10 carbon atoms.
  • Exemplary aryl groups include, but are not limited to, phenyl, benzyl, chlorobenzyl, tolyl, and o-xylyl.
  • alkyl denotes a linear, or branched functional group having from 1 to 10 or 1 to 4 carbon atoms.
  • alkyl groups include, but are not limited to, methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, n-pentyl, iso-pentyl, tert-pentyl, hexyl, isohexyl, and neohexyl.
  • the alkyl group may have one or more functional groups such as, but not limited to, an alkoxy group, a dialkylamino group or combinations thereof, attached thereto. In other embodiments, the alkyl group does not have one or more functional groups attached thereto.
  • alkenyl group denotes a group which has one or more carbon-carbon double bonds and has from 2 to 10 or from 2 to 6 or from 2 to 4 carbon atoms.
  • alkynyl group denotes a group which has one or more carbon-carbon triple bonds and has from 2 to 10 or from 2 to 6 or from 2 to 4 carbon atoms.
  • An exemplary alkynyl group includesethynyl (acetylenylenyl).
  • organoamine as used herein describes organic compound has at least one nitrogen atom.
  • organoamine include, but are not limited to, methylamine, ethylamine, propylamine, iso-propylamine, tert- butylamine, sec-butylamine, tert-amylamine, ethylenediamine, dimethylamine, trimethylamine, diethylamine, and triethylamine.
  • one or more of the alkyl group, alkenyl group, alkynyl group, alkoxy group, dialkylamino group, aryl group, and/or electron withdrawing group in Formula IA or IB may be substituted or have one or more atoms or group of atoms substituted in place of, for example, a hydrogen atom.
  • substituents include, but are not limited to, oxygen, sulfur, halogen atoms (e.g., F, CI, I, or Br), nitrogen, and phosphorous.
  • An exemplary substituted substituent includes, but is not limited to, a linear or branched to C 6 fluorinated alkyl group.
  • At least one of R 1 through R 4 is a linear or branched Ci to C 6 fluorinated alkyl group.
  • one or more of the alkyl group, alkenyl group, alkynyl group, alkoxy group, dialkylamino aryl group, and/or electron withdrawing group in Formula IA or IB is unsubstituted.
  • Equations (1 ) - (2) R 1 and R 2 are the same as in the substituents described in Formula I and X is selected from the group consisting of chloride, bromide, and iodide.
  • the following Equations (1 ) - (3) provide a non-limiting examples of the reaction schemes or synthesis routes which may be used to make the silacycloalkane precursors having a structure represented by Formula IA or IB as described herein.
  • the reactions in Equations (1 ) to (3) can be conducted with (e.g., in the presence of) or without (e.g., in the absence of) organic solvents.
  • organic solvents include, but are not limited to, hydrocarbon such as hexanes, octane, toluene, and ethers such as diethylether and tetrahydrofuran (THF).
  • the reaction temperature is in the range of from about -70°C to the boiling point of the solvent employed if a solvent is used.
  • the resulting silacycloalkanes can be purified, for example, via vacuum distillation or sublimation after removing all by-products as well as any solvent(s) if present. Equations (1 ) to (2) are two examples of the synthetic routes to make the silacycloalkane precursors having a structure represented by Formula I A or IB. Other synthetic routes involving cyclization can also be employed.
  • the silacycloalkane precursors having a structure represented by Formula IA or IB are preferably substantially free of halide ions such as chloride or metal ions such as Al.
  • halide ions such as, for example, chlorides and fluorides, bromides, iodides, metal ions such as Li + , Mg 2+ , Al 3+ , Fe 2+ , Fe 3+ , Ni 2+ , Cr 3+ means less than 5 ppm (by weight) measured by ion chromatography (IC) or ICP-MS, preferably less than 3 ppm measured by ion chromatography (IC) or ICP-MS, and more preferably less than 1 ppm measured by ion chromatography (IC) or ICP-MS, and most preferably 0 ppm b measured by ion chromatography (IC).
  • Chlorides or metal ions are known to act as decomposition catalysts for the silacycloalkane precursors.
  • Significant levels of chloride in the final product can cause the silacycloalkane precursors to degrade.
  • the gradual degradation of the silacycloalkane precursors may directly impact the film deposition process making it difficult for the semiconductor manufacturer to meet film specifications.
  • the shelf-life or stability is negatively impacted by the higher degradation rate of the silacycloalkane precursors thereby making it difficult to guarantee a 1 -2 year shelf-life. Therefore, the accelerated decomposition of the silacycloalkane precursors presents safety and performance concerns related to the formation of these flammable and/or pyrophoric gaseous byproducts.
  • a stabilizer compound or polymerization inhibitor added to the distilled silacycloalkane precursors having a structure represented by Formula IA or IB is a stabilizer compound or polymerization inhibitor.
  • exemplary stabilizer compounds include 2,6-di-tert-butyl-4-methyl phenol (or BHT for butylhydroxytoluene), 2,2,6,6-tetramethyl-1 -piperidinyloxy (TEMPO), 2- tert- butyl-4-hydroxyanisole, 3-tert-butyl-4-hydroxyanisole, propyl ester 3,4, 5-trihydroxy- benzoic acid, 2-(1 ,1 -dimethylethyl)-1 ,4-benzenediol, diphenylpicrylhydrazyl, 4-tert- butylcatechol, terf-butylhydroquinone, 1 ,4-benzoquinone, 6-terf-butyl-2,4-xylenol, 2,6-di- terf-but
  • the function of the stabilizer compound or polymerization inhibitor is to prevent self-polymerization or oligomerization of silacycloalkane precursor.
  • the amount of stabilizer compound or polymerization inhibitor is in the range from about 0.01 to about 10000 ppm, preferably from about 0.01 to about 500 ppm, and most preferably from about 0.01 to about 100 ppm based on gas chromatography analysis (GC).
  • GC gas chromatography analysis
  • polymerization inhibitor should be volatile and not leave some residue in the line or injector during delivery from a container to CVD chamber via direct liquid injection.
  • the method used to form the silicon carbide or silicon carbonitride films or coatings are deposition processes.
  • suitable deposition processes for the method disclosed herein include, but are not limited to, plasma enhanced ALD (PEALD) or plasma enhanced cyclic CVD (PECCVD) or plasma enhanced flowable chemical vapor deposition process.
  • PEALD plasma enhanced ALD
  • PECCVD plasma enhanced cyclic CVD
  • plasma enhanced flowable chemical vapor deposition process plasma enhanced flowable chemical vapor deposition process.
  • chemical vapor deposition processes refers to any processes wherein a substrate is exposed to one or more volatile precursors, which are reacted and/or decomposed on the substrate surface to produce the desired deposition.
  • the term "atomic layer deposition process” refers to a self-limiting (e.g., the amount of film material deposited in each reaction cycle is constant), sequential surface chemistry that deposits films of materials onto substrates of varying compositions.
  • the precursors, reagents and sources used herein may be sometimes described as "gaseous", it is understood that the precursors can be either liquid or solid which are transported with or without an inert gas into the reactor via direct vaporization, bubbling or sublimation.
  • the vaporized precursors can pass through a plasma generator.
  • the silicon nitride film is deposited using an ALD process.
  • the silicon nitride film is deposited using a CCVD process.
  • the silicon nitride film is deposited using a thermal CVD process.
  • the ALD- like process is defined herein as a cyclic CVD process that provides a high conformal silicon nitride film such as, silicon nitride or silicon carbonitride on a substrate as shown by having at least one of the following: percentage of non-uniformity of about 10% or less (e.g., about 1 to about 10%, about 1 to about 5% and in some cases about 1 to about 3%), as measured by ellipsometer, a deposition rate of 1 A or greater per cycle (e.g., about 1 to about 4 A per cycle, about 1 to about 3 A per cycle and in some cases about 1 to about 2 A per cycle), or a combination thereof.
  • the method disclosed herein avoids pre-reaction of the precursors by using PEALD or PECCVD or PEFCVD methods that separate the precursors prior to and/or during the introduction to the reactor.
  • deposition techniques such as PEALD or PECCVD or PEFCVD processes are used to deposit the silicon nitride film.
  • the film is deposited via a PEALD process by exposing the substrate surface alternatively to the one or more the silicon nitride precursor, nitrogen-containing source, or other precursor or reagent. Film growth proceeds by self-limiting control of surface reaction, the pulse length of each precursor or reagent, and the deposition temperature. However, once the surface of the substrate is saturated, the film growth ceases.
  • the method described herein further comprises one or more additional silicon precursors other than the silacycloalkane precursor having a structure represented by Formula IA or IB.
  • additional silicon nitride precursors include, but are not limited to, monochlorosilane, dichlorosilane,
  • bis(tertbutylamino)silane bis(diethylamino)silane, tris(dimethylamino)silane, bis- diisopropylaminodisilane, trisilylamine, and bis(disilylamino)silane.
  • the at least one silacycloalkane precursors may be introduced into the reactor at a predetermined molar volume, or from about 0.1 to about 1000 micromoles. In this or other
  • the at least one silacycloalkane precursor may be introduced into the reactor for a predetermined time period. In certain embodiments, the time period ranges from about 0.001 to about 500 seconds. In yet another embodiment, the at least one silacycloalkane may be introduced at a fixed flow rate, in the range of 100 mg/minute to 5 g/minute.
  • the silicon nitride films comprise silicon and nitrogen. In these embodiments, the silicon nitride films deposited using the methods described herein are formed in the presence of nitrogen-containing source. A nitrogen-containing source may be introduced into the reactor in the form of at least one nitrogen-containing source and/or may be present incidentally in the other precursors used in the deposition process.
  • Suitable nitrogen-containing source gases may include, for example, nitrogen/argon plasma.
  • the nitrogen-containing source comprises nitrogen/argon plasma source gas that is introduced into the reactor at a flow rate ranging from about 1 to about 2000 standard cubic centimeters (seem) or from about 1 to about 1000 seem.
  • the nitrogen-containing source can be introduced for a time that ranges from about 0.1 to about 100 seconds.
  • the precursor pulse can have a pulse duration that is greater than 0.01 seconds
  • the nitrogen-containing source can have a pulse duration that is less than 0.01 seconds
  • the water pulse duration can have a pulse duration that is less than 0.01 seconds.
  • the purge duration between the pulses that can be as low as 0 seconds or is continuously pulsed without a purge in-between.
  • a nitrogen-containing plasma comprising a nitrogen containing gas such as, without limitation, nitrogen and optionally a noble gas can be generated in situ or remotely, preferably a noble gas with an atomic mass greater than nitrogen's atomic mass (i.e., 28 amu). The presence of a noble gas with atomic mass greater than nitrogen's atomic mass is believed to create more atomic nitrogen radicals.
  • the nitrogen plasma source gas is introduced into the reactor at a flow rate ranging from about 1 to about 2000 square cubic centimeters (seem) or from about 1 to about 1000 seem or more.
  • the nitrogen containing plasma can be introduced for a time that ranges from about 0.01 to about 100 seconds or more.
  • the precursor pulse can have a pulse duration that is greater than 0.01 seconds
  • the nitrogen-containing plasma can have a pulse duration that is less than 0.01 seconds
  • the water pulse duration can have a pulse duration that is less than 0.01 seconds.
  • the purge duration between the precursor pulse and nitrogen plasmas that can be as low as 0 seconds.
  • a hydrogen plasma can be generated in situ or remotely using pure hydrogen (H 2 )) mixed with a noble gas when a hydrogen plasma can be employed.
  • the weight percentage of the noble gas in the plasma containing both nitrogen and noble gas can vary from 1 wt% to 99 wt% whereas the weight percentage of the noble gas in the plasma containing both hydrogen and noble gas can also vary from 1 wt% to 99 wt%.
  • an ammonia plasma can be generated in situ or remotely using pure ammonia (NH 3 )) mixed with a noble gas when a ammonia plasma can be employed.
  • the weight percentage of the noble gas in the plasma containing both ammonia and noble gas can also vary from 1 wt% to 99 wt%.
  • the deposition methods described herein may involve one or more purge gases.
  • the purge gas which is used to purge away unconsumed reactants and/or reaction byproducts, is an inert gas that does not react with the precursors.
  • Exemplary purge gases include, but are not limited to, argon (Ar), nitrogen (N 2 ), helium (He), neon (Ne), hydrogen (H 2 ), and mixtures thereof.
  • the inert gas that is used as a purge gas comprises a noble gas.
  • the term "noble gas” as used herein means those gases found in Group 18 of the Periodic Table and include, helium (He), neon (Ne), argon (Ar), Xenon (Xe), krypton (Kr), and mixtures thereof.
  • the noble gas used as a purge gas comprises argon.
  • the purge gas comprising Ar is supplied into the reactor at a flow rate ranging from about 10 to about 2000 seem for about 0.1 to 1000 seconds, thereby purging the unreacted precursor material and any byproduct that may remain in the reactor.
  • the respective step of supplying the precursors, the nitrogen-containing source, and/or other precursors, source gases, and/or reagents may be performed by changing the time for supplying them to change the stoichiometric composition of the resulting silicon nitride film.
  • Energy is applied to the at least one of the precursor, nitrogen-containing source, reducing agent, other precursors or combination thereof to induce reaction and to form the silicon nitride film or coating on the substrate.
  • energy can be provided by, but not limited to, thermal, plasma, pulsed plasma, helicon plasma, high density plasma, inductively coupled plasma, X-ray, e-beam, photon, remote plasma methods (including remote microwave plasma), and combinations thereof.
  • a secondary RF frequency source can be used to modify the plasma characteristics at the substrate surface.
  • the plasma-generated process may comprise a direct plasma-generated process in which plasma is directly generated in the reactor, or alternatively a remote plasma-generated process in which plasma is generated outside of the reactor and supplied into the reactor.
  • the silacycloalkane precursors may be delivered to the reaction chamber such as a PEALD or PECCVD or PEFCVD reactor either single wafer or batch in a variety of ways such as bubbling, vapor draw, or direct liquid injection (DLI).
  • a liquid delivery system may be utilized.
  • a combined liquid delivery and flash vaporization process unit may be employed, such as, for example, the turbo vaporizer manufactured by MSP Corporation of Shoreview, MN, to enable low volatility materials to be volumetrically delivered, which leads to reproducible transport and deposition without thermal decomposition of the precursor.
  • the precursors described herein may be delivered in neat liquid form, or alternatively, may be employed in solvent formulations or compositions comprising same.
  • the precursor formulations may include solvent component(s) of suitable character as may be desirable and advantageous in a given end use application to form a film on a substrate.
  • exemplary solvents can include, without limitation, ether, tertiary amine, alkyl hydrocarbon, aromatic hydrocarbon, tertiary aminoether, and combinations thereof.
  • the difference between the boiling point of the silacycloalkane precursor having Formula IA or IB and the boiling point of the solvent is 40°C or less.
  • the wt % of the silacycloalkane precursor compound in the solvent can vary from 1 to 99 wt%, or 10 to 90 wt%, or 20 to 80 wt%, or 30 to 70 wt%, or 40 to 60 wt%, or 50 to 50 wt%.
  • the composition can be delivered via direct liquid injection into a reactor chamber for silicon-containing film.
  • the gas lines connecting from the precursor canisters to the reaction chamber are heated to one or more temperatures depending upon the process requirements and the container of the silacycloalkane precursor having the structure represented by Formula IA or IB described herein is kept at one or more temperatures for bubbling.
  • a solution comprising the at least one silicon nitride precursor having the formula described herein is injected into a vaporizer kept at one or more temperatures for direct liquid injection.
  • a flow of argon, noble, and/or other inert gas may be employed as a carrier gas to help deliver the vapor of the at least one silacycloalkane precursor to the reaction chamber during the precursor pulsing.
  • the reaction chamber process pressure is about 2 Torr or less. In other embodiments, the reaction chamber process pressure is about 10 Torr or less.
  • a substrate such as, without limitation, a silicon oxide, polysilicon, silicon doped with germanium, silicon doped with boron, germanium, carbon doped silicon oxide, flexible substrate, or metal nitride substrate is heated on a heater stage in a reaction chamber that is exposed to the silicon nitride precursor initially to allow the silacycloalkane to chemically adsorb onto the surface of the substrate.
  • a purge gas such as nitrogen, argon, or other inert gas purges away unabsorbed excess silacycloalkane from the process chamber.
  • an nitrogen-containing source may be introduced into reaction chamber to react with the absorbed surface followed by another gas purge to remove reaction by-products from the chamber.
  • the process cycle can be repeated to achieve the desired film thickness.
  • pumping under vacuum can be used to remove unabsorbed excess silacycloalkane from the process chamber
  • a plasma source may be introduced into reaction chamber to react with the absorbed surface followed by another pumping down purge to remove reaction by-products from the chamber.
  • a method of forming a dielectric film comprising silicon and carbon comprising the steps of:
  • R 1 is selected from the group consisting of hydrogen, a linear or branched d to Cio alkyl group, a cyclic C 3 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, and a linear or branched C 2 to do alkynyl group; and R 2 is selected from the group consisting of a linear or branched C 2 to C 6 alkenyl group, a linear or branched C 2 to C 6 alkynyl group, and a cyclic C 3 to d 0 alkyl group, wherein the at least one silacycloalkane precursor reacts on at least a portion of the surface of the substrate to provide a chemisorbed layer;
  • a flow of argon, noble, and/or other inert gas may be employed as a carrier gas to help deliver the vapor of the at least one silacycloalkane precursor to the reaction chamber during the precursor pulsing.
  • the reaction chamber process pressure is about 10 Torr or less. In other embodiments, the reaction chamber process pressure is about 2 Torr or less.
  • the plasma comprises hydrogen which is selected from the group consisting of hydrogen plasma, hydrogen/helium, hydrogen/argon plasma, hydrogen/neon plasma and mixtures thereof.
  • plasma comprises inert gas which is selected from the group consisting of helium plasma, argon plasma, neon plasma and mixtures thereof.
  • the invention relates to a method of forming a dielectric film comprising silicon, nitrogen, and carbon onto at least a surface of a substrate, the method comprising the steps of:
  • R 1 is selected from the group consisting of hydrogen, a linear or branched d to do alkyl group, a cyclicC 3 to Ci 0 alkyl group, a linear or branched C 2 to do alkenyl group, and a linear or branched C 2 to d 0 alkynyl group; and R 2 is selected from the group consisting of a linear or branched C 2 to C 6 alkenyl group, a linear or branched C 2 to C 6 alkynyl group, and a cyclic C 3 to C 10 alkyl group, wherein the at least one silacycloalkane precursor reacts on at least a portion of the surface of the substrate to provide a chemisorbed layer;
  • a purge gas comprising at least one selected from nitrogen, a noble gas, and combinations thereof;
  • the plasma comprising nitrogen source may be introduced into the reactor in the form of at least one nitrogen source and/or may be present incidentally in the other precursors used in the deposition process.
  • Suitable nitrogen-containing source gases may include, for example, ammonia, hydrazine, monoalkylhydrazine,
  • dialkylhydrazine nitrogen plasma, nitrogen/hydrogen, nitrogen/helium, nitrogen/argon plasma, ammonia plasma, nitrogen/ammonia plasma, ammonia/helium plasma, ammonia/argon plasma, ammonia/nitrogen plasma, NF 3 plasma, organoamine plasma, and mixtures thereof.
  • the plasma is selected from the group consisting of hydrogen plasma, helium plasma, neon plasma, argon plasma, xenon plasma, hydrogen/helium plasma, hydrogen/argon plasma and mixtures thereof.
  • the steps of the methods described herein may be performed in a variety of orders, may be performed sequentially or concurrently (e.g., during at least a portion of another step), and any combination thereof.
  • the respective step of supplying the precursors and oxygen-containing source may be performed by varying the duration of the time for supplying them to change the stoichiometric composition of the resulting silicon nitride film, although always using nitrogen in less than a stoichiometric amount relative to the available silicon.
  • the present invention relates to a method of forming a dielectric film comprising silicon, carbon, nitrogen and hydrogen onto a surface of substrate or into a feature etched into the substrate surface, the method comprising the steps of:
  • R 1 is selected from the group consisting of hydrogen, a linear or branched Ci to do alkyl group, a cyclicC 3 to do alkyl group, a linear or branched d to do alkenyl group, and a linear or branched d to C 10 alkynyl group; and R 2 is selected from the group consisting of a linear or branched d to C 6 alkenyl group, a linear or branched C 2 to d alkynyl group, and a cyclic to do alkyl group, wherein the at least one silacycloalkane precursor reacts with free radicals generated from a remote plasma source.
  • the silacycloalkane precursor is delivered to the process chamber through vapor phase withdraw from the liquid or delivered as a liquid to heated injector that vaporizes the liquid, which is swept away by a carrier gas into a dual plenum shower head that isolates the silacycloalkane precursor from the free radicals prior to entering the process space above the substrate.
  • the RPS is used to dissociate reactants such as ammonia (NH 3 ) and these reactive radicals are delivered to the process chamber through separate holes in the showerhead allowing them to react with the silacycloalkane precursor to generate reactive species which may be oligomers that upon striking the cooled substrate condense and flow into the etched features. In certain embodiemnts this process may be repeated to improve the flowability into the features.
  • the wafer is subsequently heated to a temperature of from about 200 °C to about 400 °C, preferably 300 °C to evaporate low molecular weight reactive species. Subsequent exposure to higher energy sources such as UV are used to density and crosslink the film. PEFCVD films tend to have densities in the range of 1 .2 - 2.2 g/cm 3 .
  • steps b to e are repeated to provide a dielectric film comprising silicon and carbon or a dielectric film comprising silicon, nitrogen, and carbon with a thickness ranging from about 0.1 to about 500 A, or from about 0.1 to about 5 A, or from about 0.1 to about 10 A, or about 0.1 to about 50 A, or 0.1 to 100 A.
  • a plasma comprising hydrogen can be inserted before step d to help removing hydrocarbon generated from the reaction between the silacycloalkane and the surface.
  • the plasma comprising hydrogen is selected from the group consisting of hydrogen plasma, hydrogen/helium, hydrogen/argon plasma, hydrogen/neon plasma and mixtures thereof.
  • a method for depositing a dielectric film comprising silicon and carbon via plasma enhanced flowable chemical vapor deposition comprising:
  • a substrate comprising a surface feature into a reactor which is at one or more temperatures ranging from -20°C to about 200°C; introducing into the reactor at least one silacycloalkane precursor selected from the group consisting of compounds represented by the structure of Formula IA and compounds represented by the structure of Formula IB:
  • R 1 is selected from the group consisting of hydrogen, a linear or branched Ci to Cio alkyl group, cyclic C 3 to C 10 alkyl group, a linear or branched C 2 to C 10 alkenyl group, and a linear or branched C 2 to C 10 alkynyl group; and R 2 is selected from the group consisting of a linear or branched C 2 to C 6 alkenyl group, a linear or branched C 2 to C 6 alkynyl group, and a cyclic C 3 to do alkyl group; and
  • the flowable liquid comprises at least one oligomer.
  • the above steps define one cycle for the methods described herein; and the cycle can be repeated until the desired thickness of a silicon-containing film is obtained.
  • the substrate temperatures is from about -20°C to about 200°C, and preferably, from about -20°C to about 100°C or less to keep the resulting polymeric species having small molecular weight than those formed at higher temperatures, thus more flowable to allow smaller features being filled.
  • the plasma source can be generated in situ or remotely. When a remote plasma source is employed, the plasma has to deliver into the process chamber through holes in the showerhead that are independent of the delivery of vapors of the silacycloalkane precursor.
  • one plasma source either in situ or remote is employed, In other of this embodiment, both in situ and remote plasma can be employed.
  • steps of the methods described herein may be performed in a variety of orders, may be performed sequentially or concurrently (e.g., during at least a portion of another step), and any combination thereof.
  • the respective step of supplying the compounds and other reagents may be performed by varying the duration of the time for supplying them to change the stoichiometric composition of the resulting silicon-containing film.
  • a method for depositing a dielectric film comprising silicon and carbon or a dielectric film comprising silicon, nitrogen, and carbon via plasma enhanced flowable chemical vapor deposition comprising:
  • silacycloalkane precursor selected from the group consisting of compounds represented by the structure of Formula IA and compounds represented by the structure of Formula IB:
  • R 1 is selected from the group consisting of hydrogen, a linear or branched d to do alkyl group, a cyclic C 3 to do alkyl group, a linear or branched d to do alkenyl group, and a linear or branched d to C 10 alkynyl group; and R 2 is selected from the group consisting of a linear or branched d to C 6 alkenyl group, a linear or branched C 2 to d alkynyl group, and a cyclic to do alkyl group;
  • MB. a compound having the formula R 1 m SiH 4 . m wherein R 1 is selected from hydrogen and a linear or branched to C 10 alkyl group; and m is a number selected from 1 , 2 and 3;
  • IIC a compound having the formula SiH 3 -R 2 -SiH 3 wherein R 2 is a linear or branched Ci to C 6 alkylene group;
  • IID a compound having the formula R 3 SiH 2 -R 2 -SiH 2 R 4 wherein R 2 is a linear or branched Ci to C 6 alkylene group; R 3 is selected from hydrogen, a linear or branched Ci to do alkyl group, and a C 4 to Ci 0 aryl group; and R 4 is selected from a linear or branched to C 10 alkyl group;
  • R 3 R 4 N a compound having the formula (R 3 R 4 N) n SiH 3 - n R 1 wherein R 1 is selected from hydrogen and a linear or branched to C 10 alkyl group; R 3 is selected from hydrogen, a linear or branched to C 10 alkyl group, and a C 4 to C 10 aryl group; and R 4 is selected from a linear or branched Ci to Cio alkyl group;
  • a silacycloalkyl compound such as 1 ,3-disilacyclobutane or its derivatives or 1 ,3,5-trisilacyclohexane and its derivatives;
  • IIG a trisilylamine compound or derivatives thereof.
  • R 1 is selected from hydrogen and a linear or branched to C 10 alkyl group
  • R 3 is selected from hydrogen, a branched Ci to Cio alkyl group, and a C 4 to C 10 aryl group
  • R 4 is selected from a linear or branched to C 10 alkyl group
  • organoaminodisilanes having the formula of (R 3 R 4 N)SiH 2 SiH 3 wherein R 3 is selected from hydrogen, a branched to C 10 alkyl group, and a C 4 to C 10 aryl group; and R 4 is selected from a linear or branched to C 10 alkyl group; and
  • the above steps define one cycle for the methods described herein; and the cycle can be repeated until the desired thickness of a silicon-containing film is obtained.
  • the substrate temperatures is about 100°C or less to keep the resulting polymeric polysilazane species having small molecular weight than those formed at higher temperatures, thus more flowable to allow smaller features being filled.
  • the steps of the methods described herein may be performed in a variety of orders, may be performed sequentially or concurrently (e.g., during at least a portion of another step), and any combination thereof.
  • the respective step of supplying the compounds and other reagents may be performed by varying the duration of the time for supplying them to change the stoichiometric composition of the resulting silicon-containing film.
  • the substrate is optionally treated with an oxygen-containing source under certain process conditions sufficient to make the silicon nitride or silicon carbide film form a silicon oxide, a silicon oxynitride, or carbon doped silicon oxide film.
  • the oxygen-containing source can be selected from the group consisting of water (H 2 0), oxygen (0 2 ), hydrogen peroxide (H 2 0 2 ), oxygen plasma, ozone (0 3 ), NO, N 2 0, carbon monoxide (CO), carbon dioxide (C0 2 ), N 2 0 plasma, carbon monoxide (CO) plasma, carbon dioxide (C0 2 ) plasma, and combinations thereof.
  • the flowable liquid or oligomer is treated at one or more temperatures ranging from about 100 °C to about 1000 °C to density at least a portion of the materials.
  • the post thermal treatment materials are exposed to a plasma, infrared lights, chemical treatment, an electron beam, or UV light to form a dense film.
  • a post treatment comprising exposure to UV light exposure is conducted under conditions to emit hydrocarbon, or silane gaseous by-products to density the dielectric film.
  • the resultant silicon carbide or silicon carbonitride containing films or coatings can be exposed to a post-deposition treatment such as, but not limited to, a plasma treatment, chemical treatment, ultraviolet light exposure, vacuum UV exposure, eximer laser exposure, electron beam exposure, and/or other treatments to affect one or more properties of the film.
  • a plasma comprising hydrogen can be employed as post-deposition treatment to the as-deposited silicon nitride film to increase the density as well as decrease the etch rate.
  • the plasma comprising hydrogen is selected from the group consisting of hydrogen plasma, hydrogen/helium, hydrogen/argon plasma,
  • the silicon carbide or silicon carbonitride containing films described herein have a dielectric constant of 6 or less.
  • the films can a dielectric constant of about 5 or below, or about 4 or below, or about 3.5 or below as measured by mercury probe technique.
  • films having other dielectric constants e.g., higher or lower can be formed depending upon the desired end-use of the film.
  • XPS x-ray photoelectron spectroscopy
  • SIMS secondary ion mass spectrometry
  • the silicon nitride film comprises from about 5% to about 50% carbon atomic weight percent, as determined for example, by XPS or other means.
  • the silicon nitride film further comprises wherein Si ranges from about 10% to about 40%; O ranges from about 0% to about 5%; N ranges from about 10% to about 75% or from about 10% to 50%; and H ranges from about 0% to about 10% atomic percent weight % wherein the total weight percent of the film adds up to 100 atomic weight percent.
  • dielectric film refers to a film selected from the group consisting of stoichiometric or non-stoichiometric silicon carbide, silicon carbonitride, silicon carboxynitride, and there mixture thereof.
  • the method described herein may be used to deposit a silicon nitride film on at least a portion of a substrate.
  • suitable substrates include but are not limited to, silicon, silicon/germanium, germanium, lll/V materials, Si0 2 , Si 3 N 4 , OSG, FSG, silicon carbide, hydrogenated silicon carbide, silicon nitride, hydrogenated silicon nitride, silicon carbonitride, hydrogenated silicon carbonitride, boronitride, anti reflective coatings, photoresists, a flexible substrate such as IGZO, organic polymers, porous organic and inorganic materials, metals such as copper and aluminum, and diffusion barrier layers such as but not limited to TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, or WN.
  • the films are compatible with a variety of subsequent processing steps such as, for example, chemical mechanical planarization (CMP) and anisotropic etching processes.
  • CMP chemical mechanical planarization
  • the deposited films have applications, which include, but are not limited to, computer chips, optical devices, magnetic information storages, coatings on a supporting material or substrate, microelectromechanical systems (MEMS), nanoelectromechanical systems, thin film transistor (TFT), light emitting diodes (LED), organic light emitting diodes (OLED), IGZO, and liquid crystal displays (LCD).
  • MEMS microelectromechanical systems
  • TFT thin film transistor
  • LED light emitting diodes
  • OLED organic light emitting diodes
  • IGZO liquid crystal displays
  • FTIR Fourier Transform Infrared
  • XPS X-Ray Photoelectron Spectroscopy
  • Example 1 Synthesis of Synthesis of 1 ,1 ,3,3-tetravinyl-1 ,3-disilacyclobutane
  • Example 3 PEALD silicon carbide film using 1 ,3-divinyl-1 ,3-dimethyl-silacyclobutane and hydrogen plasma (prophetic)
  • the silicon wafer is loaded into the commercial reactor equipped with showerhead design with 13.56 MHz direct plasma and heated to 300°C with chamber pressure of 2 torr. 1 ,3-divinyl-1 ,3-dimethyl-silacyclobutane as silacycloalkane precursor is delivered using vapor draw at temperature of 72 °C into the reactor.
  • the ALD cycle is comprised of the process steps provided in Table 1 and uses the following process parameters:
  • Hydrogen plasma pulse 10 second with plasma power of 125W
  • Steps a to d were repeated for 500 cycles to provide a silicon carbide film.
  • Example 4 PEFCVD of silicon carbide films using 1 ,1 ,3,3-tetravinyl-1 ,3- disilacyclobutane
  • the silicon wafer was loaded into the commercial reactor equipped with a dual plenum showerhead design and a microwave based remote plasma source.
  • 1 ,1 ,3,3- tetravinyl-1 ,3-disilacyclobutane as a silacycloalkane precursor is delivered through a liquid flow meter and heated injector to deliver vapor into the process chamber through holes in the showerhead that are independent of the delivery of free radical generated by the remote plasma source from the dissociation of ammonia (NH 3 ).
  • Process conditions used to deposit flowable films are:
  • the films are cured by transferring the wafer, without vacuum break, to an annealing chamber where it was annealed at 300° C for 5 minutes, then transferred to another chamber where it was cured with UV exposure at susceptor temperature of 400° C for 10 minutes.
  • the resulting film can be seen in Figure 1 , where the flowable silicon carbide was shown to fully fill the feature from the bottom up with a planar overburden above the feature.
  • the films shown in Figure 1 had the following
  • composition as determined by X-Ray Photoelectron Spectroscopy (XPS): carbon 74.0 at.%, nitrogen ⁇ 1 .0 at.%, oxygen 5.0 at.%, and silicon 20.0 at.%. It is unexpected that ammonia was used as a remote plasma source but the resulting film had less than 1 .0 at. % of nitrogen.
  • XPS X-Ray Photoelectron Spectroscopy
  • the precursor had a carbon to silicon ratio of 5:1 .
  • the deposited and cured film had a carbon to silicon ration of ⁇ 4:1 .
  • the precursor combined with process conditions deployed allowed for reduction in the total carbon content of the film relative to precursor.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Described herein are compositions and methods of forming a dielectric film comprising silicon and carbon onto at least a surface of a substrate, the method comprising introducing into a reactor at least one silacycloalkane precursor selected from the group consisting of compounds represented by the structure of Formula IA and compounds represented by the structure of Formula IB: as defined herein.

Description

TITLE OF THE INVENTION:
COMPOSITIONS AND METHODS FOR DEPOSITING SILICON-CONTAINING FILMS
CROSS-REFERENCE TO RELATED APPLICATIONS
[0001] This application claims priority under 35 U.S.C. § 1 19(e) to U.S. provisional patent application No. 62/558,621 , filed on September 14, 2017, the entirety of which is incorporated herein by reference.
FIELD OF THE INVENTION
[0002] Described herein are methods and compositions for depositing conformal, stoichiometric or non-stoichiometric, silicon carbide or silicon carbonitride films using a silicon precursor comprising at least one silacycloalkane. More specifically, described herein are deposition processes, such as without limitation, plasma enhanced atomic layer deposition ("PEALD"), plasma enhanced cyclic chemical vapor deposition
("PECCVD"), and plasma enhanced flowable vapor deposition ("PEFCVD") using silacycloalkane precursors, and compositions comprising same, that are used to deposit dielectric films.
BACKGROUND OF THE INVENTION
[0003] Low pressure chemical vapor deposition (LPCVD) processes are one of the more widely accepted methods used by semiconductor industry for the deposition of silicon nitride films. Low pressure chemical vapor deposition (LPCVD) using ammonia may require deposition temperatures of greater than 650°C to obtain reasonable growth rates and uniformities. Higher deposition temperatures are typically employed to provide improved film properties. One of the more common industry methods to grow silicon nitride is through low pressure chemical vapor deposition in a hot wall reactor at temperatures greater than 750°C using the silane, dichlorosilane, and/or ammonia as precursors. However, there are several drawbacks using this method. For example, certain precursors, such as silane, are pyrophoric. This may present problems in handling and usage. Also, films deposited from dichlorosilane may contain certain impurities, such as chlorine and ammonium chloride, which are formed as byproducts during the deposition process.
[0004] US patent No. 9,455,138 discloses a method for forming a dielectric film in a trench on a substrate by plasma-enhanced atomic layer deposition (PEALD) performs >1 process cycles, each process cycle including (i) feeding a silicon-containing precursor in a pulse, (ii) supplying a hydrogen-containing reactant gas at a flow rate 30-800 seem in the absence of nitrogen-containing gas, (iii) supplying a noble gas to the reaction space, and (iv) applying RF power in the presence of the reactant gas and the noble gas and in the absence of any precursor in the reaction space, to form a monolayer constituting a dielectric film on a substrate at a growth rate of less than one at. layer thickness per cycle.
[0005] WO2012/039833A discloses methods for formation of silicon carbide on substrate are provided. Atomic layer deposition methods of forming silicon carbide are described in which a first reactant gas of the formula SinHaXb wherein n=1 -5, a+b=2n + 2, and X=F, CI, Br, I; and a second reactant gas of the formula MR<sub>3- b</sub>Y<sub>b</sub>, wherein R is a hydrocarbon containing substituent, Y is a halide, hydride or other ligand and b=1 -3 are sequentially deposited on a substrate and then exposed to a plasma. The process can be repeated multiple times to deposit a plurality of silicon carbide layers.
[0006] US Patent No. 9,234,276 discloses methods and systems for providing SiC films. A layer of SiC can be provided under process conditions that employ one or more Si-containing precursors that have >1 Si-H bonds and/or Si-Si bonds. The Si- containing precursors may also have >1 Si-0 bonds and/or Si-C bonds. One or more radical species in a substantially low energy state can react with the Si-containing precursors to form the SiC film. The >1 radical species can be formed in a remote plasma source.
[0007] US Patent No. 8,846,536 discloses a method to deposit and modify the flowable dielectric film. By one or more integration processes, the wet etch rate of the flowable dielectric film can be changed by a factor of at least 10.
[0008] Auner, N. and Grobe, J. (1980). "Silaethenes. II. Preparation and
characterization of 1 ,3-disilacyclobutanes." J. Organomet. Chem. 188(2): 151 -177.
Discloses 3-Disilacyclobutanes I (R, R1 = CI, Me, Me2N, CH2:CH, Ph) are prepared (a) by ring synthesis from chloromethylchlorosilanes RR1Si(CH2CI)CI, (b) by thermolysis of monosilacyclobutanes II, and (c) by substitution of chlorine with alkyl groups in SiCI- containing 1 ,3-disilacyclobutanes, obtained by procedures (a) or (b). The synthetic methods are compared.
[0009] US Publ. No. 2013/0217241 discloses the deposition and treatment of Si-C-N containing flowable layers. Si and C may come from a Si-C-containing precursor, while N may come from an N-containing precursor. The initial Si-C-N containing flowable layer is treated to remove components that enables the flowability. Removal of these components can increase etch tolerance, reduce shrinkage, adjust film tension and electrical properties. The post treatment can be thermal annealing, UV exposure or high density plasma.
[0010] US Patent No. 8,889,566 discloses a method to deposit flowable film by exciting the silicon precursor with a local plasma and depositing with a second plasma. The silicon precursor can be silylamine, higher order silane or halogenated silane. The second reactant gas can be NH3, N2, H2, and/or 02.
[0011] US Patent No. 7,825,040 discloses a method of filling a gap by introducing an alkoxysilane or aminosilane precursor, and depositing a flowable Si-containing film by plasma reaction. The precursor doesn't contain a Si-C bond or a C-C bond.
[0012] US Patent Nos. 8,889,566, 7,521 ,378, and 8,575,040 describe an approach to depositing a silicon oxide film using flowable chemical vapor deposition process as gas phase polymerization. Compounds such as trisilylamine (TSA) was used to deposit Si, H, and N containing oligomers that were subsequently oxidized to SiOx films using ozone exposure.
[0013] US Patent No. 8,846,536 discloses a method to deposit and modify the flowable dielectric film. By one or more integration processes, the wet etch rate of the flowable dielectric film can be changed by a factor of at least 10.
[0014] Accordingly, there is a need in the art to provide a low temperature (e.g., processing temperature of 400°C or below) method for depositing a conformal, high quality, silicon carbide or silicon carbonitride film wherein the film has one or more of the following characteristics: a density of 2.2 grams per cubic centimeter (g/cc) or greater, a low wet etch rate (as measured in dilute hydrofluoric acid (HF)), and combinations thereof compared to other silicon nitride films using other deposition methods or precursors. [0015] The disclosure of the previously described patents, patent applications and publications is hereby incorporated by reference.
BRIEF SUMMARY OF THE INVENTION
[0016] Described herein are methods for forming a dielectric film comprising stoichiometric or non-stoichiometric silicon carbide, silicon carbonitride films, silicon carboxide, silicon oxycarbonitride onto at least a portion of a substrate.
[0017] In one aspect, the silicon precursors described herein comprise at least one silacycloalkane precursor selected from the group consisting of compounds represented by the structure of Formula IA and compounds represented by the structure of Formula
IB:
Figure imgf000006_0001
IB
wherein R1 is selected from the group consisting of hydrogen, a linear or branched Ci to Cio alkyl group, cyclic C3 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, and a linear or branched C2 to C10 alkynyl group; R2 is selected from the group consisting of a linear or branched C2 to C6 alkenyl group, a linear or branched C2 to C6 alkynyl group, and cyclic C3 to do alkyl group.
[0018] In another aspect, there is provided a composition comprising: (a) at least one silacycloalkane precursor selected from the group consisting of compounds represented by the structure of Formula IA and compounds represented by the structure of Formula
IB:
Figure imgf000007_0001
IB
wherein R1 is selected from the group consisting of hydrogen, a linear or branched d to do alkyl group, a cyclic C3 to Ci0 alkyl group, a linear or branched C2 to do alkenyl group, and a linear or branched C2 to C10 alkynyl group; and R2 is selected from the group consisting of a linear or branched C2 to C6 alkenyl group, a linear or branched C2 to C6 alkynyl group, and a cyclic C3 to C10 alkyl group; and (b) a solvent. In certain embodiments of Formula I, R1 and R2 are each hydrogen. In other embodiments, R1 is hydrogen. In certain embodiments of the composition described herein, the solvent is at least one selected from the group consisting of ether, tertiary amine, alkyl hydrocarbon, aromatic hydrocarbon, tertiary aminoether, and combinations thereof. In certain embodiments, the difference between the boiling point of the silacycloalkane and the boiling point of the solvent is 40°C or less.
[0019] In one aspect, there is provided a method of forming a silicon carbide film, the method comprising the steps of:
a. providing a substrate in a reactor;
b. introducing into the reactor at least one silacycloalkane precursor selected from the group consisting of compounds represented by the structure of Formula IA and compounds represented by the structure of Formula IB:
Figure imgf000008_0001
IB
wherein R1 is selected from the group consisting of hydrogen, a linear or branched d to do alkyl group, a cyclic C3 to Ci0 alkyl group, a linear or branched C2 to do alkenyl group, and a linear or branched C2 to C10 alkynyl group; and R2 is selected from the group consisting of a linear or branched C2 to C6 alkenyl group, a linear or branched C2 to C6 alkynyl group, and a cyclic C3 to C10 alkyl group, wherein the at least one silacycloalkane precursor reacts on at least a portion of the surface of the substrate to provide a chemisorbed layer;
c. purging the reactor with a purge gas;
d. introducing a plasma containing source into the reactor to react with at least a portion of the chemisorbed layer and provide at least one reactive site wherein the plasma is generated at a power density ranging from about 0.01 to about 1 .5 W/cm2 and;
e. optionally purging the reactor with an inert gas; and wherein the steps b through e are repeated until a desired thickness of the silicon carbide film is obtained.
[0020] In another aspect, there is provided a method of forming a dielectric film comprising silicon and carbide using a deposition process selected from a plasma enhanced atomic layer deposition process or plasma enhanced ALD-like process or plasma enhanced flowable chemical vapor deposition, the method comprising the steps of:
a. providing a substrate in a reactor;
b. introducing into the reactor at least one silacycloalkane precursor
selected from the group consisting of 1 ,3-divinyl-1 ,3-disilacyclobutane, 1 ,3-divinyl-1 ,3-dimethyl-1 ,3-disilacyclobutane, 1 ,1 ,3,3-tetravinyl-1 ,3- disilacyclobutane, 1 ,3,5-trivinyl-1 ,3,5-trisilacyclohexane, 1 ,3,5-trivinyl- 1 ,3,5-trimethyl-1 ,3,5-trisilacyclohexane, 1 ,1 ,3,3,5,5-hexavinyl-1 ,3,5- trisilacyclohexane, 1 ,3-diallyl-1 ,3-disilacyclobutane, 1 ,3-diallyl-1 ,3- dimethyl-1 ,3-disilacyclobutane, 1 ,1 ,3,3-tetraallyl-1 ,3-disilacyclobutane, 1 ,3,5-triallyl-1 ,3,5-trisilacyclohexane, 1 ,3,5-triallyl-1 ,3,5-trimethyl-1 ,3,5- trisilacyclohexane, 1 ,1 ,3,3,5,5-hexaallyl-1 ,3,5-trisilacyclohexane, 1 ,3- diacetylenyl-1 ,3-disilacyclobutane, 1 ,3-diacetylenyl-1 ,3-dimethyl-1 ,3- disilacyclobutane, 1 ,1 ,3,3-tetraacetylenyl-1 ,3-disilacyclobutane, 1 ,3,5- triacetylenyl-1 ,3,5-trisilacyclohexane, 1 ,3,5-triacetylenyl-1 ,3,5-trimethyl- 1 ,3,5-trisilacyclohexane, 1 ,1 ,3,3,5,5-hexaacetylenyl-1 ,3,5- trisilacyclohexane 1 ,3-dicyclopropyl-1 ,3-disilacyclobutane, 1 ,3- dicyclopropyl-1 ,3-dimethyl-1 ,3-disilacyclobutane, 1 ,1 ,3,3-tetracyclopropyl- 1 ,3-disilacyclobutane and combinations thereof, wherein the at least one silacycloalkane precursor reacts on at least a portion of the surface of the substrate to provide a chemisorbed layer;
c. purging the reactor with a purge gas comprising at least one selected from nitrogen, a noble gas, and combinations thereof;
d. introducing a plasma containing source into the reactor to react with at least a portion of the chemisorbed layer and provide an at least one reactive site wherein the plasma is generated at a power density ranging from about 0.01 to about 1.5 W/cm2; and
e. optionally purging the reactor with an inert gas; and wherein the steps b through e are repeated until a desired thickness of the silicon carbide film is obtained.
[0021] In a further aspect, the invention relates to a method of forming a dielectric film comprising silicon, nitrogen, and carbon onto at least a surface of a substrate, using a at least one deposition process selected from the group consisting of , plasma enhanced atomic layer deposition process, plasma enhanced ALD-like process, and plasma enhanced flowable chemical vapor deposition, the method comprising the steps of: a. providing a substrate in a reactor;
b. introducing into the reactor at least one silacycloalkane precursor selected from the group consisting of compounds represented by the structure of Formula IA and compounds represented by the structure of Formula IB:
Figure imgf000010_0001
IB
wherein R1 is selected from the group consisting of hydrogen, a linear or branched d to do alkyl group, a cyclic C3 to Ci0 alkyl group, a linear or branched C2 to do alkenyl group, and a linear or branched C2 to d0 alkynyl group; and R2 is selected from the group consisting of a linear or branched C2 to C6 alkenyl group, a linear or branched C2 to C6 alkynyl group, and a cyclic C3 to C10 alkyl group, wherein the at least one silacycloalkane precursor reacts on at least a portion of the surface of the substrate to provide a chemisorbed layer;
c. purging the reactor with a purge gas comprising at least one selected from nitrogen, a noble gas, and combinations thereof;
d. introducing a plasma comprising nitrogen source into the reactor to react with at least a portion of the chemisorbed layer and provide an at least one reactive site wherein the plasma is generated at a power density ranging from about 0.01 to about 1 .5 W/cm2; and
e. optionally purging the reactor with an inert gas; and wherein the steps b through e are repeated until a desired thickness of the silicon carbonitride film is obtained.
[0022] A further aspect of the invention relates to a silicon containing film produced by any of the foregoing methods or from any of the foregoing compositions wherein the silicon carbide or silicon carbonitride film has a density of 2.2g/cc or greater.
[0023] The aspects of the invention can be used alone or in various combinations with each other. DESCRIPTION OF THE DRAWINGS
[0024] FIG. 1 is an SEM micrograph image of a PEFCVD film deposited from the precursor 1 ,1 ,3,3-tetravinyl-1 ,3-disilacyclobutane according to Example 4.
DETAILED DESCRIPTION OF THE INVENTION
[0025] The deposition of conformal, stoichiometric and non-stoichiometric silicon carbide or silicon carbonitride films at low temperature, e.g., temperatures of 400°C or less, which meet one or more criteria to be considered a high quality film, has been a long-standing industry challenge. There are several applications in semiconductor field such as advanced patterning or spacer which require high quality films. A silicon carbide film or silicon carbonitride film is considered a "high quality" film if it has one or more of the following characteristics: a density of 2.2 grams per cubic centimeter (g/cc) or greater (e.g., about 2.2 to about 3.0 g/cc, about 2.4 to about 3.0/cc and in some cases about 2.5 to about 2.8 g/cc), a low wet etch rate (as measured in dilute hydrofluoric acid (0.5wt% HF in Dl water) in accordance with the method described below in greater detail), and combinations thereof compared to other silicon carbide or silicon carbonitride films. In these or other embodiments, the refractive index for the silicon nitride film should be 1 .9 or higher (e.g., about 1 .9 to about 2.4, about 2.0 to about 2.4 and in some cases about 2.0 to about 2.2) as measured by ellipsometer.
[0026] In one aspect, described herein is a composition for depositing a silicon- containing film, wherein the composition comprises: (a) at least one silacycloalkane precursor selected from the group consisting of compounds represented by the structure of Formula IA and compounds represented by the structure of Formula IB:
Figure imgf000011_0001
IB wherein R1 is selected from the group consisting of hydrogen, a linear or branched d to Cio alkyl group, a cyclic C3 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, and a linear or branched C2 to do alkynyl group; and R2 is selected from the group consisting of a linear or branched C2 to C6 alkenyl group, a linear or branched C2 to C6 alkynyl group, and a cyclic C3 to C10 alkyl group; and; (b) optionally, at least one solvent. In certain embodiments of the composition described herein, exemplary solvents include, without limitation, ethers, tertiary amines, alkyl hydrocarbons, aromatic hydrocarbons, tertiary aminoethers, and combinations thereof. In certain embodiments, the difference between the boiling point of the silacycloalkane and the boiling point of the solvent is 40°C or less. The wt% of silacycloalkane precursor compound in the solvent can vary from 1 to 99 wt%, or 10 to 90 wt%, or 20 to 80 wt %, or 30 to 70 wt %, or 40 to 60 wt %, to 50 to 50 wt%. In some embodiments, the composition can be delivered via direct liquid injection into a reactor chamber for silicon-containing film.
[0027] In one embodiment, described herein is an atomic layer deposition (ALD) or ALD-like method at a low temperature, or one or more deposition temperatures ranging from about 25°C to about 400°C, using a silacycloalkane precursor having Formula IA or IB described herein in a plasma process which comprises nitrogen and optionally a noble gas.
[0028] Described herein are methods for forming a stoichiometric or non-stoichiometric silicon carbide or silicon carbonitride film comprising silicon and nitrogen onto at least a portion of a substrate. In certain embodiments, the silicon carbide or silicon carbonitride film further comprises oxygen such as a silicon oxynitride film. In this or other embodiments, the silicon carbide film comprises oxygen and nitrogen such as a silicon carboxynitride film with oxygen content ranging from 0.1 to 30 at.% and carbon content ranging from 0.1 to 40 at.% measured by XPS.
[0029] Exemplary silacycloalkane precursors having a structure represented by Formula IA or IB, include, but are not limited to, the following precursors shown in Table 1 . Table 1 . Precursors havin Formula IA
Figure imgf000013_0001
Figure imgf000014_0001
trisilacyclohexane trisilacyclohexane
[0030] The silacycloalkane precursors having a structure represented by Formula IA or IB described herein exhibit a balance of reactivity and stability that makes them ideally suited as PEALD or PECCVD or PEFCVD precursors for semiconductor device manufacturing processes. With regard to reactivity, certain precursors may have boiling points (e.g., greater than about 200°C) that are too high to be vaporized and delivered to the reactor to be deposited as a film on a substrate. Precursors having higher relative boiling points require that the delivery container and lines need to be heated at or above the boiling point of the precursor under a given vacuum to prevent condensation or particles from forming in the container, lines, or both. Importantly, the silacycloalkane precursors having a structure represented by Formula IA or IB possess at least two carbon-carbon double or triple bonds or at least two cyclic alkane groups are better and, therefore, it is believed enabling deposition of silicon carbide via crossing link or polymerization of the carbon-carbon double or triple bonds or the cyclic alkane groups, especially cyclopropyl under plasma conditions. In certain embodiments, the silacycloalkane precursors having a structure represented by Formula IA or IB described herein comprise 2% or less by weight, or 1 % or less by weight, or 0.5% or less by weight of by-product (after being stored for a time period of 6 months or greater, or one year or greater) which is indicative of being shelf stable. In certain embodiments, the silacycloalkane precursors having a structure represented by Formula IA or IB described herein comprise 100 ppm or less of halide impurity such as chloride, or 50 ppm or less of halide impurity, or 10 ppm or less of halide impurity. In addition to the foregoing advantages, in certain embodiments, such as for depositing a silicon carbide or silicon carbonitride film using PEALD, or PECCVD or PEFCVD deposition method, the silacycloalkane precursor described herein may be able to deposit high density materials at one or more deposition temperatures, e.g., 400°C or less, 350°C or less, 300°C or less, or 250°C or less, 200°C or less, 150°C or less, 100°C or less, or 50°C or less.
[0031] Throughout the description, the term "alkyl hydrocarbon" refers a linear or branched C6 to C20 hydrocarbon, cyclic C6 to C20 hydrocarbon. Exemplary hydrocarbons include, but are not limited to, hexane, heptane, octane, nonane, decane, dodecane, cyclooctane, cyclononane, cyclodecane, and mixtures thereof.
[0032] Throughout the description, the term "aromatic hydrocarbon" refers a C6 to C20 aromatic hydrocarbon. Exemplary aromatic hydrocarbons include, but are not limited to, toluene and mesitylene.
[0033] In Formula IA or IB and throughout the description, the term "cyclic alkyl" denotes a cyclic functional group having from 3 to 10 or from 4 to 10 carbon atoms or from 5 to 10 carbon atoms. Exemplary cyclic alkyl groups include, but are not limited to, cyclopropyl, cyclobutyl, cyclopentyl, cyclohexyl, and cyclooctyl groups, preferably cyclopropyl due to high reactivity. [0034] In Formula IA or IB and throughout the description, the term "aryl" denotes an aromatic cyclic functional group having from 5 to 12 carbon atoms or from 6 to 10 carbon atoms. Exemplary aryl groups include, but are not limited to, phenyl, benzyl, chlorobenzyl, tolyl, and o-xylyl.
[0035] In Formula IA or IB and throughout the description, the term "alkyl" denotes a linear, or branched functional group having from 1 to 10 or 1 to 4 carbon atoms.
Exemplary alkyl groups include, but are not limited to, methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, tert-butyl, n-pentyl, iso-pentyl, tert-pentyl, hexyl, isohexyl, and neohexyl. In certain embodiments, the alkyl group may have one or more functional groups such as, but not limited to, an alkoxy group, a dialkylamino group or combinations thereof, attached thereto. In other embodiments, the alkyl group does not have one or more functional groups attached thereto.
[0036] In Formula IA or IB and throughout the description, the term "alkenyl group" denotes a group which has one or more carbon-carbon double bonds and has from 2 to 10 or from 2 to 6 or from 2 to 4 carbon atoms. Exemplary alkenyl groups include, but are not limited to, vinyl (CH2=CH-) or allyl (CH2=CHCH2-).
[0037] In Formula IA or IB and throughout the description, the term "alkynyl group" denotes a group which has one or more carbon-carbon triple bonds and has from 2 to 10 or from 2 to 6 or from 2 to 4 carbon atoms. An exemplary alkynyl group includesethynyl (acetylenylenyl).
[0038] Throughout the description, the term "organoamine" as used herein describes organic compound has at least one nitrogen atom. Examples of organoamine include, but are not limited to, methylamine, ethylamine, propylamine, iso-propylamine, tert- butylamine, sec-butylamine, tert-amylamine, ethylenediamine, dimethylamine, trimethylamine, diethylamine, and triethylamine.
[0039] In certain embodiments, one or more of the alkyl group, alkenyl group, alkynyl group, alkoxy group, dialkylamino group, aryl group, and/or electron withdrawing group in Formula IA or IB may be substituted or have one or more atoms or group of atoms substituted in place of, for example, a hydrogen atom. Exemplary substituents include, but are not limited to, oxygen, sulfur, halogen atoms (e.g., F, CI, I, or Br), nitrogen, and phosphorous. An exemplary substituted substituent includes, but is not limited to, a linear or branched to C6 fluorinated alkyl group. In one particular embodiment, at least one of R1 through R4 is a linear or branched Ci to C6 fluorinated alkyl group. In other embodiments, one or more of the alkyl group, alkenyl group, alkynyl group, alkoxy group, dialkylamino aryl group, and/or electron withdrawing group in Formula IA or IB is unsubstituted.
[0040] In certain embodiments, the silacycloalkane precursors having a structure represented by Formula IA or IB can be prepared by reacting 1 ,3-dihalido-1 ,3-dialkyl-1 ,3- disilacyclobutane, or 1 ,1 ,3,3-tetrahalido-1 ,3-disilacyclobutane or 1 ,3,5-trihalido-1 ,3,5- triaklyl-1 ,3,5-trisilacyclohexane or 1 ,1 -dihalido-3,3-dialkyl-1 ,3-disilacyclobutane with various metal alkyl reagents such as XMR2 such as M = magnesium in an organic solvent or solvent mixture as equations (1 ) to (3).
Figure imgf000017_0001
In Equations (1 ) - (2) R1 and R2 are the same as in the substituents described in Formula I and X is selected from the group consisting of chloride, bromide, and iodide. The following Equations (1 ) - (3) provide a non-limiting examples of the reaction schemes or synthesis routes which may be used to make the silacycloalkane precursors having a structure represented by Formula IA or IB as described herein. The reactions in Equations (1 ) to (3) can be conducted with (e.g., in the presence of) or without (e.g., in the absence of) organic solvents. In embodiments wherein an organic solvent is used, examples of suitable organic solvents include, but are not limited to, hydrocarbon such as hexanes, octane, toluene, and ethers such as diethylether and tetrahydrofuran (THF). In these or other embodiments, the reaction temperature is in the range of from about -70°C to the boiling point of the solvent employed if a solvent is used. The resulting silacycloalkanes can be purified, for example, via vacuum distillation or sublimation after removing all by-products as well as any solvent(s) if present. Equations (1 ) to (2) are two examples of the synthetic routes to make the silacycloalkane precursors having a structure represented by Formula I A or IB. Other synthetic routes involving cyclization can also be employed.
[0041] The silacycloalkane precursors having a structure represented by Formula IA or IB are preferably substantially free of halide ions such as chloride or metal ions such as Al. As used herein, the term "substantially free" as it relates to halide ions (or halides) such as, for example, chlorides and fluorides, bromides, iodides, metal ions such as Li+, Mg2+, Al3+, Fe2+, Fe3+, Ni2+, Cr3+ means less than 5 ppm (by weight) measured by ion chromatography (IC) or ICP-MS, preferably less than 3 ppm measured by ion chromatography (IC) or ICP-MS, and more preferably less than 1 ppm measured by ion chromatography (IC) or ICP-MS, and most preferably 0 ppm b measured by ion chromatography (IC). Chlorides or metal ions are known to act as decomposition catalysts for the silacycloalkane precursors. Significant levels of chloride in the final product can cause the silacycloalkane precursors to degrade. The gradual degradation of the silacycloalkane precursors may directly impact the film deposition process making it difficult for the semiconductor manufacturer to meet film specifications. In addition, the shelf-life or stability is negatively impacted by the higher degradation rate of the silacycloalkane precursors thereby making it difficult to guarantee a 1 -2 year shelf-life. Therefore, the accelerated decomposition of the silacycloalkane precursors presents safety and performance concerns related to the formation of these flammable and/or pyrophoric gaseous byproducts.
[0042] In some embodiments, added to the distilled silacycloalkane precursors having a structure represented by Formula IA or IB is a stabilizer compound or polymerization inhibitor. Exemplary stabilizer compounds include 2,6-di-tert-butyl-4-methyl phenol (or BHT for butylhydroxytoluene), 2,2,6,6-tetramethyl-1 -piperidinyloxy (TEMPO), 2- tert- butyl-4-hydroxyanisole, 3-tert-butyl-4-hydroxyanisole, propyl ester 3,4, 5-trihydroxy- benzoic acid, 2-(1 ,1 -dimethylethyl)-1 ,4-benzenediol, diphenylpicrylhydrazyl, 4-tert- butylcatechol, terf-butylhydroquinone, 1 ,4-benzoquinone, 6-terf-butyl-2,4-xylenol, 2,6-di- terf-butyl-p-cresol, 2,6-di-terf-butylphenol, 1 ,1 -diphenyl-2-picrylhydrazyl free radical, hydroquinone, 4-methoxyphenol, phenothiazine, N-methylaniline, p- methoxydiphenylamine, diphenylamine, N,N'-diphenyl-p-phenylenediamine, p- hydroxydiphenylamine, phenol, octadecyl-3-(3,5-di-tert-butyl-4- hydroxyphenyl) propionate, tetrakis (methylene (3,5-di-tert-butyl)-4-hydroxy-hydrocinnamate) methane, phenothiazines, alkylamidonoisoureas, thiodiethylene bis (3,5,-di-tert-butyl-4-hydroxy- hydrocinnamate, 1 ,2, -bis (3,5-di-tert-butyl-4-hydroxyhydrocinnamoyl) hydrazine, tris (2- methyl-4- hydroxy-5-tert-butylphenyl) butane, cyclic neopentanetetrayl bis (octadecyl phosphite), 4,4'-thiobis (6-tert-butyl-m-cresol), 2,2'- methylenebis (6-tert-butyl-p-cresol), oxalyl bis (benzylidenehydrazide), and naturally occurring antioxidants such as raw seed oils, wheat germ oil, tocopherols and gums. The function of the stabilizer compound or polymerization inhibitor is to prevent self-polymerization or oligomerization of silacycloalkane precursor. The amount of stabilizer compound or polymerization inhibitor is in the range from about 0.01 to about 10000 ppm, preferably from about 0.01 to about 500 ppm, and most preferably from about 0.01 to about 100 ppm based on gas chromatography analysis (GC). Important selected stabilizer compound or
polymerization inhibitor should be volatile and not leave some residue in the line or injector during delivery from a container to CVD chamber via direct liquid injection.
[0043] The method used to form the silicon carbide or silicon carbonitride films or coatings are deposition processes. Examples of suitable deposition processes for the method disclosed herein include, but are not limited to, plasma enhanced ALD (PEALD) or plasma enhanced cyclic CVD (PECCVD) or plasma enhanced flowable chemical vapor deposition process. As used herein, the term "chemical vapor deposition processes" refers to any processes wherein a substrate is exposed to one or more volatile precursors, which are reacted and/or decomposed on the substrate surface to produce the desired deposition. As used herein, the term "atomic layer deposition process" refers to a self-limiting (e.g., the amount of film material deposited in each reaction cycle is constant), sequential surface chemistry that deposits films of materials onto substrates of varying compositions. Although the precursors, reagents and sources used herein may be sometimes described as "gaseous", it is understood that the precursors can be either liquid or solid which are transported with or without an inert gas into the reactor via direct vaporization, bubbling or sublimation. In some case, the vaporized precursors can pass through a plasma generator. In one embodiment, the silicon nitride film is deposited using an ALD process. In another embodiment, the silicon nitride film is deposited using a CCVD process. In a further embodiment, the silicon nitride film is deposited using a thermal CVD process. The term "reactor" as used herein, includes without limitation, reaction chamber or deposition chamber. The ALD- like process is defined herein as a cyclic CVD process that provides a high conformal silicon nitride film such as, silicon nitride or silicon carbonitride on a substrate as shown by having at least one of the following: percentage of non-uniformity of about 10% or less (e.g., about 1 to about 10%, about 1 to about 5% and in some cases about 1 to about 3%), as measured by ellipsometer, a deposition rate of 1 A or greater per cycle (e.g., about 1 to about 4 A per cycle, about 1 to about 3 A per cycle and in some cases about 1 to about 2 A per cycle), or a combination thereof.
[0044] In certain embodiments, the method disclosed herein avoids pre-reaction of the precursors by using PEALD or PECCVD or PEFCVD methods that separate the precursors prior to and/or during the introduction to the reactor. In this connection, deposition techniques such as PEALD or PECCVD or PEFCVD processes are used to deposit the silicon nitride film. In one embodiment, the film is deposited via a PEALD process by exposing the substrate surface alternatively to the one or more the silicon nitride precursor, nitrogen-containing source, or other precursor or reagent. Film growth proceeds by self-limiting control of surface reaction, the pulse length of each precursor or reagent, and the deposition temperature. However, once the surface of the substrate is saturated, the film growth ceases.
[0045] In certain embodiments, the method described herein further comprises one or more additional silicon precursors other than the silacycloalkane precursor having a structure represented by Formula IA or IB. Examples of additional silicon nitride precursors include, but are not limited to, monochlorosilane, dichlorosilane,
hexachlorodisilane, di-iso-propylaminosilane, di-sec-butylaminosilane,
bis(tertbutylamino)silane, bis(diethylamino)silane, tris(dimethylamino)silane, bis- diisopropylaminodisilane, trisilylamine, and bis(disilylamino)silane.
[0046] Depending upon the deposition method, in certain embodiments, the at least one silacycloalkane precursors may be introduced into the reactor at a predetermined molar volume, or from about 0.1 to about 1000 micromoles. In this or other
embodiments, the at least one silacycloalkane precursor may be introduced into the reactor for a predetermined time period. In certain embodiments, the time period ranges from about 0.001 to about 500 seconds. In yet another embodiment, the at least one silacycloalkane may be introduced at a fixed flow rate, in the range of 100 mg/minute to 5 g/minute. [0047] In certain embodiments, the silicon nitride films comprise silicon and nitrogen. In these embodiments, the silicon nitride films deposited using the methods described herein are formed in the presence of nitrogen-containing source. A nitrogen-containing source may be introduced into the reactor in the form of at least one nitrogen-containing source and/or may be present incidentally in the other precursors used in the deposition process. Suitable nitrogen-containing source gases may include, for example, nitrogen/argon plasma. In certain embodiments, the nitrogen-containing source comprises nitrogen/argon plasma source gas that is introduced into the reactor at a flow rate ranging from about 1 to about 2000 standard cubic centimeters (seem) or from about 1 to about 1000 seem. The nitrogen-containing source can be introduced for a time that ranges from about 0.1 to about 100 seconds. In embodiments wherein the film is deposited by an ALD or a cyclic CVD process, the precursor pulse can have a pulse duration that is greater than 0.01 seconds, and the nitrogen-containing source can have a pulse duration that is less than 0.01 seconds, while the water pulse duration can have a pulse duration that is less than 0.01 seconds. In yet another embodiment, the purge duration between the pulses that can be as low as 0 seconds or is continuously pulsed without a purge in-between.
[0048] In the method described herein, a nitrogen-containing plasma comprising a nitrogen containing gas such as, without limitation, nitrogen and optionally a noble gas can be generated in situ or remotely, preferably a noble gas with an atomic mass greater than nitrogen's atomic mass (i.e., 28 amu). The presence of a noble gas with atomic mass greater than nitrogen's atomic mass is believed to create more atomic nitrogen radicals. The nitrogen plasma source gas is introduced into the reactor at a flow rate ranging from about 1 to about 2000 square cubic centimeters (seem) or from about 1 to about 1000 seem or more. The nitrogen containing plasma can be introduced for a time that ranges from about 0.01 to about 100 seconds or more. In embodiments, the precursor pulse can have a pulse duration that is greater than 0.01 seconds, and the nitrogen-containing plasma can have a pulse duration that is less than 0.01 seconds, while the water pulse duration can have a pulse duration that is less than 0.01 seconds. In yet another embodiment, the purge duration between the precursor pulse and nitrogen plasmas that can be as low as 0 seconds. In yet another embodiment, a hydrogen plasma can be generated in situ or remotely using pure hydrogen (H2)) mixed with a noble gas when a hydrogen plasma can be employed. The weight percentage of the noble gas in the plasma containing both nitrogen and noble gas can vary from 1 wt% to 99 wt% whereas the weight percentage of the noble gas in the plasma containing both hydrogen and noble gas can also vary from 1 wt% to 99 wt%. In yet another embodiment, an ammonia plasma can be generated in situ or remotely using pure ammonia (NH3)) mixed with a noble gas when a ammonia plasma can be employed. The weight percentage of the noble gas in the plasma containing both ammonia and noble gas can also vary from 1 wt% to 99 wt%.
[0049] The deposition methods described herein may involve one or more purge gases. The purge gas, which is used to purge away unconsumed reactants and/or reaction byproducts, is an inert gas that does not react with the precursors. Exemplary purge gases include, but are not limited to, argon (Ar), nitrogen (N2), helium (He), neon (Ne), hydrogen (H2), and mixtures thereof. In certain embodiments, the inert gas that is used as a purge gas comprises a noble gas. The term "noble gas" as used herein means those gases found in Group 18 of the Periodic Table and include, helium (He), neon (Ne), argon (Ar), Xenon (Xe), krypton (Kr), and mixtures thereof. In one particular embodiment, the noble gas used as a purge gas comprises argon. In this or other embodiments, the purge gas comprising Ar is supplied into the reactor at a flow rate ranging from about 10 to about 2000 seem for about 0.1 to 1000 seconds, thereby purging the unreacted precursor material and any byproduct that may remain in the reactor.
[0050] The respective step of supplying the precursors, the nitrogen-containing source, and/or other precursors, source gases, and/or reagents may be performed by changing the time for supplying them to change the stoichiometric composition of the resulting silicon nitride film.
[0051] Energy is applied to the at least one of the precursor, nitrogen-containing source, reducing agent, other precursors or combination thereof to induce reaction and to form the silicon nitride film or coating on the substrate. Such energy can be provided by, but not limited to, thermal, plasma, pulsed plasma, helicon plasma, high density plasma, inductively coupled plasma, X-ray, e-beam, photon, remote plasma methods (including remote microwave plasma), and combinations thereof. In certain
embodiments, a secondary RF frequency source can be used to modify the plasma characteristics at the substrate surface. In embodiments wherein the deposition involves plasma, the plasma-generated process may comprise a direct plasma-generated process in which plasma is directly generated in the reactor, or alternatively a remote plasma-generated process in which plasma is generated outside of the reactor and supplied into the reactor.
[0052] The silacycloalkane precursors may be delivered to the reaction chamber such as a PEALD or PECCVD or PEFCVD reactor either single wafer or batch in a variety of ways such as bubbling, vapor draw, or direct liquid injection (DLI). In one embodiment, a liquid delivery system may be utilized. In an alternative embodiment, a combined liquid delivery and flash vaporization process unit may be employed, such as, for example, the turbo vaporizer manufactured by MSP Corporation of Shoreview, MN, to enable low volatility materials to be volumetrically delivered, which leads to reproducible transport and deposition without thermal decomposition of the precursor. In liquid delivery formulations, the precursors described herein may be delivered in neat liquid form, or alternatively, may be employed in solvent formulations or compositions comprising same. Thus, in certain embodiments the precursor formulations may include solvent component(s) of suitable character as may be desirable and advantageous in a given end use application to form a film on a substrate. Exemplary solvents can include, without limitation, ether, tertiary amine, alkyl hydrocarbon, aromatic hydrocarbon, tertiary aminoether, and combinations thereof. In certain embodiments, the difference between the boiling point of the silacycloalkane precursor having Formula IA or IB and the boiling point of the solvent is 40°C or less. The wt % of the silacycloalkane precursor compound in the solvent can vary from 1 to 99 wt%, or 10 to 90 wt%, or 20 to 80 wt%, or 30 to 70 wt%, or 40 to 60 wt%, or 50 to 50 wt%. In some embodiments, the composition can be delivered via direct liquid injection into a reactor chamber for silicon-containing film.
[0053] In certain embodiments, the gas lines connecting from the precursor canisters to the reaction chamber are heated to one or more temperatures depending upon the process requirements and the container of the silacycloalkane precursor having the structure represented by Formula IA or IB described herein is kept at one or more temperatures for bubbling. In other embodiments, a solution comprising the at least one silicon nitride precursor having the formula described herein is injected into a vaporizer kept at one or more temperatures for direct liquid injection.
[0054] A flow of argon, noble, and/or other inert gas may be employed as a carrier gas to help deliver the vapor of the at least one silacycloalkane precursor to the reaction chamber during the precursor pulsing. In certain embodiments, the reaction chamber process pressure is about 2 Torr or less. In other embodiments, the reaction chamber process pressure is about 10 Torr or less.
[0055] In a typical PEALD or PECCVD or PEALD-like process or PEFCVD, a substrate such as, without limitation, a silicon oxide, polysilicon, silicon doped with germanium, silicon doped with boron, germanium, carbon doped silicon oxide, flexible substrate, or metal nitride substrate is heated on a heater stage in a reaction chamber that is exposed to the silicon nitride precursor initially to allow the silacycloalkane to chemically adsorb onto the surface of the substrate. A purge gas such as nitrogen, argon, or other inert gas purges away unabsorbed excess silacycloalkane from the process chamber. After sufficient purging, an nitrogen-containing source may be introduced into reaction chamber to react with the absorbed surface followed by another gas purge to remove reaction by-products from the chamber. The process cycle can be repeated to achieve the desired film thickness. In other embodiments, pumping under vacuum can be used to remove unabsorbed excess silacycloalkane from the process chamber, after sufficient evacuation under pumping, a plasma source may be introduced into reaction chamber to react with the absorbed surface followed by another pumping down purge to remove reaction by-products from the chamber.
[0056] In one aspect, there is provided a method of forming a dielectric film comprising silicon and carbon, the method comprising the steps of:
a. providing a substrate in a reactor;
b. introducing into the reactor at least one silacycloalkane precursor selected from the group consisting of compounds represented by the structure of Formula IA and compounds represented by the structure of Formula IB:
Figure imgf000024_0001
IB wherein R1 is selected from the group consisting of hydrogen, a linear or branched d to Cio alkyl group, a cyclic C3 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, and a linear or branched C2 to do alkynyl group; and R2 is selected from the group consisting of a linear or branched C2 to C6 alkenyl group, a linear or branched C2 to C6 alkynyl group, and a cyclic C3 to d0 alkyl group, wherein the at least one silacycloalkane precursor reacts on at least a portion of the surface of the substrate to provide a chemisorbed layer;
c. purging the reactor with a purge gas;
d. introducing a plasma containing source into the reactor to react with at least a portion of the chemisorbed layer and provide at least one reactive site wherein the plasma is generated at a power density ranging from about 0.01 to about 1 .5 W/cm2 and;
e. optionally purging the reactor with an inert gas; and wherein the steps b through e are repeated until a desired thickness of the silicon carbide film is obtained; and
[0057] A flow of argon, noble, and/or other inert gas may be employed as a carrier gas to help deliver the vapor of the at least one silacycloalkane precursor to the reaction chamber during the precursor pulsing. In certain embodiments, the reaction chamber process pressure is about 10 Torr or less. In other embodiments, the reaction chamber process pressure is about 2 Torr or less. In certain embodiments of the method, the plasma comprises hydrogen which is selected from the group consisting of hydrogen plasma, hydrogen/helium, hydrogen/argon plasma, hydrogen/neon plasma and mixtures thereof. In some embodiments, plasma comprises inert gas which is selected from the group consisting of helium plasma, argon plasma, neon plasma and mixtures thereof.
[0058] In a further aspect, the invention relates to a method of forming a dielectric film comprising silicon, nitrogen, and carbon onto at least a surface of a substrate, the method comprising the steps of:
a. providing a substrate in a reactor;
b. introducing into the reactor at least one silacycloalkane precursor selected from the group consisting of compounds represented by the structure of Formula IA and compounds represented by the structure of Formula IB:
Figure imgf000026_0001
IB
wherein R1 is selected from the group consisting of hydrogen, a linear or branched d to do alkyl group, a cyclicC3 to Ci0 alkyl group, a linear or branched C2 to do alkenyl group, and a linear or branched C2 to d0 alkynyl group; and R2 is selected from the group consisting of a linear or branched C2 to C6 alkenyl group, a linear or branched C2 to C6 alkynyl group, and a cyclic C3 to C10 alkyl group, wherein the at least one silacycloalkane precursor reacts on at least a portion of the surface of the substrate to provide a chemisorbed layer;
c. purging the reactor with a purge gas comprising at least one selected from nitrogen, a noble gas, and combinations thereof;
d. introducing a plasma comprising nitrogen source into the reactor to react with at least a portion of the chemisorbed layer and provide an at least one reactive site wherein the plasma is generated at a power density ranging from about 0.01 to about 1 .5 W/cm2; and
e. optionally purging the reactor with an inert gas; and wherein the steps b through e are repeated until a desired thickness of the silicon nitride film is obtained. In some embodiments, the plasma comprising nitrogen source may be introduced into the reactor in the form of at least one nitrogen source and/or may be present incidentally in the other precursors used in the deposition process. Suitable nitrogen-containing source gases may include, for example, ammonia, hydrazine, monoalkylhydrazine,
dialkylhydrazine, nitrogen plasma, nitrogen/hydrogen, nitrogen/helium, nitrogen/argon plasma, ammonia plasma, nitrogen/ammonia plasma, ammonia/helium plasma, ammonia/argon plasma, ammonia/nitrogen plasma, NF3 plasma, organoamine plasma, and mixtures thereof. In other embodiments, the plasma is selected from the group consisting of hydrogen plasma, helium plasma, neon plasma, argon plasma, xenon plasma, hydrogen/helium plasma, hydrogen/argon plasma and mixtures thereof. [0059] The above steps define one cycle for the method described herein and the cycle can be repeated until the desired thickness of a silicon nitride film is obtained. In this or other embodiments, it is understood that the steps of the methods described herein may be performed in a variety of orders, may be performed sequentially or concurrently (e.g., during at least a portion of another step), and any combination thereof. The respective step of supplying the precursors and oxygen-containing source may be performed by varying the duration of the time for supplying them to change the stoichiometric composition of the resulting silicon nitride film, although always using nitrogen in less than a stoichiometric amount relative to the available silicon.
[0060] In a further aspect, the present invention relates to a method of forming a dielectric film comprising silicon, carbon, nitrogen and hydrogen onto a surface of substrate or into a feature etched into the substrate surface, the method comprising the steps of:
a. providing a substrate in a reactor;
b. introducing into the reactor at least one silacycloalkane precursor selected from the group consisting of compounds represented by the structure of Formula IA and compounds represented by the structure of Formula IB:
Figure imgf000027_0001
IB
wherein R1 is selected from the group consisting of hydrogen, a linear or branched Ci to do alkyl group, a cyclicC3 to do alkyl group, a linear or branched d to do alkenyl group, and a linear or branched d to C10 alkynyl group; and R2 is selected from the group consisting of a linear or branched d to C6 alkenyl group, a linear or branched C2 to d alkynyl group, and a cyclic to do alkyl group, wherein the at least one silacycloalkane precursor reacts with free radicals generated from a remote plasma source.
[0061] The silacycloalkane precursor is delivered to the process chamber through vapor phase withdraw from the liquid or delivered as a liquid to heated injector that vaporizes the liquid, which is swept away by a carrier gas into a dual plenum shower head that isolates the silacycloalkane precursor from the free radicals prior to entering the process space above the substrate. At the same time the RPS is used to dissociate reactants such as ammonia (NH3) and these reactive radicals are delivered to the process chamber through separate holes in the showerhead allowing them to react with the silacycloalkane precursor to generate reactive species which may be oligomers that upon striking the cooled substrate condense and flow into the etched features. In certain embodiemnts this process may be repeated to improve the flowability into the features.
[0062] After deposition of the flowable film, the wafer is subsequently heated to a temperature of from about 200 °C to about 400 °C, preferably 300 °C to evaporate low molecular weight reactive species. Subsequent exposure to higher energy sources such as UV are used to density and crosslink the film. PEFCVD films tend to have densities in the range of 1 .2 - 2.2 g/cm3.
[0063] In certain embodiments of the method described herein, steps b to e are repeated to provide a dielectric film comprising silicon and carbon or a dielectric film comprising silicon, nitrogen, and carbon with a thickness ranging from about 0.1 to about 500 A, or from about 0.1 to about 5 A, or from about 0.1 to about 10 A, or about 0.1 to about 50 A, or 0.1 to 100 A. In one particular embodiment of the method described herein, a plasma comprising hydrogen can be inserted before step d to help removing hydrocarbon generated from the reaction between the silacycloalkane and the surface. The plasma comprising hydrogen is selected from the group consisting of hydrogen plasma, hydrogen/helium, hydrogen/argon plasma, hydrogen/neon plasma and mixtures thereof.
[0064] In one aspect, there is provided a method for depositing a dielectric film comprising silicon and carbon via plasma enhanced flowable chemical vapor deposition, the method comprising:
placing a substrate comprising a surface feature into a reactor which is at one or more temperatures ranging from -20°C to about 200°C; introducing into the reactor at least one silacycloalkane precursor selected from the group consisting of compounds represented by the structure of Formula IA and compounds represented by the structure of Formula IB:
Figure imgf000029_0001
IB
wherein R1 is selected from the group consisting of hydrogen, a linear or branched Ci to Cio alkyl group, cyclic C3 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, and a linear or branched C2 to C10 alkynyl group; and R2 is selected from the group consisting of a linear or branched C2 to C6 alkenyl group, a linear or branched C2 to C6 alkynyl group, and a cyclic C3 to do alkyl group; and
providing a plasma source into the reactor to at least partially react the first and second compounds to form a flowable liquid, wherein the flowable liquid at least partially fills a portion of the surface feature. The flowable liquid comprises at least one oligomer.
[0065] The above steps define one cycle for the methods described herein; and the cycle can be repeated until the desired thickness of a silicon-containing film is obtained. In one particular embodiment, the substrate temperatures is from about -20°C to about 200°C, and preferably, from about -20°C to about 100°C or less to keep the resulting polymeric species having small molecular weight than those formed at higher temperatures, thus more flowable to allow smaller features being filled. In this embodiment, the plasma source can be generated in situ or remotely. When a remote plasma source is employed, the plasma has to deliver into the process chamber through holes in the showerhead that are independent of the delivery of vapors of the silacycloalkane precursor. In some of this embodiment, one plasma source either in situ or remote is employed, In other of this embodiment, both in situ and remote plasma can be employed. In this or other embodiments, it is understood that the steps of the methods described herein may be performed in a variety of orders, may be performed sequentially or concurrently (e.g., during at least a portion of another step), and any combination thereof. The respective step of supplying the compounds and other reagents may be performed by varying the duration of the time for supplying them to change the stoichiometric composition of the resulting silicon-containing film.
[0066] In one aspect, there is provided a method for depositing a dielectric film comprising silicon and carbon or a dielectric film comprising silicon, nitrogen, and carbon via plasma enhanced flowable chemical vapor deposition, the method comprising:
placing a substrate comprising a surface feature into a reactor which is at one or more temperatures ranging from -20°C to about 400°C;
introducing into the reactor at least one silacycloalkane precursor selected from the group consisting of compounds represented by the structure of Formula IA and compounds represented by the structure of Formula IB:
Figure imgf000030_0001
IB
wherein R1 is selected from the group consisting of hydrogen, a linear or branched d to do alkyl group, a cyclic C3 to do alkyl group, a linear or branched d to do alkenyl group, and a linear or branched d to C10 alkynyl group; and R2 is selected from the group consisting of a linear or branched d to C6 alkenyl group, a linear or branched C2 to d alkynyl group, and a cyclic to do alkyl group;
introducing into the reactor a second compound having at least one Si-H bond wherein the at least one second compound is selected from the group consisting of the following Formula MA to 111— I and III:
11 A. a polysilane compound having a formula of SixH2x+2 wherein x is a number from 2 to 6; MB. a compound having the formula R1 mSiH4.m wherein R1 is selected from hydrogen and a linear or branched to C10 alkyl group; and m is a number selected from 1 , 2 and 3;
IIC. a compound having the formula SiH3-R2-SiH3 wherein R2 is a linear or branched Ci to C6 alkylene group;
IID. a compound having the formula R3SiH2-R2-SiH2R4 wherein R2 is a linear or branched Ci to C6 alkylene group; R3 is selected from hydrogen, a linear or branched Ci to do alkyl group, and a C4 to Ci0 aryl group; and R4 is selected from a linear or branched to C10 alkyl group;
HE. a compound having the formula (R3R4N)nSiH3-nR1 wherein R1 is selected from hydrogen and a linear or branched to C10 alkyl group; R3 is selected from hydrogen, a linear or branched to C10 alkyl group, and a C4 to C10 aryl group; and R4 is selected from a linear or branched Ci to Cio alkyl group;
I IF. a silacycloalkyl compound such as 1 ,3-disilacyclobutane or its derivatives or 1 ,3,5-trisilacyclohexane and its derivatives;
IIG. a trisilylamine compound or derivatives thereof; and
111— I . a silazane compound having the formula of [(R3R4N)pSiH3.p]2NR1 or
[R3pSiH2-pNR1]q wherein R1 is selected from hydrogen and a linear or branched to C10 alkyl group; R3 is selected from hydrogen, a branched Ci to Cio alkyl group, and a C4 to C10 aryl group; and R4 is selected from a linear or branched to C10 alkyl group; p=0, 1 , 2; q=2 or 3; and
III. an organoaminodisilanes having the formula of (R3R4N)SiH2SiH3 wherein R3 is selected from hydrogen, a branched to C10 alkyl group, and a C4 to C10 aryl group; and R4 is selected from a linear or branched to C10 alkyl group; and
providing a plasma source into the reactor to at least partially react the first and second compounds to form a flowable liquid or oligomer, wherein the flowable liquid or oligomer at least partially fills a portion of the surface feature.
[0067] The above steps define one cycle for the methods described herein; and the cycle can be repeated until the desired thickness of a silicon-containing film is obtained. In one particular embodiment, the substrate temperatures is about 100°C or less to keep the resulting polymeric polysilazane species having small molecular weight than those formed at higher temperatures, thus more flowable to allow smaller features being filled. In this or other embodiments, it is understood that the steps of the methods described herein may be performed in a variety of orders, may be performed sequentially or concurrently (e.g., during at least a portion of another step), and any combination thereof. The respective step of supplying the compounds and other reagents may be performed by varying the duration of the time for supplying them to change the stoichiometric composition of the resulting silicon-containing film. In certain
embodiments, after the silicon containing film is deposited, the substrate is optionally treated with an oxygen-containing source under certain process conditions sufficient to make the silicon nitride or silicon carbide film form a silicon oxide, a silicon oxynitride, or carbon doped silicon oxide film. The oxygen-containing source can be selected from the group consisting of water (H20), oxygen (02), hydrogen peroxide (H202), oxygen plasma, ozone (03), NO, N20, carbon monoxide (CO), carbon dioxide (C02), N20 plasma, carbon monoxide (CO) plasma, carbon dioxide (C02) plasma, and combinations thereof.
[0068] In any of the above or in an alternative embodiment, the flowable liquid or oligomer is treated at one or more temperatures ranging from about 100 °C to about 1000 °C to density at least a portion of the materials.
[0069] In some embodiments, the post thermal treatment materials are exposed to a plasma, infrared lights, chemical treatment, an electron beam, or UV light to form a dense film. In one embodiment of the invention, a post treatment comprising exposure to UV light exposure is conducted under conditions to emit hydrocarbon, or silane gaseous by-products to density the dielectric film.
[0070] In certain embodiments, the resultant silicon carbide or silicon carbonitride containing films or coatings can be exposed to a post-deposition treatment such as, but not limited to, a plasma treatment, chemical treatment, ultraviolet light exposure, vacuum UV exposure, eximer laser exposure, electron beam exposure, and/or other treatments to affect one or more properties of the film. In one particular embodiment of the method described herein, a plasma comprising hydrogen can be employed as post-deposition treatment to the as-deposited silicon nitride film to increase the density as well as decrease the etch rate. The plasma comprising hydrogen is selected from the group consisting of hydrogen plasma, hydrogen/helium, hydrogen/argon plasma,
hydrogen/neon plasma and mixtures thereof. [0071] In certain embodiments, the silicon carbide or silicon carbonitride containing films described herein have a dielectric constant of 6 or less. In these or other embodiments, the films can a dielectric constant of about 5 or below, or about 4 or below, or about 3.5 or below as measured by mercury probe technique. However, it is envisioned that films having other dielectric constants (e.g., higher or lower) can be formed depending upon the desired end-use of the film. An example of the silicon carbide or silicon carbonitride film that is formed using the silacycloalkane precursors and processes described herein has the formulation SixOyCzNvHw wherein Si ranges from about 10% to about 50%; O ranges from about 0% to about 10%; C ranges from about 0% to about 20%; N ranges from about 10% to about 75% or from about 10% to 60%; and H ranges from about 0% to about 10% atomic percent weight % wherein x+y+z+v+w = 100 atomic weight percent, as determined for example, by x-ray photoelectron spectroscopy (XPS) or secondary ion mass spectrometry (SIMS).
[0072] In one particular embodiment wherein the film is depositing using a plasma comprising a noble gas, the silicon nitride film comprises from about 5% to about 50% carbon atomic weight percent, as determined for example, by XPS or other means. In this particular embodiment, the silicon nitride film further comprises wherein Si ranges from about 10% to about 40%; O ranges from about 0% to about 5%; N ranges from about 10% to about 75% or from about 10% to 50%; and H ranges from about 0% to about 10% atomic percent weight % wherein the total weight percent of the film adds up to 100 atomic weight percent.
[0073] Throughout the description, the term "dielectric film" as used herein refers to a film selected from the group consisting of stoichiometric or non-stoichiometric silicon carbide, silicon carbonitride, silicon carboxynitride, and there mixture thereof.
[0074] Throughout the description, the term "metal alkyl" as used herein refers to a reagent having at least one metal-carbon bond such as MR2 wherein M is a metal selected from Li, Na, K or XMR2 wherein X=CI, Br, or I, M = Mg or Ca.
[0075] As mentioned previously, the method described herein may be used to deposit a silicon nitride film on at least a portion of a substrate. Examples of suitable substrates include but are not limited to, silicon, silicon/germanium, germanium, lll/V materials, Si02, Si3N4, OSG, FSG, silicon carbide, hydrogenated silicon carbide, silicon nitride, hydrogenated silicon nitride, silicon carbonitride, hydrogenated silicon carbonitride, boronitride, anti reflective coatings, photoresists, a flexible substrate such as IGZO, organic polymers, porous organic and inorganic materials, metals such as copper and aluminum, and diffusion barrier layers such as but not limited to TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, or WN. The films are compatible with a variety of subsequent processing steps such as, for example, chemical mechanical planarization (CMP) and anisotropic etching processes.
[0076] The deposited films have applications, which include, but are not limited to, computer chips, optical devices, magnetic information storages, coatings on a supporting material or substrate, microelectromechanical systems (MEMS), nanoelectromechanical systems, thin film transistor (TFT), light emitting diodes (LED), organic light emitting diodes (OLED), IGZO, and liquid crystal displays (LCD).
[0077] The following examples illustrate the method for depositing silicon nitride films described herein and are not intended to limit it in any way the claims appended hereto.
EXAMPLES
[0078] In the following examples, unless stated otherwise, properties were obtained from sample films that were deposited onto medium resistivity (14-17 Ω-cm) single crystal silicon wafer substrates. All film depositions were performed using the commercial reactor has showerhead design with 13.56 MHz direct plasma. In typical process conditions, unless stated otherwise, the chamber pressure was fixed at a pressure ranging from about 1 to about 10 Torr. Additional inert gas was used to maintain chamber pressure. The silacycloalkane precursor was delivered using vapor draw (i.e. no argon used at all). Typical RF power used was 125W over electrode area of 150 mm wafer to provide a power density of 0.7 W/cm2. The film depositions comprised the steps listed in Table 1 for thermal ALD and plasma enhanced ALD, respectively. Steps 1 through 4 in Table 1 constitute one PEALD cycle and were repeated, unless otherwise specified, a total of 300 times to get the desired film thickness.
Table 1 . Steps used in PEALD silicon carbide films
Figure imgf000034_0001
create reactive sites
D Purge unreacted plasma species out
[0079] The reactive index (Rl) and thickness for the deposited films were measured using an ellipsometer. Film non-uniformity was calculated using the standard equation: %non uniformity = ((max thickness - min thickness)/(2*average (avg) thickness)). Film structure and composition were analyzed using Fourier Transform Infrared (FTIR) spectroscopy and X-Ray Photoelectron Spectroscopy (XPS). The density for the films was measured with X-ray Reflectometry (XRR).
Example 1 : Synthesis of Synthesis of 1 ,1 ,3,3-tetravinyl-1 ,3-disilacyclobutane
[0080] Vinyl magnesium chloride solution in THF (4L, 1 .6M) was charged into a 12L flask equipped with a mechanical stirrer, thermowell, condenser and an addition funnel. 1 ,1 ,3,3-tetrachloro-1 ,3-disilacyclobutane (339. Og 1.5mol) solution in hexanes(500ml_) was added slowly to the flask, in an addition rate that the reaction temperature was kept below 60°C. After the addition was complete, the flask was heated to 60°C for 4 hours, and cooled down to room temperature. With stirring, cold water (1 .5L) was added slowly via an addition funnel to the flask. Two liquid layers formed. The organic layer was separated and dried over Na2S04. After removal of the solvents by distillation, the product was distilled under vacuum. 185.0g product was obtained, yield: 65.4%. The normal boiling point measured with DSC was 201 .5°C.
Example 2: Synthesis of 1 ,3-divinyl-1 ,3-dimethyl-1 ,3-disilacyclobutane
[0081] Vinyl magnesium chloride solution in THF (3L, 1 .6M) was charged into a 12L flask equipped with a mechanical stirrer, thermowell, condenser and an addition funnel. 1 ,3-diethoxy-1 ,3-dimethyl-1 ,3-disilacyclobutane (408. Og 2.0mol) in hexanes(500ml_) was added slowly to the flask, in an addition rate that the reaction temperature was kept below 60°C. After the addition was complete, the flask was heated to 60°C for 4 hours, and cooled down to room temperature. With stirring, cold water (1 .5L) was added slowly via an addition funnel to the flask. Two liquid layers formed. The organic layer was separated and dried over Na2S04. After removal of the solvents by distillation, the product was distilled under vacuum. 261.5g product with b.p. 62°C/20mmHg was obtained, yield: 78.0%. Example 3: PEALD silicon carbide film using 1 ,3-divinyl-1 ,3-dimethyl-silacyclobutane and hydrogen plasma (prophetic)
[0082] The silicon wafer is loaded into the commercial reactor equipped with showerhead design with 13.56 MHz direct plasma and heated to 300°C with chamber pressure of 2 torr. 1 ,3-divinyl-1 ,3-dimethyl-silacyclobutane as silacycloalkane precursor is delivered using vapor draw at temperature of 72 °C into the reactor. The ALD cycle is comprised of the process steps provided in Table 1 and uses the following process parameters:
a. Introduce a silacycloalkane precursor to the reactor
Nitrogen flow: 1000 seem
Silacycloalkane precursor pulse: 1 second
b. Inert gas purge
Nitrogen flow: 1000 seem
Purge time: 10 seconds
c. Introduce hydrogen plasma
Hydrogen flow: 1000 seem
Hydrogen plasma pulse: 10 second with plasma power of 125W
d. Purge
Nitrogen flow: 1000 seem
Purge time: 10 seconds
Steps a to d were repeated for 500 cycles to provide a silicon carbide film.
Example 4: PEFCVD of silicon carbide films using 1 ,1 ,3,3-tetravinyl-1 ,3- disilacyclobutane
[0083] The silicon wafer was loaded into the commercial reactor equipped with a dual plenum showerhead design and a microwave based remote plasma source. 1 ,1 ,3,3- tetravinyl-1 ,3-disilacyclobutane as a silacycloalkane precursor is delivered through a liquid flow meter and heated injector to deliver vapor into the process chamber through holes in the showerhead that are independent of the delivery of free radical generated by the remote plasma source from the dissociation of ammonia (NH3). Process conditions used to deposit flowable films are:
Microwave Plasma Power 2000W
Pressure 1 torr
Precursor Flow 2000 mg/min He Carrier Flow 100 seem
NH3 Flow through RPS 500 seem
Substrate Temp 12°C
Deposition Time 120 sec
After deposition the films are cured by transferring the wafer, without vacuum break, to an annealing chamber where it was annealed at 300° C for 5 minutes, then transferred to another chamber where it was cured with UV exposure at susceptor temperature of 400° C for 10 minutes. The resulting film can be seen in Figure 1 , where the flowable silicon carbide was shown to fully fill the feature from the bottom up with a planar overburden above the feature. The films shown in Figure 1 had the following
composition as determined by X-Ray Photoelectron Spectroscopy (XPS): carbon 74.0 at.%, nitrogen <1 .0 at.%, oxygen 5.0 at.%, and silicon 20.0 at.%. It is unexpected that ammonia was used as a remote plasma source but the resulting film had less than 1 .0 at. % of nitrogen.
[0084] The precursor had a carbon to silicon ratio of 5:1 . The deposited and cured film had a carbon to silicon ration of < 4:1 . The precursor combined with process conditions deployed allowed for reduction in the total carbon content of the film relative to precursor.
[0085] Although certain principles of the invention have been described above in connection with aspects or embodiments, it is to be clearly understood that this description is made only by way of example and not as a limitation of the scope of the invention.

Claims

A method of forming a dielectric film comprising silicon and carbon onto at least a rface of a substrate, the method comprising the steps of:
a. providing a substrate in a reactor;
b. introducing into the reactor at least one silacycloalkane precursor selected from the group consisting of compounds represented by the structure of Formula
Figure imgf000038_0001
IB
wherein R1 is selected from the group consisting of hydrogen, a linear or branched Ci to Cio alkyl group, a cyclic C3 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, and a linear or branched C2 to C10 alkynyl group; and R2 is selected from the group consisting of a linear or branched C2 to C6 alkenyl group, a linear or branched C2 to C6 alkynyl group, and a cyclic C3 to do alkyl group, wherein the at least one silacycloalkane precursor reacts on at least a portion of the surface of the substrate to provide a chemisorbed layer;
c. purging the reactor with a purge gas;
d. introducing a plasma containing source and an inert gas into the reactor to react with at least a portion of the chemisorbed layer and provide at least one reactive site, wherein the plasma is generated at a power density ranging from about 0.01 to about 1 .5 W/cm2; and
e. optionally purging the reactor with an inert gas; and wherein the steps b through e are repeated until a desired thickness of the dielectric film is obtained.
2. The method of claim 1 wherein the at least one silacycloalkane precursor is selected from the group consisting of 1 ,3-divinyl-1 ,3-disilacyclobutane, 1 ,3-divinyl-1 ,3- dimethyl-1 ,3-disilacyclobutane, 1 ,1 ,3,3-tetravinyl-1 ,3-disilacyclobutane, 1 ,3,5-trivinyl- 1 ,3,5-trisilacyclohexane, 1 ,3,5-trivinyl-1 ,3,5-trimethyl-1 ,3,5-trisilacyclohexane,
1 ,1 ,3,3,5,5-hexavinyl-1 ,3,5-trisilacyclohexane, 1 ,3-d ia.llyl-1 ,3-disilacyclobutane, 1 ,3- diallyl-1 ,3-dimethyl-1 ,3-disilacyclobutane, 1 ,1 ,3,3-tetraallyl-1 ,3-disilacyclobutane, 1 ,3,5- triallyl-1 ,3,5-trisilacyclohexane, 1 ,3,5-triallyl-1 ,3,5-trimethyl-1 ,3,5-trisilacyclohexane, 1 ,1 ,3,3,5,5-hexaallyl-1 ,3,5-trisilacyclohexane, 1 ,3-diacetylenyl-1 ,3-disilacyclobutane, 1 ,3- diacetylenyl-1 ,3-dimethyl-1 ,3-disilacyclobutane, 1 ,1 ,3,3-tetraacetylenyl-1 ,3- disilacyclobutane, 1 ,3,5-triacetylenyl-1 ,3,5-trisilacyclohexane, 1 ,3,5-triacetylenyl-1 ,3,5- trimethyl-1 ,3,5-trisilacyclohexane, 1 ,1 ,3,3,5,5-hexaacetylenyl-1 ,3,5-trisilacyclohexane 1 ,3-dicyclopropyl-1 ,3-disilacyclobutane, 1 ,3-dicyclopropyl-1 ,3-dimethyl-1 ,3- disilacyclobutane, 1 ,1 ,3,3-tetracyclopropyl-1 ,3-disilacyclobutane
and combinations thereof.
3. The method of claim 1 the plasma containing source is selected from the group consisting of hydrogen plasma, hydrogen/helium, hydrogen/argon plasma,
hydrogen/neon plasma, helium plasma, argon plasma, neon plasma, and mixtures thereof.
4. The method of claim 1 wherein the dielectric film has a density of 2.2g/cc or greater.
5. The method of claim 1 wherein the method is at least one vapor deposition process selected from the group consisting of plasma enhanced atomic layer deposition, plasma enhanced cyclic chemical vapor deposition, and plasma enhanced flowable chemical vapor deposition.
6. The method of claim 1 wherein the method is conducted at one or more temperatures of about 400°C or less.
7. The method of claim 1 wherein the method is conducted at one or more temperatures of about 300°C or less.
8. A method of forming a silicon carbide or silicon carbonitride film onto at least a surface of a substrate, the method comprising the steps of:
a. providing a substrate in a reactor;
b. introducing into the reactor at least one silacycloalkane precursor selected from the group consisting of 1 ,3-divinyl-1 ,3-disilacyclobutane, 1 ,3-divinyl-1 ,3-dimethyl- 1 ,3-disilacyclobutane, 1 ,1 ,3,3-tetravinyl-1 ,3-disilacyclobutane, 1 ,3,5-trivinyl-1 ,3,5- trisilacyclohexane, 1 ,3,5-trivinyl-1 ,3,5-trimethyl-1 ,3,5-trisilacyclohexane, 1 ,1 ,3,3,5,5- hexavinyl-1 ,3,5-trisilacyclohexane, 1 ,3-diallyl-1 ,3-disilacyclobutane, 1 ,3-diallyl-1 ,3- dimethyl-1 ,3-disilacyclobutane, 1 ,1 ,3,3-tetraallyl-1 ,3-disilacyclobutane, 1 ,3,5-triallyl-1 ,3,5- trisilacyclohexane, 1 ,3,5-triallyl-1 ,3,5-trimethyl-1 ,3,5-trisilacyclohexane, 1 ,1 ,3,3,5,5- hexaallyl-1 ,3,5-trisilacyclohexane, 1 ,3-diacetylenyl-1 ,3-disilacyclobutane, 1 ,3- diacetylenyl-1 ,3-dimethyl-1 ,3-disilacyclobutane, 1 ,1 ,3,3-tetraacetylenyl-1 ,3- disilacyclobutane, 1 ,3,5-triacetylenyl-1 ,3,5-trisilacyclohexane, 1 ,3,5-triacetylenyl-1 ,3,5- trimethyl-1 ,3,5-trisilacyclohexane, 1 ,1 ,3,3,5,5-hexaacetylenyl-1 ,3,5-trisilacyclohexane
1 ,3-dicyclopropyl-1 ,3-disilacyclobutane, 1 ,3-dicyclopropyl-1 ,3-dimethyl-1 ,3- disilacyclobutane, 1 ,1 ,3,3-tetracyclopropyl-1 ,3-disilacyclobutane,
and combinations thereof, wherein the at least one silacycloalkane reacts on at least a portion of the surface of the substrate to provide a chemisorbed layer;
c. purging the reactor with a purge gas comprising at least one selected from nitrogen, a noble gas, and combinations thereof;
d. introducing a plasma containing source into the reactor to react with at least a portion of the chemisorbed layer and provide an at least one reactive site wherein the plasma is generated at a power density ranging from about 0.01 to about 1 .5 W/cm2; and e. optionally purging the reactor with an inert gas; and wherein the steps b through e are repeated until a desired thickness of the silicon nitride film is obtained.
9. The method of claim 8 wherein the silicon carbide or silicon carbonitride film has a density of 2.2g/cc or greater.
10. The method of claim 8 wherein method is a vapor deposition process selected from the group consisting of plasma enhanced atomic layer deposition, plasma enhanced cyclic chemical vapor deposition, and plasma enhanced flowable chemical vapor deposition.
1 1 . The method of claim 8 wherein the method is conducted at a temperature of 400°C or less.
12. The method of claim 8 wherein the method is conducted at a temperature of 300°C or less.
13. The method of claim 8 wherein the method is conducted at a temperature of 100°C or less.
14. The method of claim 8 where the plasma containing source is selected from the group consisting of hydrogen plasma, hydrogen/helium, hydrogen/argon plasma, hydrogen/neon plasma, helium plasma, argon plasma, neon plasma, nitrogen/argon plasma, ammonia plasma, nitrogen/ammonia plasma, ammonia/helium plasma, ammonia/argon plasma, ammonia/nitrogen plasma, NF3 plasma, organoamine plasma, and mixtures thereof.
15. A composition for a vapor deposition of a silicon-containing dielectric film comprising at least one silacycloalkane precursor selected from the group consisting of
1 ,3-bis(tert-butyl)silacycloalkane, 1 ,3-bis(tert-butyl)-2-methylsilacycloalkane, 1 ,3-bis(tert- butyl)-2,4-dimethylsilacycloalkane, 1 ,3-bis(tert-amyl)silacycloalkane, 1 ,3-bis(tert-amyl)-2- methylsilacycloalkane, 1 ,3-bis(tert-amyl)-2,4-dimethylsilacycloalkane, 1 ,3-bis(tert-butyl)- 2-chloroclodisilazane, 1 ,3-bis(tert-butyl)-2,4-dichlorosilacycloalkane, 1 ,3-bis(tert-amyl)-2- chloroclodisilazane, 1 ,3-bis(tert-amyl)-2,4-dichlorosilacycloalkane, 1 ,3-bis(tert-butyl)- 2,4,4-trilchlorosilacycloalkane, 1 ,3-bis(tert-butyl)-2-dimethylsilacycloalkane, 1 ,3-bis(tert- butyl)-2-chloro-2-methylsilacycloalkane, 1 ,3-bis(tert-amyl)-2-dimethylsilacycloalkane, 1 ,3- bis(tert-amyl)-2-chloro-2-methyl-silacycloalkane, 1 ,3-bis(tert-butyl)-2-vinylsilacycloalkane, 1 ,3-bis(tert-butyl)-2-ethynyi silacycloalkane, 1 ,3-dicyclopropyl-1 ,3-disilacyclobutane, 1 ,3- dicyclopropyl-1 ,3-dimethyl-1 ,3-disilacyclobutane, and 1 ,1 ,3,3-tetracyclopropyl-1 ,3- disilacyclobutane, wherein the silacycloalkane precursor is substantially free of one or more impurities selected from the group consisting of a halide, water, metal ions, and combinations thereof.
16. The composition of claim 15, wherein the halide comprises chloride ions.
17. The composition of claim 15, wherein the chloride ion concentration is less than 50 ppm.
18. The composition of claim 13, wherein the chloride ion concentration is less than 10 ppm.
19. The composition of claim 13, wherein the chloride ion concentration is less than 5 ppm.
20. A vessel which is used to deliver a silacycloalkane precursor for the deposition of a silicon-containing film, the vessel comprising:
a silacycloalkane precursor selected from the group consisting of 1 ,3-bis(tert- butyl)silacycloalkane, 1 ,3-bis(tert-butyl)-2-methylsilacycloalkane, 1 ,3-bis(tert-butyl)-2,4- dimethylsilacycloalkane, 1 ,3-bis(tert-amyl)silacycloalkane, 1 ,3-bis(tert-amyl)-2- methylsilacycloalkane, 1 ,3-bis(tert-amyl)-2,4-dimethylsilacycloalkane, 1 ,3-bis(tert-butyl)- 2-chloroclodisilazane, 1 ,3-bis(tert-butyl)-2,4-dichlorosilacycloalkane, 1 ,3-bis(tert-amyl)-2- chloroclodisilazane, 1 ,3-bis(tert-amyl)-2,4-dichlorosilacycloalkane, 1 ,3-bis(tert-butyl)- 2,4,4-trilchlorosilacycloalkane, 1 ,3-bis(tert-butyl)-2-dimethylsilacycloalkane, 1 ,3-bis(tert- butyl)-2-chloro-2-methylsilacycloalkane, 1 ,3-bis(tert-amyl)-2-dimethylsilacycloalkane, 1 ,3- bis(tert-amyl)-2-chloro-2-methyl-silacycloalkane, 1 ,3-bis(tert-butyl)-2-vinylsilacycloalkane, 1 ,3-bis(tert-butyl)-2-ethynyl silacycloalkanel ,3-bis(tert-butyl)-2-vinylsilacycloalkane, 1 ,3- bis(tert-butyl)-2-eihynyl silacycloalkane, 1 ,3-dicyclopropyl-1 ,3-disilacyclobutane, 1 ,3- dicyclopropyl-1 ,3-dimethyl-1 ,3-disilacyclobutane, and 1 ,1 ,3,3-tetracyclopropyl-1 ,3- disilacyclobutane, wherein the silacycloalkane precursor is substantially free of one or more impurities selected from the group consisting of a halide, water, metal ions, and combinations thereof, wherein the purity of the precursor is about 98% or greater, and wherein the vessel has a headspace comprising at least one inert gas selected from the group consisting of helium, argon, nitrogen and combination thereof. The vessel of claim 20 wherein the vessel is comprised of stainless steel.
22. A method for depositing a dielectric film comprising silicon and carbon via plasma enhanced flowable chemical vapor deposition, the method comprising the steps of:
placing a substrate comprising a surface feature into a reactor which is at one or more temperatures ranging from -20°C to about 200°C;
introducing into the reactor at least one silacycloalkane precursor selected from the group consisting of compounds represented by the structure of Formula IA and compounds represented by the structure of Formula IB:
Figure imgf000043_0001
IB
wherein R1 is selected from the group consisting of hydrogen, a linear or branched d to Cio alkyl group, cyclic C3 to C10 alkyl group, a linear or branched C2 to C10 alkenyl group, and a linear or branched C2 to do alkynyl group; and R2 is selected from the group consisting of a linear or branched C2 to C6 alkenyl group, a linear or branched C2 to C6 alkynyl group, and a cyclic C3 to C10 alkyl group; and
providing a plasma source into the reactor to at least partially react the first and second compounds to form a flowable liquid, wherein the flowable liquid at least partially fills a portion of the surface feature.
23. The method of claim 22 wherein the at least one silacycloalkane precursor is selected from the group consisting of 1 ,3-divinyl-1 ,3-disilacyclobutane, 1 ,3-divinyl-1 ,3- dimethyl-1 ,3-disilacyclobutane, 1 ,1 ,3,3-tetravinyl-1 ,3-disilacyclobutane, 1 ,3,5-trivinyl- 1 ,3,5-trisilacyclohexane, 1 ,3,5-trivinyl-1 ,3,5-trimethyl-1 ,3,5-trisilacyclohexane,
1 ,1 ,3,3,5,5-hexavinyl-1 ,3,5-trisilacyclohexane, 1 ,3-d ia.llyl-1 ,3-disilacyclobutane, 1 ,3- diallyl-1 ,3-dimethyl-1 ,3-disilacyclobutane, 1 ,1 ,3,3-tetraallyl-1 ,3-disilacyclobutane, 1 ,3,5- triallyl-1 ,3,5-trisilacyclohexane, 1 ,3,5-triallyl-1 ,3,5-trimethyl-1 ,3,5-trisilacyclohexane, 1 ,1 ,3,3,5,5-hexaallyl-1 ,3,5-trisilacyclohexane, 1 ,3-diacetylenyl-1 ,3-disilacyclobutane, 1 ,3- diacetylenyl-1 ,3-dimethyl-1 ,3-disilacyclobutane, 1 ,1 ,3,3-tetraacetylenyl-1 ,3- disilacyclobutane, 1 ,3,5-triacetylenyl-1 ,3,5-trisilacyclohexane, 1 ,3,5-triacetylenyl-1 ,3,5- trimethyl-1 ,3,5-trisilacyclohexane, 1 ,1 ,3,3,5,5-hexaacetylenyl-1 ,3,5-trisilacyclohexane 1 ,3-dicyclopropyl-1 ,3-disilacyclobutane, 1 ,3-dicyclopropyl-1 ,3-dimethyl-1 ,3- disilacyclobutane, 1 ,1 ,3,3-tetracyclopropyl-1 ,3-disilacyclobutane
and combinations thereof.
24. The method of claim 22 wherein the deposition process is a plasma enhanced chemical vapor deposition and the plasma is generated in situ.
25. The method of claim 22 wherein the deposition process is a plasma enhanced chemical vapor deposition and the plasma is generated remotely.
26. The method of Claim 22 wherein the deposition process is a plasma enhanced chemical vapor deposition and the plasma comprises dual plasma sources wherein one source is generated in situ and one source is generated remotely.
27. The method of claim 22 wherein the reactor which is at one or more temperatures ranging from -20°C to about 100°C.
28. The method of claim 22 wherein the flowable liquid comprises at least one oligomer.
29. A silicon containing film produced by the method of claim 1
30. A silicon containing film produced by the method of claim 22.
PCT/US2018/050414 2017-09-14 2018-09-11 Compositions and methods for depositing silicon-containing films WO2019055393A1 (en)

Priority Applications (9)

Application Number Priority Date Filing Date Title
CN201880071503.4A CN111295465B (en) 2017-09-14 2018-09-11 Compositions and methods for depositing silicon-containing films
EP18855737.5A EP3682041B1 (en) 2017-09-14 2018-09-11 Methods for depositing silicon-containing films
CN202211551636.6A CN115992345A (en) 2017-09-14 2018-09-11 Composition and method for depositing silicon-containing films
JP2020515256A JP7025534B2 (en) 2017-09-14 2018-09-11 Compositions and Methods for Silicon-Containing Membrane Deposits
KR1020207010524A KR102434249B1 (en) 2017-09-14 2018-09-11 Compositions and methods for depositing silicon-containing films
US16/646,686 US11851756B2 (en) 2017-09-14 2018-09-11 Methods for depositing silicon-containing films
SG11202002265PA SG11202002265PA (en) 2017-09-14 2018-09-11 Compositions and methods for depositing silicon-containing films
IL273146A IL273146A (en) 2017-09-14 2020-03-08 Compositions and methods for depositing silicon-containing films
US18/515,031 US20240093366A1 (en) 2017-09-14 2023-11-20 Compositions and methods for depositing silicon-containing films

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762558621P 2017-09-14 2017-09-14
US62/558,621 2017-09-14

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US16/646,686 A-371-Of-International US11851756B2 (en) 2017-09-14 2018-09-11 Methods for depositing silicon-containing films
US18/515,031 Division US20240093366A1 (en) 2017-09-14 2023-11-20 Compositions and methods for depositing silicon-containing films

Publications (2)

Publication Number Publication Date
WO2019055393A1 true WO2019055393A1 (en) 2019-03-21
WO2019055393A8 WO2019055393A8 (en) 2020-03-26

Family

ID=65723818

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2018/050414 WO2019055393A1 (en) 2017-09-14 2018-09-11 Compositions and methods for depositing silicon-containing films

Country Status (9)

Country Link
US (2) US11851756B2 (en)
EP (1) EP3682041B1 (en)
JP (1) JP7025534B2 (en)
KR (1) KR102434249B1 (en)
CN (2) CN111295465B (en)
IL (1) IL273146A (en)
SG (1) SG11202002265PA (en)
TW (1) TWI707979B (en)
WO (1) WO2019055393A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021016553A1 (en) * 2019-07-25 2021-01-28 Versum Materials Us, Llc Compositions comprising silacycloalkanes and methods using same for deposition of silicon-containing film
WO2021030309A1 (en) * 2019-08-12 2021-02-18 Applied Materials, Inc. Low-k dielectric films

Families Citing this family (222)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
EP3209813B1 (en) * 2014-10-24 2019-03-13 Versum Materials US, LLC Compositions and methods using same for deposition of silicon-containing film
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US20180033614A1 (en) 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (en) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. Devices with clean compact zones
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
JP7329045B2 (en) * 2018-10-04 2023-08-17 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー Compositions for high temperature atomic layer deposition of high quality silicon oxide thin films
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) * 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TW202405220A (en) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
CN111593319B (en) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling recesses formed in a substrate surface
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
JP2020188254A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP7499079B2 (en) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー Plasma device using coaxial waveguide and substrate processing method
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
KR20210042810A (en) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. Reactor system including a gas distribution assembly for use with activated species and method of using same
CN112635282A (en) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 Substrate processing apparatus having connection plate and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (en) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
KR20210050453A (en) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (en) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Gas supply assembly and valve plate assembly
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202200837A (en) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Reaction system for forming thin film on substrate
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
US11371144B2 (en) * 2020-06-10 2022-06-28 Applied Materials, Inc. Low-k films
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202202649A (en) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060165891A1 (en) * 2005-01-21 2006-07-27 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding, semiconductor device containing the same, and method to make the same
WO2006109686A1 (en) * 2005-04-08 2006-10-19 Taiyo Nippon Sanso Corporation Material for insulating film and process for producing the same
WO2017023693A1 (en) * 2015-07-31 2017-02-09 Air Products And Chemicals, Inc. Compositions and methods for depositing silicon nitride films
WO2017095433A1 (en) * 2015-12-04 2017-06-08 Intel Corporation Liquid precursor based dielectrics with control of carbon, oxygen and silicon composition
WO2017147150A1 (en) * 2016-02-26 2017-08-31 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3816392A1 (en) * 1988-05-13 1989-11-23 Ver Glaswerke Gmbh METHOD FOR DETERMINING THE OPTICAL QUALITY OF FLAT GLASS OR FLAT GLASS PRODUCTS
US4923716A (en) * 1988-09-26 1990-05-08 Hughes Aircraft Company Chemical vapor desposition of silicon carbide
US5011706A (en) * 1989-04-12 1991-04-30 Dow Corning Corporation Method of forming coatings containing amorphous silicon carbide
US5190890A (en) * 1990-06-04 1993-03-02 Advanced Technology Materials, Inc. Wafer base for silicon carbide semiconductor devices, incorporating alloy substrates, and method of making the same
US5043773A (en) * 1990-06-04 1991-08-27 Advanced Technology Materials, Inc. Wafer base for silicon carbide semiconductor devices, incorporating alloy substrates
US5053255A (en) * 1990-07-13 1991-10-01 Olin Corporation Chemical vapor deposition (CVD) process for the thermally depositing silicon carbide films onto a substrate
US5061514A (en) * 1990-07-13 1991-10-29 Olin Corporation Chemical vapor deposition (CVD) process for plasma depositing silicon carbide films onto a substrate
US5415126A (en) * 1993-08-16 1995-05-16 Dow Corning Corporation Method of forming crystalline silicon carbide coatings at low temperatures
US6440876B1 (en) * 2000-10-10 2002-08-27 The Boc Group, Inc. Low-K dielectric constant CVD precursors formed of cyclic siloxanes having in-ring SI—O—C, and uses thereof
US6926926B2 (en) * 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
JP4280686B2 (en) * 2004-06-30 2009-06-17 キヤノン株式会社 Processing method
US7754906B2 (en) * 2005-10-07 2010-07-13 Air Products And Chemicals, Inc. Ti, Ta, Hf, Zr and related metal silicon amides for ALD/CVD of metal-silicon nitrides, oxides or oxynitrides
US7989033B2 (en) * 2007-07-12 2011-08-02 Applied Materials, Inc. Silicon precursors to make ultra low-K films with high mechanical properties by plasma enhanced chemical vapor deposition
JP5317089B2 (en) * 2008-01-23 2013-10-16 独立行政法人物質・材料研究機構 Film forming method and insulating film
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US8993460B2 (en) * 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9040127B2 (en) * 2010-09-24 2015-05-26 Applied Materials, Inc. Low temperature silicon carbide deposition process
US20130217239A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-and-carbon-containing layers for semiconductor processing
US8575033B2 (en) * 2011-09-13 2013-11-05 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
US8569184B2 (en) * 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
US8722546B2 (en) * 2012-06-11 2014-05-13 Asm Ip Holding B.V. Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control
US20140023794A1 (en) * 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
WO2014097280A1 (en) * 2012-12-21 2014-06-26 Prasad Narhar Gadgil Methods of low temperature deposition of ceramic thin films
US9343293B2 (en) * 2013-04-04 2016-05-17 Applied Materials, Inc. Flowable silicon—carbon—oxygen layers for semiconductor processing
US9371579B2 (en) * 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US10023958B2 (en) * 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
US9685325B2 (en) * 2014-07-19 2017-06-20 Applied Materials, Inc. Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
WO2017048268A1 (en) * 2015-09-17 2017-03-23 Intel Corporation Gap filling material and process for semiconductor devices
KR102613423B1 (en) * 2015-12-21 2023-12-12 버슘머트리얼즈 유에스, 엘엘씨 Compositions and methods using same for deposition of silicon-containing film
US20160314962A1 (en) * 2016-06-30 2016-10-27 American Air Liquide, Inc. Cyclic organoaminosilane precursors for forming silicon-containing films and methods of using the same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060165891A1 (en) * 2005-01-21 2006-07-27 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding, semiconductor device containing the same, and method to make the same
WO2006109686A1 (en) * 2005-04-08 2006-10-19 Taiyo Nippon Sanso Corporation Material for insulating film and process for producing the same
WO2017023693A1 (en) * 2015-07-31 2017-02-09 Air Products And Chemicals, Inc. Compositions and methods for depositing silicon nitride films
WO2017095433A1 (en) * 2015-12-04 2017-06-08 Intel Corporation Liquid precursor based dielectrics with control of carbon, oxygen and silicon composition
WO2017147150A1 (en) * 2016-02-26 2017-08-31 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP3682041A4 *

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021016553A1 (en) * 2019-07-25 2021-01-28 Versum Materials Us, Llc Compositions comprising silacycloalkanes and methods using same for deposition of silicon-containing film
CN114174555A (en) * 2019-07-25 2022-03-11 弗萨姆材料美国有限责任公司 Compositions comprising silacycloalkanes for deposition of silicon-containing films and methods of use thereof
US20220267642A1 (en) * 2019-07-25 2022-08-25 Versum Materials Us, Llc Compositions comprising silacycloalkanes and methods using same for deposition of silicon-containing film
EP3990676A4 (en) * 2019-07-25 2023-07-05 Versum Materials US, LLC Compositions comprising silacycloalkanes and methods using same for deposition of silicon-containing film
WO2021030309A1 (en) * 2019-08-12 2021-02-18 Applied Materials, Inc. Low-k dielectric films
US11393678B2 (en) 2019-08-12 2022-07-19 Applied Materials, Inc. Low-k dielectric films

Also Published As

Publication number Publication date
EP3682041A1 (en) 2020-07-22
IL273146A (en) 2020-04-30
US20200270749A1 (en) 2020-08-27
KR102434249B1 (en) 2022-08-18
EP3682041A4 (en) 2021-08-04
SG11202002265PA (en) 2020-04-29
TW201920750A (en) 2019-06-01
WO2019055393A8 (en) 2020-03-26
EP3682041B1 (en) 2022-04-20
CN115992345A (en) 2023-04-21
KR20200040932A (en) 2020-04-20
CN111295465B (en) 2022-12-09
CN111295465A (en) 2020-06-16
US11851756B2 (en) 2023-12-26
US20240093366A1 (en) 2024-03-21
JP2020534688A (en) 2020-11-26
JP7025534B2 (en) 2022-02-24
TWI707979B (en) 2020-10-21

Similar Documents

Publication Publication Date Title
EP3682041B1 (en) Methods for depositing silicon-containing films
EP3329032B1 (en) Compositions and methods for depositing silicon nitride films
EP3209814B1 (en) Compositions and methods using same for deposition of silicon-containing films
KR20170018872A (en) Methods for depositing silicon nitride films
WO2020163359A1 (en) Deposition of carbon doped silicon oxide
CN113518834A (en) Compositions for silicon-containing films and methods of use thereof
US20220267642A1 (en) Compositions comprising silacycloalkanes and methods using same for deposition of silicon-containing film

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 18855737

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2020515256

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20207010524

Country of ref document: KR

Kind code of ref document: A

ENP Entry into the national phase

Ref document number: 2018855737

Country of ref document: EP

Effective date: 20200414