WO2019014446A1 - Procédés et appareil pour déposer des couches de nucléation de tungstène - Google Patents

Procédés et appareil pour déposer des couches de nucléation de tungstène Download PDF

Info

Publication number
WO2019014446A1
WO2019014446A1 PCT/US2018/041823 US2018041823W WO2019014446A1 WO 2019014446 A1 WO2019014446 A1 WO 2019014446A1 US 2018041823 W US2018041823 W US 2018041823W WO 2019014446 A1 WO2019014446 A1 WO 2019014446A1
Authority
WO
WIPO (PCT)
Prior art keywords
gas
tungsten
substrate
range
nucleation layer
Prior art date
Application number
PCT/US2018/041823
Other languages
English (en)
Inventor
Kai Wu
Sang Ho Yu
Vikash Banthia
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to JP2020501464A priority Critical patent/JP2020526669A/ja
Priority to KR1020207003797A priority patent/KR20200019766A/ko
Priority to CN201880051546.6A priority patent/CN111149190A/zh
Publication of WO2019014446A1 publication Critical patent/WO2019014446A1/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD

Definitions

  • Embodiments of the disclosure relate methods for depositing low-resistivity tungsten nucleation layers. More particularly, embodiments of the disclosure are directed to methods of depositing tungsten nucleation layers using alkyl borane reducing agents. Additional embodiments of the disclosure relate to apparatus for performing atomic layer deposition of tungsten nucleation layers using alkyl borane reducing agents.
  • tungsten has been widely used in multiple levels in logic and memory devices.
  • CVD chemical vapor deposition
  • This nucleation layer forms from the CVD or atomic layer deposition (ALD) reaction between WF 6 and SiH 4 , or WF 6 and B 2 H 6 .
  • ALD atomic layer deposition
  • nucleation layer thickness is required to be thicker than 20 A for most advanced technology nodes.
  • CMP chemical-mechanical planarization
  • One or more embodiments of this disclosure relate to a method of depositing a tungsten nucleation layer, the method comprising sequentially exposing a substrate to a tungsten precursor and an alkyl borane reducing agent, the tungsten precursor comprising one or more of WX a , where X is a halogen and a is 4 to 6, and the alkyl borane reducing agent comprises at least one compound with the general formula BR 3 , where R is a C1 -C6 alkyl group.
  • Additional embodiments of this disclosure relate to a method of depositing a tungsten nucleation layer, the method comprising sequentially exposing a substrate to a tungsten precursor and an alkyl borane reducing agent consisting essentially of one or more of trimethylborane or triethylborane, the tungsten precursor comprising a compound having the general formula WX a , where X is a halogen and a is 4 to 6.
  • the processing chamber comprises a susceptor assembly to support a plurality of substrates and rotate the plurality of substrate about a central axis.
  • the susceptor assembly has a top surface with a plurality of recesses sized to hold the substrates.
  • the processing chamber includes a gas distribution assembly having a front surface spaced from the top surface of the susceptor assembly to form a gap.
  • the gas distribution assembly includes a plurality of gas ports and vacuum ports to provide a plurality of gas flows into the gap and a plurality of vacuum flows to remove gases from the gap.
  • the plurality of gas ports and vacuum ports are arranged to form a plurality of process regions. Each process region is separated from adjacent process regions by a gas curtain.
  • a controller is coupled to the susceptor assembly and the gas distribution assembly.
  • the controller has one or more configurations. These configurations may include a first configuration to rotate the susceptor assembly about the central axis; a second configuration to provide a flow of a tungsten precursor; a third configuration to provide a flow of an alkyl borane reducing agent; or a fourth configuration to control a temperature of the susceptor assembly within a range of about 200 Q C to about 500 Q C.
  • the tungsten precursor comprises a compound having the general formula WX a , where X is a halogen and a is 4 to 6.
  • the alkyl borane reducing agent comprises at least one compound with the general formula BR 3 , where R is a C1 -C6 alkyl group.
  • FIG. 1 shows a schematic view of a processing platform in accordance with one or more embodiment of the disclosure
  • FIG. 2 shows a cross-sectional view of a batch processing chamber in accordance with one or more embodiment of the disclosure
  • FIG. 3 shows a partial perspective view of a batch processing chamber in accordance with one or more embodiment of the disclosure
  • FIG. 4 shows a schematic view of a batch processing chamber in accordance with one or more embodiment of the disclosure
  • FIG. 5 shows a schematic view of a portion of a wedge shaped gas distribution assembly for use in a batch processing chamber in accordance with one or more embodiment of the disclosure.
  • FIG. 6 shows a schematic view of a batch processing chamber in accordance with one or more embodiment of the disclosure.
  • Embodiments of the disclosure provide methods for depositing tungsten nucleation layers.
  • the process of various embodiments uses an atomic layer deposition (ALD) technique to provide tungsten nucleation layers.
  • a "substrate surface”, as used herein, refers to any portion of a substrate or portion of a material surface formed on a substrate upon which film processing is performed.
  • a substrate surface on which processing can be performed include materials such as silicon, silicon oxide, silicon nitride, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application.
  • Substrates include, without limitation, semiconductor wafers.
  • Substrates may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate, anneal, UV cure, e-beam cure and/or bake the substrate surface.
  • any of the film processing steps disclosed may also be performed on an underlayer formed on the substrate as disclosed in more detail below, and the term "substrate surface" is intended to include such underlayer as the context indicates.
  • Substrates may have various dimensions, such as 200 mm or 300 mm diameter wafers, as well as, rectangular or square panes.
  • the substrate comprises a rigid discrete material.
  • Atomic layer deposition or “cyclical deposition” as used herein refers to a process comprising the sequential exposure of two or more reactive compounds to deposit a layer of material on a substrate surface.
  • reactive compound reactive compound
  • reactive gas reactive species
  • precursor precursor
  • process gas process gas
  • the terms “reactive compound”, “reactive gas”, “reactive species”, “precursor”, “process gas” and the like are used interchangeably to mean a substance with a species capable of reacting with the substrate surface or material on the substrate surface in a surface reaction (e.g., chemisorption, oxidation, reduction, cycloaddition).
  • the substrate, or portion of the substrate is exposed sequentially to the two or more reactive compounds which are introduced into a reaction zone of a processing chamber.
  • a tungsten deposition process advantageously achieves a low resistivity thin film.
  • Some embodiments advantageously provide gapfill films for buried word lines for D1 y in DRAM and word line for 96 pair 3D NAND.
  • Some embodiments advantageously provide nucleation layers with low boron composition.
  • Some embodiments advantageously provide nucleation layers that are less likely to delaminate or peel off.
  • a hydrocarbon boron compound e.g. alkyl boranes such as triethylborane (TEB), trimethylborane (TMB)
  • TEB triethylborane
  • TMB trimethylborane
  • the process temperature is between 200 °C and 500 °C, with pressure between 2 Torr and 100 Torr.
  • the film deposited from this reaction contains very low boron and fluorine.
  • One or more embodiments of this disclosure are directed to methods of depositing a tungsten nucleation layer. The methods comprise sequentially exposing a substrate to a tungsten precursor and an alkyl borane reducing agent.
  • the tungsten precursor can be any suitable tungsten species that can react with the alkyl borane reducing agent.
  • the tungsten precursor comprises one or more of WX a , where X is a halogen and a is 4 to 6.
  • the tungsten precursor comprises one or more of W 2 C o, WCI 6 , WCI 5 , WF 6 , or WCI 4 .
  • WCI5 refers to both the monomeric and dimeric forms of tungsten (V) chloride.
  • the tungsten precursor consists essentially of WCI 5 . In some embodiments, the tungsten precursor consists essentially of WF 6 . As used in this regard, the term "consists essentially of” means that the species in the tungsten precursor is greater than or equal to about 95%, 98% or 99% of the stated species. In some embodiments, the tungsten precursor is co- flowed with an inert, diluent or carrier gas. Suitable inert, diluent or carrier gases include, but are not limited to, argon, helium and nitrogen.
  • the alkyl borane reducing agent comprises at least one compound with the general formula BR 3 , where each R is independently a C1 -C6 alkyl group.
  • each R is independently a C1 -C6 alkyl group.
  • the letter “C” followed by a numeral means that the substituent comprises the specified number of carbon atoms (e.g., C4 comprises four carbon atoms).
  • the substituent alkyl groups can be straight chain groups (e.g. n-butyl), branched groups (e.g. t-butyl) or cyclic groups (e.g. cyclohexyl).
  • the alkyl borane reducing agent comprises substantially no B-H bonds.
  • the alkyl borane reducing agent comprises one or more of trimethylborane, tnethylborane, triisopropyl borane, tritertbutylborane, triisobutylborane or boranes with mixed alkyl groups (e.g., dimethylethylborane).
  • the alkyl borane reducing agent consists essentially of one or more of trimethylborane or tnethylborane. In some embodiments, the alkyl borane reducing agent consists essentially of trimethylborane. In some embodiments, the alkyl borane reducing agent consists essentially of tnethylborane. As used in this regard, the term "consists essentially of" means that the species in the tungsten precursor is greater than or equal to about 95%, 98% or 99% of the stated species. In some embodiments, the tungsten precursor is co-flowed with an inert, diluent or carrier gas. Suitable inert, diluent or carrier gases include, but are not limited to, argon, helium and nitrogen.
  • the substrate is not exposed to diborane (B 2 H 6 ) or silane (SiH 4 ).
  • One or more embodiments of the method provide a tungsten nucleation layer using an atomic layer deposition (ALD) process.
  • ALD atomic layer deposition
  • exposure to each reactive compound is separated by a time delay to allow each compound to adhere and/or react on the substrate surface and then be purged from the processing chamber.
  • the reactive gases are prevented from mixing by the purging of the processing chamber between subsequent exposures.
  • the reactive gases are flowed into different processing regions within a processing chamber.
  • the different processing regions are separated from adjacent processing regions so that the reactive gases do not mix.
  • the substrate can be moved between the processing regions to separately expose the substrate to the processing gases.
  • different portions of the substrate surface, or material on the substrate surface are exposed to the two or more reactive compounds so that any given point on the substrate is substantially not exposed to more than one reactive compound simultaneously.
  • there is a possibility that a small portion of the substrate may be exposed to multiple reactive gases simultaneously due to diffusion of the gases within the processing chamber, and that the simultaneous exposure is unintended, unless otherwise specified.
  • a first reactive gas i.e., a first precursor or compound A
  • a second precursor or compound B is pulsed into the reaction zone followed by a second delay.
  • a purge gas such as argon
  • the purge gas may flow continuously throughout the deposition process so that only the purge gas flows during the time delay between pulses of reactive compounds.
  • the reactive compounds are alternatively pulsed until a predetermined film or film thickness is formed on the substrate surface.
  • the ALD process of pulsing compound A, purge gas, compound B and purge gas is a cycle.
  • a cycle can start with either compound A or compound B and continue the respective order of the cycle until achieving a film with the predetermined thickness.
  • a first reactive gas and second reactive gas are delivered simultaneously to the reaction zone but are separated by an inert gas curtain and/or a vacuum curtain.
  • the gas curtain can be combination of inert gas flows into the processing chamber and vacuum stream flows out of the processing chamber.
  • the substrate is moved relative to the gas delivery apparatus so that any given point on the substrate is exposed to the first reactive gas and the second reactive gas.
  • a "pulse" or "dose” as used herein refers to a quantity of a source gas that is intermittently or non-continuously introduced into the process chamber. The quantity of a particular compound within each pulse may vary over time, depending on the duration of the pulse.
  • a particular process gas may include a single compound or a mixture/combination of two or more compounds.
  • the durations for each pulse/dose are variable and may be adjusted to accommodate, for example, the volume capacity of the processing chamber as well as the capabilities of a vacuum system coupled thereto.
  • the dose time of a process gas may vary according to the flow rate of the process gas, the temperature of the process gas, the type of control valve, the type of process chamber employed, as well as the ability of the components of the process gas to adsorb onto the substrate surface. Dose times may also vary based upon the type of layer being formed and the geometry of the device being formed. A dose time should be long enough to provide a volume of compound sufficient to adsorb/chemisorb onto substantially the entire surface of the substrate and form a layer of a process gas component thereon.
  • Each process gas may be supplied under different parameters than other process gases.
  • a process gas may be provided in one or more pulses or continuously.
  • the flow rate of a process gases can be any suitable flow rate including, but not limited to, flow rates is in the range of about 1 to about 5000 seem, or in the range of about 2 to about 4000 seem, or in the range of about 3 to about 3000 seem or in the range of about 5 to about 2000 seem.
  • the process gasses are supplied at a flow rate in the range of 100 to 1000 seem.
  • a process gas can be provided at any suitable pressure.
  • the process pressure is in the range of about 5 imTorr to about 50 Torr, or in the range of about 100 imTorr to about 40 Torr, or in the range of about 1 Torr to about 35 Torr, or in the range of about 2 Torr to about 30 Torr.
  • the period of time that the substrate is exposed to a process gas may be any suitable amount of time necessary to allow the formation of an adequate nucleation layer or reaction atop the substrate surface.
  • a process gas may be flowed into the process chamber for a period of about 0.1 seconds to about 90 seconds.
  • a process gas is exposed the substrate surface for a time in the range of about 0.1 sec to about 90 sec, or in the range of about 0.5 sec to about 60 sec, or in the range of about 1 sec to about 30 sec, or in the range of about 2 sec to about 25 sec, or in the range of about 3 sec to about 20 sec, or in the range of about 4 sec to about 15 sec, or in the range of about 5 sec to about 10 sec.
  • an inert gas may additionally be provided to the process chamber at the same time as a process gas.
  • the inert gas may be mixed with a process gas (e.g., as a diluent gas) or be provided separately and can be pulsed or of a constant flow.
  • the inert gas is flowed into the processing chamber at a constant flow in the range of about 1 to about 10000 seem.
  • the inert gas may be any inert gas, for example, such as argon, helium, neon, combinations thereof, or the like.
  • the temperature of the substrate during deposition can be controlled, for example, by setting the temperature of the substrate support or susceptor.
  • the substrate is held at a temperature in the range of about 100 Q C to about 600 Q C, or in the range of about 150 Q C to about 550 Q C, or in the range of about 200 Q C to about 500 Q C, or in the range of about 250 Q C to about 450 Q C, or in the range of about 300 Q C to about 400 Q C.
  • the process chamber (especially in time-domain ALD) may be purged using an inert gas.
  • the inert gas may be any inert gas, for example, such as argon, helium, neon, or the like.
  • the inert gas may be the same, or alternatively, may be different from the inert gas provided to the process chamber during the exposure of the substrate to the first process gas.
  • the purge may be performed by diverting the first process gas from the process chamber, allowing the inert gas to flow through the process chamber, purging the process chamber of any excess first process gas components or reaction byproducts.
  • the inert gas may be provided at the same flow rate used in conjunction with the first process gas, described above, or in some embodiments, the flow rate may be increased or decreased.
  • the inert gas may be provided to the process chamber at a flow rate of greater than 0 to about 10000 seem to purge the process chamber.
  • the purge gas is flowed for about 5 sec.
  • purge gas curtains are maintained between the flows of reactive gases and purging the process chamber may not be necessary.
  • the process chamber or region of the process chamber may be purged with an inert gas.
  • the substrate is exposed to a second process gas (e.g., an alkyl borane) for a second period of time.
  • the second process gas may react with the species on the substrate surface to create a deposited film.
  • the second process gas may be supplied to the substrate surface at a flow rate greater than the first process gas. In one or more embodiments, the flow rate is greater than about 1 time that of the first process gas, or about 100 times that of the first process gas, or in the range of about 3000 to 5000 times that of the first process gas.
  • the second process gas can be supplied, in time-domain ALD, for a time in the range of about 1 sec to about 30 sec, or in the range of about 5 sec to about 20 sec, or in the range of about 10 sec to about 15 sec.
  • a process gas can be provided at any suitable pressure.
  • the process pressure is in the range of about 5 imTorr to about 50 Torr, or in the range of about 100 imTorr to about 40 Torr, or in the range of about 1 Torr to about 35 Torr, or in the range of about 2 Torr to about 30 Torr.
  • the process chamber may again be purged using an inert gas.
  • the inert gas may be any inert gas, for example, such as argon, helium, neon, or the like.
  • the inert gas may be the same, or alternatively, may be different from the inert gas provided to the process chamber during previous process steps.
  • the purge may be performed by diverting the second process gas from the process chamber, allowing the inert gas to flow through the process chamber, purging the process chamber of any excess second process gas components or reaction byproducts.
  • the inert gas may be provided at the same flow rate used in conjunction with the second process gas, described above, or in some embodiments, the flow rate may be increased or decreased.
  • the inert gas may be provided to the process chamber at a flow rate of greater than 0 to about 10,000 seem to purge the process chamber. In some embodiments, the purge gas is flowed for about 5 sec.
  • the pulses can be repeated in their entirety or in part.
  • the cycle can be repeated to form a tungsten nucleation layer of a predetermined thickness. In some embodiments, the cycle is repeated to form a tungsten nucleation layer with a thickness in the range of about 5 A to about 40 A, or in the range of about 10 A to about 30 A, or in the range of about 15 A to about 20 A.
  • the method may optionally include further processing (e.g., bulk deposition of a tungsten metal film).
  • the further processing may be a CVD process.
  • a CVD process may be performed to bulk deposit a tungsten metal layer to a target thickness.
  • the tungsten nucleation layer comprises greater than or equal to about 95 atomic percent tungsten. In one or more embodiments, the sum of C, N, O, Si, B and halogen atoms is less than or equal to about 5 atomic percent of the tungsten nucleation layer. [0044] In some embodiments, the tungsten nucleation layer comprises substantially no silicon atoms. In some embodiments, the tungsten nucleation layer comprises substantially no boron atoms. In some embodiments, the tungsten nucleation layer comprises less than or equal to about 10 22 , 10 21 , 10 20 , 10 19 , or 10 18 boron atoms per cm 3 .
  • the tungsten nucleation layer comprises substantially no halogen. In some embodiments, the tungsten precursor is a fluoride and the tungsten nucleation layer comprises substantially no fluorine. In some embodiments, the tungsten precursor comprises fluorine and the tungsten nucleation layer comprises less than or equal to about 10 20 , 10 19 , or 10 18 fluorine atoms per cm 3 .
  • the tungsten nucleation layer formed has a low resistivity.
  • the tungsten nucleation layer has a resistivity of less than or equal to about 140, 130, 125, 120, 1 10, 100, 90, 80 or 70 ⁇ * ⁇ for tungsten nucleation layers with a thickness of about 25 A.
  • FIG. 1 shows a processing platform 100 in accordance with one or more embodiment of the disclosure.
  • the embodiment shown in FIG. 1 is merely representative of one possible configuration and should not be taken as limiting the scope of the disclosure.
  • the processing platform 100 has different numbers of process chambers, buffer chambers and robot configurations.
  • the processing platform 100 includes a central transfer station 1 10 which has a plurality of sides 1 1 1 , 1 12, 1 13, 1 14, 1 15, 1 16.
  • the transfer station 1 10 shown has a first side 1 1 1 , a second side 1 12, a third side 1 13, a fourth side 1 14, a fifth side 1 15 and a sixth side 1 16. Although six sides are shown, those skilled in the art will understand that there can be any suitable number of sides to the transfer station 1 10 depending on, for example, the overall configuration of the processing platform 100.
  • the transfer station 1 10 has a robot 1 17 positioned therein.
  • the robot 1 17 can be any suitable robot capable of moving a wafer during processing.
  • the robot 1 17 has a first arm 1 18 and a second arm 1 19.
  • the first arm 1 18 and second arm 1 19 can be moved independently of the other arm.
  • the first arm 1 18 and second arm 1 19 can move in the x-y plane and/or along the z-axis.
  • the robot 1 17 includes a third arm or a fourth arm (not shown). Each of the arms can move independently of other arms.
  • a batch processing chamber 120 can be connected to a first side 1 1 1 of the central transfer station 1 10.
  • the batch processing chamber 120 can be configured to process x wafers at a time for a batch time.
  • a spatial atomic layer deposition chamber like that shown in FIGS.
  • FIG. 2 shows a cross-section of a processing chamber 200 including a gas distribution assembly 220, also referred to as injectors or an injector assembly, and a susceptor assembly 240.
  • the gas distribution assembly 220 is any type of gas delivery device used in a processing chamber.
  • the gas distribution assembly 220 includes a front surface 221 which faces the susceptor assembly 240.
  • the front surface 221 can have any number or variety of openings to deliver a flow of gases toward the susceptor assembly 240.
  • the gas distribution assembly 220 also includes an outer edge 224 which in the embodiments shown, is substantially round.
  • gas distribution assembly 220 used can vary depending on the particular process being used. Embodiments of the disclosure can be used with any type of processing system where the gap between the susceptor and the gas distribution assembly is controlled. While various types of gas distribution assemblies can be employed (e.g., showerheads), embodiments of the disclosure may be particularly useful with spatial gas distribution assemblies which have a plurality of substantially parallel gas channels. As used in this specification and the appended claims, the term "substantially parallel" means that the elongate axis of the gas channels extend in the same general direction. There can be slight imperfections in the parallelism of the gas channels.
  • the plurality of substantially parallel gas channels can include at least one first reactive gas A channel, at least one second reactive gas B channel, at least one purge gas P channel and/or at least one vacuum V channel.
  • the gases flowing from the first reactive gas A channel(s), the second reactive gas B channel(s) and the purge gas P channel(s) are directed toward the top surface of the wafer. Some of the gas flow moves horizontally across the surface of the wafer and out of the process region through the purge gas P channel(s). A substrate moving from one end of the gas distribution assembly to the other end will be exposed to each of the process gases in turn, forming a layer on the substrate surface.
  • the gas distribution assembly 220 is a rigid stationary body made of a single injector unit. In one or more embodiments, the gas distribution assembly 220 is made up of a plurality of individual sectors (e.g., injector units 222), as shown in FIG. 3. Either a single piece body or a multi-sector body can be used with the various embodiments of the disclosure described.
  • a susceptor assembly 240 is positioned beneath the gas distribution assembly 220.
  • the susceptor assembly 240 includes a top surface 241 and at least one recess 242 in the top surface 241 .
  • the susceptor assembly 240 also has a bottom surface 243 and an edge 244.
  • the recess 242 can be any suitable shape and size depending on the shape and size of the substrates 60 being processed. In the embodiment shown in FIG. 2, the recess 242 has a flat bottom to support the bottom of the wafer; however, the bottom of the recess can vary.
  • the recess has step regions around the outer peripheral edge of the recess which are sized to support the outer peripheral edge of the wafer. The amount of the outer peripheral edge of the wafer that is supported by the steps can vary depending on, for example, the thickness of the wafer and the presence of features already present on the back side of the wafer.
  • the recess 242 in the top surface 241 of the susceptor assembly 240 is sized so that a substrate 60 supported in the recess 242 has a top surface 61 substantially coplanar with the top surface 241 of the susceptor 240.
  • substantially coplanar means that the top surface of the wafer and the top surface of the susceptor assembly are coplanar within ⁇ 0.2 mm.
  • the top surfaces are coplanar within ⁇ 0.5 mm, ⁇ 0.4 mm, ⁇ 0.35 mm, ⁇ 0.30 mm, ⁇ 0.25 mm, ⁇ 0.20 mm, ⁇ 0.15 mm, ⁇ 0.10 mm or ⁇ 0.05 mm.
  • the susceptor assembly 240 of FIG. 2 includes a support post 260 which is capable of lifting, lowering and rotating the susceptor assembly 240.
  • the susceptor assembly may include a heater, or gas lines, or electrical components within the center of the support post 260.
  • the support post 260 may be the primary means of increasing or decreasing the gap between the susceptor assembly 240 and the gas distribution assembly 220, moving the susceptor assembly 240 into proper position.
  • the susceptor assembly 240 may also include fine tuning actuators 262 which can make micro-adjustments to susceptor assembly 240 to create a predetermined gap 270 between the susceptor assembly 240 and the gas distribution assembly 220.
  • the gap 270 distance is in the range of about 0.1 mm to about 5.0 mm, or in the range of about 0.1 mm to about 3.0 mm, or in the range of about 0.1 mm to about 2.0 mm, or in the range of about 0.2 mm to about 1 .8 mm, or in the range of about 0.3 mm to about 1 .7 mm, or in the range of about 0.4 mm to about 1 .6 mm, or in the range of about 0.5 mm to about 1 .5 mm, or in the range of about 0.6 mm to about 1 .4 mm, or in the range of about 0.7 mm to about 1 .3 mm, or in the range of about 0.8 mm to about 1 .2 mm, or in the range of about 0.9 mm to about 1 .1 mm, or about 1 mm.
  • the processing chamber 200 shown in the Figures is a carousel-type chamber in which the susceptor assembly 240 can hold a plurality of substrates 60.
  • the gas distribution assembly 220 may include a plurality of separate injector units 222, each injector unit 222 being capable of depositing a film on the wafer, as the wafer is moved beneath the injector unit.
  • Two pie-shaped injector units 222 are shown positioned on approximately opposite sides of and above the susceptor assembly 240. This number of injector units 222 is shown for illustrative purposes only. It will be understood that more or less injector units 222 can be included.
  • each of the individual pie-shaped injector units 222 may be independently moved, removed and/or replaced without affecting any of the other injector units 222. For example, one segment may be raised to permit a robot to access the region between the susceptor assembly 240 and gas distribution assembly 220 to load/unload substrates 60.
  • Processing chambers having multiple gas injectors can be used to process multiple wafers simultaneously so that the wafers experience the same process flow.
  • the processing chamber 200 has four gas injector assemblies and four substrates 60.
  • the substrates 60 can be positioned between the gas distribution assemblies 220. Rotating 17 the susceptor assembly 240 by 45 Q will result in each substrate 60 which is between gas distribution assemblies 220 to be moved to a gas distribution assembly 220 for film deposition, as illustrated by the dotted circle under the gas distribution assemblies 220. An additional 45 Q rotation would move the substrates 60 away from the gas distribution assemblies 220.
  • the number of substrates 60 and gas distribution assemblies 220 can be the same or different.
  • the number of wafers being processed are fraction of or an integer multiple of the number of gas distribution assemblies. For example, if there are four gas distribution assemblies, there are 4x wafers being processed, where x is an integer value greater than or equal to one.
  • the gas distribution assembly 220 includes eight process regions separated by gas curtains and the susceptor assembly 240 can hold six wafers.
  • the processing chamber 200 shown in FIG. 4 is merely representative of one possible configuration and should not be taken as limiting the scope of the disclosure.
  • the processing chamber 200 includes a plurality of gas distribution assemblies 220.
  • the processing chamber 200 shown is octagonal; however, those skilled in the art will understand that this is one possible shape and should not be taken as limiting the scope of the disclosure.
  • the gas distribution assemblies 220 shown are trapezoidal, but can be a single circular component or made up of a plurality of pie- shaped segments, like that shown in FIG. 3.
  • the embodiment shown in FIG. 4 includes a load lock chamber 280, or an auxiliary chamber like a buffer station.
  • the load lock chamber 280 is connected to a side of the processing chamber 200 to allow, for example the substrates (also referred to as substrates 60) to be loaded/unloaded from the chamber 200.
  • a wafer robot may be positioned in the load lock chamber 280 to move the substrate onto the susceptor.
  • Rotation of the carousel can be continuous or intermittent (discontinuous).
  • the wafers are constantly rotating so that they are exposed to each of the injectors in turn.
  • the wafers can be moved to the injector region and stopped, and then to the region 84 between the injectors and stopped.
  • the carousel can rotate so that the wafers move from an inter-injector region across the injector (or stop adjacent the injector) and on to the next inter-injector region where the carousel can pause again. Pausing between the injectors may provide time for additional processing steps between each layer deposition (e.g., exposure to plasma).
  • FIG. 5 shows a sector or portion of a gas distribution assembly 220, which may be referred to as an injector unit.
  • the injector units 222 can be used individually or in combination with other injector units. For example, as shown in FIG. 6, four of the injector units 222 of FIG. 5 are combined to form a single gas distribution assembly 220. (The lines separating the four injector units are not shown for clarity.) While the injector unit 222 of FIG. 5 has both a first reactive gas port 225 and a second gas port 235 in addition to purge gas ports 255 and vacuum ports 245, an injector unit 222 does not need all of these components.
  • a gas distribution assembly 220 in accordance with one or more embodiment may comprise a plurality of sectors (or injector units 222) with each sector being identical or different.
  • the gas distribution assembly 220 is positioned within the processing chamber and comprises a plurality of elongate gas ports 225, 235, 255 and vacuum ports 245 in a front surface 221 of the gas distribution assembly 220.
  • the plurality of elongate gas ports 225, 235, 255 and vacuum ports 245 extend from an area adjacent the inner peripheral edge 223 toward an area adjacent the outer peripheral edge 224 of the gas distribution assembly 220.
  • the plurality of gas ports shown include a first reactive gas port 225, a second gas port 235, a vacuum port 245 which surrounds each of the first reactive gas ports and the second reactive gas ports and a purge gas port 255.
  • the ports when stating that the ports extend from at least about an inner peripheral region to at least about an outer peripheral region, however, the ports can extend more than just radially from inner to outer regions.
  • the ports can extend tangentially as vacuum port 245 surrounds reactive gas port 225 and reactive gas port 235.
  • the wedge shaped reactive gas ports 225, 235 are surrounded on all edges, including adjacent the inner peripheral region and outer peripheral region, by a vacuum port 245.
  • each portion of the substrate surface is exposed to the various reactive gases.
  • the substrate will be exposed to, or "see", a purge gas port 255, a vacuum port 245, a first reactive gas port 225, a vacuum port 245, a purge gas port 255, a vacuum port 245, a second gas port 235 and a vacuum port 245.
  • a purge gas port 255 a vacuum port 245
  • a vacuum port 245 a vacuum port 245
  • the substrate has been exposed to the first reactive gas 225 and the second reactive gas 235 to form a layer.
  • the injector unit 222 shown makes a quarter circle but could be larger or smaller.
  • the gas distribution assembly 220 shown in FIG. 6 can be considered a combination of four of the injector units 222 of FIG. 3 connected in series.
  • the injector unit 222 of FIG. 5 shows a gas curtain 250 that separates the reactive gases.
  • gas curtain is used to describe any combination of gas flows or vacuum that separate reactive gases from mixing.
  • the gas curtain 250 shown in FIG. 5 comprises the portion of the vacuum port 245 next to the first reactive gas port 225, the purge gas port 255 in the middle and a portion of the vacuum port 245 next to the second gas port 235. This combination of gas flow and vacuum can be used to prevent or minimize gas phase reactions of the first reactive gas and the second reactive gas.
  • the combination of gas flows and vacuum from the gas distribution assembly 220 form a separation into a plurality of process regions 350.
  • the process regions are roughly defined around the individual gas ports 225, 235 with the gas curtain 250 between 350.
  • the embodiment shown in FIG. 6 makes up eight separate process regions 350 with eight separate gas curtains 250 between.
  • a processing chamber can have at least two process regions. In some embodiments, there are at least three, four, five, six, seven, eight, nine, 10, 1 1 or 12 process regions.
  • a substrate may be exposed to more than one process region 350 at any given time. However, the portions that are exposed to the different process regions will have a gas curtain separating the two. For example, if the leading edge of a substrate enters a process region including the second gas port 235, a middle portion of the substrate will be under a gas curtain 250 and the trailing edge of the substrate will be in a process region including the first reactive gas port 225.
  • a factory interface shown as load lock chamber 280 in FIG. 4
  • a substrate 60 is shown superimposed over the gas distribution assembly 220 to provide a frame of reference.
  • the substrate 60 may often sit on a susceptor assembly to be held near the front surface 221 of the gas distribution plate 220.
  • the substrate 60 is loaded via the factory interface (e.g., load lock chamber 280) into the processing chamber 200 onto a substrate support or susceptor assembly (see FIG. 4).
  • the substrate 60 can be shown positioned within a process region because the substrate is located adjacent the first reactive gas port 225 and between two gas curtains 250a, 250b. Rotating the substrate 60 along path 227 will move the substrate counter- clockwise around the processing chamber 200. Thus, the substrate 60 will be exposed to the first process region 350a through the eighth process region 350h, including all process regions between.
  • Some embodiments of the disclosure are directed to a processing chamber 200 with a plurality of process regions 350a-350h with each process region separated from an adjacent region by a gas curtain 250.
  • the processing chamber shown in FIG. 6 The number of gas curtains and process regions within the processing chamber can be any suitable number depending on the arrangement of gas flows.
  • the embodiment shown in FIG. 6 has eight gas curtains 250 and eight process regions 350a-350h.
  • the processing platform 100 includes a treatment chamber 140 connected to a second side 1 12 of the central transfer station 1 10.
  • the treatment chamber 140 of some embodiments is configured to expose the wafers to a process to treat the wafers before and/or after processing in first batch processing chamber 120.
  • the treatment chamber 140 of some embodiments comprises an annealing chamber.
  • the annealing chamber can be a furnace annealing chamber or a rapid thermal annealing chamber, or a different chamber configured to hold a wafer at a predetermined temperature and pressure and provide a flow of gas to the chamber.
  • the processing platform further comprises a second batch processing chamber 130 connected to a third side 1 13 of the central transfer station 1 10.
  • the second batch processing chamber 130 can be configured similarly to the batch processing chamber 120, or can be configured to perform a different process or to process different numbers of substrates.
  • the second batch processing chamber 130 can be the same as the first batch processing chamber 120 or different.
  • the first batch processing chamber 120 and the second batch processing chamber 130 are configured to perform the same process with the same number of wafers in the same batch time so that x (the number of wafers in the first batch processing chamber 120) and y (the number of wafers in the second batch processing chamber 130) are the same and the first batch time and second batch time (of the second batch processing chamber 130) are the same.
  • the first batch processing chamber 120 and the second batch processing chamber 130 are configured to have one or more of different numbers of wafers (x not equal to y), different batch times, or both.
  • the processing platform 100 includes a second treatment chamber 150 connected to a fourth side 1 14 of the central transfer station 1 10.
  • the second treatment chamber 150 can be the same as the treatment chamber 140 or different.
  • the processing platform 100 can include a controller 195 connected to the robot 1 17 (the connection is not shown).
  • the controller 195 can be configured to move wafers between the treatment chamber 140 and the first batch processing chamber 120 with a first arm 1 18 of the robot 1 17.
  • the controller 195 is also configured to move wafers between the second treatment chamber 150 and the second batch processing chamber 130 with a second arm 1 19 of the robot 1 17.
  • a controller 295 is connected to the batch processing chamber 200.
  • the controller 195 (in FIG. 1 ) can be the same controller used for the processing platform 100 or a separate controller 295 (in FIG. 2) interfaced with the controller 195.
  • a second controller 295 may be included to control the ALD process in a batch processing chamber 200.
  • the processing platform 100 can also include a first buffer station 151 connected to a fifth side 1 15 of the central transfer station 1 10 and/or a second buffer station 152 connected to a sixth side 1 16 of the central transfer station 1 10.
  • the first buffer station 151 and second buffer station 152 can perform the same or different functions.
  • the buffer stations may hold a cassette of wafers which are processed and returned to the original cassette, or the first buffer station 151 may hold unprocessed wafers which are moved to the second buffer station 152 after processing.
  • one or more of the buffer stations are configured to pre-treat, pre-heat or clean the wafers before and/or after processing.
  • the controller 195 is configured to move wafers between the first buffer station 151 and one or more of the treatment chamber 140 and the first batch processing chamber 120 using the first arm 1 18 of the robot 1 17. In some embodiments, the controller 195 is configured to move wafers between the second buffer station 152 and one or more of the second treatment chamber 150 or the second batch processing chamber 130 using the second arm 1 19 of the robot 1 17.
  • the processing platform 100 may also include one or more slit valves 160 between the central transfer station 1 10 and any of the processing chambers.
  • the slit valves 160 can open and close to isolate the environment within the processing chamber from the environment within the central transfer station 1 10. For example, if the processing chamber will generate plasma during processing, it may be helpful to close the slit valve for that processing chamber to prevent stray plasma from damaging the robot in the transfer station.
  • each of the processing chambers may further include a plurality of access doors 170 on sides of the processing chambers.
  • the access doors 170 allow manual access to the processing chamber without removing the processing chamber from the central transfer station 1 10.
  • each side of each of the processing chamber, except the side connected to the transfer station have an access door 170. The inclusion of so many access doors 170 can complicate the construction of the processing chambers employed because the hardware within the chambers would need to be configured to be accessible through the doors.
  • the processing platform of some embodiments includes a water box 180 connected to the transfer station 1 10.
  • the water box 180 can be configured to provide a coolant to any or all of the processing chambers. Although referred to as a "water" box, those skilled in the art will understand that any coolant can be used.
  • the size of the processing platform 100 allows for the connection to house power through a single power connector 190.
  • the single power connector 190 attaches to the processing platform 100 to provide power to each of the processing chambers and the central transfer station 1 10.
  • the processing platform 100 can be connected to a factory interface 102 to allow wafers or cassettes of wafers to be loaded into the processing platform 100.
  • a robot 103 within the factory interface 102 can be moved the wafers or cassettes into and out of the buffer stations 151 , 152.
  • the wafers or cassettes can be moved within the processing platform 100 by the robot 1 17 in the central transfer station 1 10.
  • the factory interface 102 is a transfer station of another cluster tool.
  • the processing platform 100 or batch processing chamber 120 is connected to a controller.
  • the controller can be the same controller 195 or a different controller 295 (as shown in FIG. 2).
  • the controller 295 includes central processing unit (CPU) 296, memory 297, and support circuits 298.
  • Central processing unit 296 may be one of any form of computer processor that can be used in an industrial setting for controlling various chambers and sub-processors.
  • Memory 297 is coupled to CPU 296 and may be one or more of readily available memory such as random access memory (RAM), read only memory (ROM), flash memory, compact disc, floppy disk, hard disk, or any other form of local or remote digital storage.
  • Support circuits 298 are coupled to CPU 296 for supporting CPU 296 in a conventional manner. These circuits may include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like.
  • the controller 295 includes a non-transitory computer-readable medium containing computer code that, when executed by operation of one or more computer processors, performs an operation for controlling deposition processes in the chamber.
  • the computer code can include instruction sets for the processor to enable the processor to, inter alia, control the heaters (e.g., power, temperature and position), heat shields, susceptor assembly rotation and/or lift, valves, motors, actuators and/or the gas distribution assembly including gas flows.
  • the computer program code of some embodiments includes data models defining acceptable levels within the chamber for each of a plurality of gas types.
  • the computer program code can include models or look-up tables to determine heater power settings for temperature control.
  • the computer program code includes models to determine position of one or more heat shields based on temperature feedback circuits.
  • Processes may generally be stored in the memory as a software routine that, when executed by the processor, causes the process chamber to perform processes of the present disclosure.
  • the software routine may also be stored and/or executed by a second processor (not shown) that is remotely located from the hardware being controlled by the processor. Some or all of the method of the present disclosure may also be performed in hardware.
  • the process may be implemented in software and executed using a computer system, in hardware as, e.g., an application specific integrated circuit or other type of hardware implementation, or as a combination of software and hardware.
  • the software routine when executed by the processor, transforms the general purpose computer into a specific purpose computer (controller) that controls the chamber operation such that the processes are performed.
  • the controller 295 can be coupled to the susceptor assembly 240 and the gas distribution assembly 220 of the batch processing chamber 200 and has one or more configurations.
  • the configurations can include, but are not limited to, a first configuration to rotate the susceptor assembly about the central axis, a second configuration to provide a flow of a tungsten precursor comprising a compound having the general formula WX a , where X is a halogen and a is 4 to 6, a third configuration to provide a flow of an alkyl borane reducing agent comprising at least one compound with the general formula BR 3 , where R is a C1 -C6 alkyl group or a fourth configuration to control a temperature of the susceptor assembly within a range of about 200 Q C to about 500 Q C.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

La présente invention concerne des procédés de dépôt de couches de nucléation de tungstène à faible résistivité à l'aide d'agents réducteurs de type alkylborane. Les agents réducteurs de type alkylborane utilisés comprennent des composés de formule générale BR3, où R représente un groupement alkyle en C1-C6. La présente invention concerne également un appareil destiné à effectuer un dépôt par couche atomique de couches de nucléation de tungstène à l'aide d'agents réducteurs de type alkylborane.
PCT/US2018/041823 2017-07-13 2018-07-12 Procédés et appareil pour déposer des couches de nucléation de tungstène WO2019014446A1 (fr)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2020501464A JP2020526669A (ja) 2017-07-13 2018-07-12 タングステン核形成層を堆積させるための方法及び装置
KR1020207003797A KR20200019766A (ko) 2017-07-13 2018-07-12 텅스텐 핵 생성 층들을 증착하기 위한 방법들 및 장치
CN201880051546.6A CN111149190A (zh) 2017-07-13 2018-07-12 用于沉积钨成核层的方法及设备

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762532143P 2017-07-13 2017-07-13
US62/532,143 2017-07-13

Publications (1)

Publication Number Publication Date
WO2019014446A1 true WO2019014446A1 (fr) 2019-01-17

Family

ID=64998714

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2018/041823 WO2019014446A1 (fr) 2017-07-13 2018-07-12 Procédés et appareil pour déposer des couches de nucléation de tungstène

Country Status (6)

Country Link
US (1) US20190017165A1 (fr)
JP (1) JP2020526669A (fr)
KR (1) KR20200019766A (fr)
CN (1) CN111149190A (fr)
TW (1) TW201908511A (fr)
WO (1) WO2019014446A1 (fr)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210111017A (ko) * 2020-03-02 2021-09-10 주식회사 원익아이피에스 기판 처리 방법 및 이를 이용하여 제조된 반도체 소자

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090004848A1 (en) * 2007-06-28 2009-01-01 Choon Hwan Kim Method for fabricating interconnection in semiconductor device
US20090053893A1 (en) * 2005-01-19 2009-02-26 Amit Khandelwal Atomic layer deposition of tungsten materials
US20130302980A1 (en) * 2009-08-04 2013-11-14 Anand Chandrashekar Tungsten feature fill
KR101656890B1 (ko) * 2008-02-27 2016-09-12 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 원자 층 증착(ald) 공정을 사용하는 기재 위 티타늄-함유 층 제조 방법
US20170191159A1 (en) * 2016-01-01 2017-07-06 Applied Materials, Inc. Non-Metallic Thermal CVD/ALD Gas Injector And Purge System

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) * 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
TWI493058B (zh) * 2007-05-15 2015-07-21 Applied Materials Inc 鎢材料的原子層沈積法
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US9230815B2 (en) * 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US9514933B2 (en) * 2014-01-05 2016-12-06 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
KR102397797B1 (ko) * 2015-05-27 2022-05-12 램 리써치 코포레이션 순차적인 cvd 프로세스에 의한 저 불소 텅스텐의 증착

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090053893A1 (en) * 2005-01-19 2009-02-26 Amit Khandelwal Atomic layer deposition of tungsten materials
US20090004848A1 (en) * 2007-06-28 2009-01-01 Choon Hwan Kim Method for fabricating interconnection in semiconductor device
KR101656890B1 (ko) * 2008-02-27 2016-09-12 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 원자 층 증착(ald) 공정을 사용하는 기재 위 티타늄-함유 층 제조 방법
US20130302980A1 (en) * 2009-08-04 2013-11-14 Anand Chandrashekar Tungsten feature fill
US20170191159A1 (en) * 2016-01-01 2017-07-06 Applied Materials, Inc. Non-Metallic Thermal CVD/ALD Gas Injector And Purge System

Also Published As

Publication number Publication date
JP2020526669A (ja) 2020-08-31
KR20200019766A (ko) 2020-02-24
TW201908511A (zh) 2019-03-01
US20190017165A1 (en) 2019-01-17
CN111149190A (zh) 2020-05-12

Similar Documents

Publication Publication Date Title
US20220059362A1 (en) Self-Aligned Double Patterning With Spatial Atomic Layer Deposition
US11821083B2 (en) Gas separation control in spatial atomic layer deposition
US11066743B2 (en) Selective atomic layer deposition of ruthenium
US20210043448A1 (en) Method and Apparatus for Selective Deposition of Dielectric Films
US12000044B2 (en) Catalyzed deposition of metal films
US9443716B2 (en) Precise critical dimension control using bilayer ALD
US20150147889A1 (en) Tilted Plate For Batch Processing And Methods Of Use
US20170114453A1 (en) Deposition Of Conformal And Gap-Fill Amorphous Silicon Thin-Films
TWI791508B (zh) 用於沉積低介電常數膜的方法與設備
US10854428B2 (en) Spatial atomic layer deposition chamber with plasma pulsing to prevent charge damage
US20190017165A1 (en) Methods And Apparatus For Depositing Tungsten Nucleation Layers
US20200392624A1 (en) Methods and apparatus for depositing yttrium-containing films
TW201900920A (zh) 有機及混合有機無機層的選擇性分子層沉積
US11359281B2 (en) Selective deposition of SiCON by plasma ALD
WO2023177413A1 (fr) Précurseurs organométalliques exempts d'oxygène non halogénure pour dépôt ald/cvd de métallisation

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 18831356

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2020501464

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20207003797

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 18831356

Country of ref document: EP

Kind code of ref document: A1