WO2018236356A1 - Transistors à effet de champ ferroélectriques (fefet) ayant des canaux semi-conducteurs composés - Google Patents

Transistors à effet de champ ferroélectriques (fefet) ayant des canaux semi-conducteurs composés Download PDF

Info

Publication number
WO2018236356A1
WO2018236356A1 PCT/US2017/038382 US2017038382W WO2018236356A1 WO 2018236356 A1 WO2018236356 A1 WO 2018236356A1 US 2017038382 W US2017038382 W US 2017038382W WO 2018236356 A1 WO2018236356 A1 WO 2018236356A1
Authority
WO
WIPO (PCT)
Prior art keywords
integrated circuit
circuit structure
group iii
gate electrode
layer
Prior art date
Application number
PCT/US2017/038382
Other languages
English (en)
Inventor
Prashant Majhi
Brian S. Doyle
Elijah V. KARPOV
Original Assignee
Intel Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corporation filed Critical Intel Corporation
Priority to PCT/US2017/038382 priority Critical patent/WO2018236356A1/fr
Publication of WO2018236356A1 publication Critical patent/WO2018236356A1/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66469Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with one- or zero-dimensional channel, e.g. quantum wire field-effect transistors, in-plane gate transistors [IPG], single electron transistors [SET], Coulomb blockade transistors, striped channel transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66522Unipolar field-effect transistors with an insulated gate, i.e. MISFET with an active layer made of a group 13/15 material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78681Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising AIIIBV or AIIBVI or AIVBVI semiconductor materials, or Se or Te
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • H10B61/20Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors
    • H10B61/22Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors of the field-effect transistor [FET] type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds

Definitions

  • Embodiments of the disclosure are in the field of integrated circuit structures and, in particular, ferroelectric field effect transistors (FeFETs) having compound semiconductor channels.
  • FeFETs ferroelectric field effect transistors
  • shrinking transistor size allows for the incorporation of an increased number of memory or logic devices on a chip, lending to the fabrication of products with increased capacity.
  • the necessity to optimize the performance of each device becomes increasingly significant.
  • multi-gate transistors such as tri-gate transistors
  • tri-gate transistors have become more prevalent as device dimensions continue to scale down.
  • tri-gate transistors are generally fabricated on either bulk silicon substrates or silicon-on-insulator substrates. In some instances, bulk silicon substrates are preferred due to their lower cost and compatibility with the existing high-yielding bulk silicon substrate infrastructure.
  • Scaling multi- gate transistors has not been without consequence, however. As the dimensions of these fundamental building blocks of microelectronic circuitry are reduced and as the sheer number of fundamental building blocks fabricated in a given region is increased, the constraints on the semiconductor processes used to fabricate these building blocks have become overwhelming.
  • the performance of a thin-film transistor may depend on a number of factors. For example, the efficiency at which a TFT is able to operate may depend on the sub threshold swing of the TFT, characterizing the amount of change in the gate-source voltage needed to achieve a given change in the drain current. A smaller sub threshold swing enables the TFT to turn off to a lower leakage value when the gate-source voltage drops below the threshold voltage of the TFT.
  • FIGS 1A and IB illustrate cross-sectional views of two different states of a ferroelectric field effect transistor (FeFET), in accordance with an embodiment of the present disclosure.
  • FeFET ferroelectric field effect transistor
  • FIGS 2A and 2B illustrate cross-sectional views of two different state-of-the-art ferroelectric field effect transistors (FeFETs).
  • FIGS 3A and 3B illustrate a cross-sectional views and corresponding material arrangement of a ferroelectric field effect transistor (FeFET), in accordance with an embodiment of the present disclosure.
  • FeFET ferroelectric field effect transistor
  • FIGS 4A-4F illustrate cross-sectional views of various stages in a method of fabricating a ferroelectric field effect transistor (FeFET), in accordance with an embodiment of the present disclosure.
  • FeFET ferroelectric field effect transistor
  • FIGS 5A, 5B and 5C illustrate angled and direct cross-sectional views of a ferroelectric field effect transistor (FeFET), in accordance with an embodiment of the present disclosure.
  • FeFET ferroelectric field effect transistor
  • FIGS. 6A, 6B and 6C illustrate angled and direct cross-sectional views of a ferroelectric field effect transistor (FeFET), in accordance with an embodiment of the present disclosure.
  • FeFET ferroelectric field effect transistor
  • Figure 7 illustrates a cross-sectional view of a random access memory (RAM) element coupled to a drain side of a FeFET transistor selector, in accordance with an embodiment of the present disclosure.
  • RAM random access memory
  • Figure 8 illustrates a schematic of a memory bit cell which includes a RAM memory element, in accordance with an embodiment of the present disclosure.
  • Figure 9 illustrates a block diagram of an electronic system, in accordance with an embodiment of the present disclosure.
  • FIGS. 10A and 10B are top views of a wafer and dies that include one or more ferroelectric field effect transistors (FeFETs), in accordance with one or more of the
  • FIG 11 is a cross-sectional side view of an integrated circuit (IC) device that may include one or more ferroelectric field effect transistors (FeFETs), in accordance with one or more of the embodiments disclosed herein.
  • IC integrated circuit
  • FeFETs ferroelectric field effect transistors
  • Figure 12 is a cross-sectional side view of an integrated circuit (IC) device assembly that may include one or more ferroelectric field effect transistors (FeFETs), in accordance with one or more of the embodiments disclosed herein.
  • IC integrated circuit
  • FeFETs ferroelectric field effect transistors
  • Figure 13 illustrates a computing device in accordance with one implementation of an embodiment of the disclosure. DESCRIPTION OF THE EMBODIMENTS
  • Ferroelectric field effect transistors having compound semiconductor channels are described.
  • numerous specific details are set forth, such as specific material and structural regimes, in order to provide a thorough understanding of embodiments of the present disclosure. It will be apparent to one skilled in the art that embodiments of the present disclosure may be practiced without these specific details. In other instances, well-known features, such as single or dual damascene processing, are not described in detail in order to not unnecessarily obscure embodiments of the present disclosure.
  • the various embodiments shown in the Figures are illustrative representations and are not necessarily drawn to scale. In some cases, various operations will be described as multiple discrete operations, in turn, in a manner that is most helpful in
  • Embodiments described herein may be directed to front-end-of-line (FEOL)
  • FOL front-end-of-line
  • FEOL is the first portion of integrated circuit (IC) fabrication where the individual devices (e.g., transistors, capacitors, resistors, etc.) are patterned in the semiconductor substrate or layer. FEOL generally covers everything up to (but not including) the deposition of metal interconnect layers. Following the last FEOL operation, the result is typically a wafer with isolated transistors (e.g., without any wires).
  • IC integrated circuit
  • Embodiments described herein may be directed to back end of line (BEOL)
  • BEOL is the second portion of IC fabrication where the individual devices (e.g., transistors, capacitors, resistors, etc.) are interconnected with wiring on the wafer, e.g., the metallization layer or layers.
  • BEOL includes contacts, insulating layers (dielectrics), metal levels, and bonding sites for chip-to-package connections.
  • contacts pads
  • interconnect wires vias and dielectric structures are formed.
  • more than 10 metal layers may be added in the BEOL.
  • Embodiments described below may be applicable to FEOL processing and structures, BEOL processing and structures, or both FEOL and BEOL processing and structures.
  • an exemplary processing scheme may be illustrated using a FEOL processing scenario, such approaches may also be applicable to BEOL processing.
  • an exemplary processing scheme may be illustrated using a BEOL processing scenario, such approaches may also be applicable to FEOL processing.
  • Embodiments described herein are directed to ferroelectric field effect transistors.
  • Embodiments may be directed to bulk substrate or single crystalline channels for ferroelectric field effect transistors.
  • Embodiments may be directed to polycrystalline or amorphous channels for ferroelectric field effect transistors.
  • Embodiments may be directed to BEOL thin film ferroelectric field effect transistors.
  • Embodiments may include or pertain to one or more of back end transistors, compound semiconductor channel -based high performance FeFET for, e.g., embedded non-volatile memory (eNVM).
  • eNVM embedded non-volatile memory
  • an FeFET described herein can be used as a stand-alone two-state memory.
  • an FeFET described herein is used as a select transistor for, e.g., non-volatile memory.
  • FeFETs based on a compound channel material have a planar geometry or a non-planar geometry.
  • Non-planar transistor geometries can include but are not limited to FIN-FET, tri-gate, or gate-all-around (GAA) nanowires.
  • GAA gate-all-around
  • architectures may exhibit an increase in gate control, stability, and performance of thin film transistors.
  • Applications of such FeFETs may include, but are not limited to, back end (BEOL) logic, memory, or analog applications.
  • BEOL back end
  • Figures 1 A and IB illustrate cross-sectional views of two different states of a ferroelectric field effect transistor (FeFET), in accordance with an embodiment of the present disclosure.
  • FeFET ferroelectric field effect transistor
  • an integrated circuit structure 100 includes a semiconductor channel layer 104 above a substrate 102.
  • the semiconductor channel layer 104 is composed of a Group III-V material.
  • a ferroelectric oxide material 106 is above the semiconductor channel layer 104.
  • a gate electrode 108 is on the ferroelectric oxide material 106.
  • the gate electrode 108 has a first side and a second side opposite the first side.
  • a first source/drain region 110 is at the first side of the gate electrode 108, and a second source/drain region 112 is at the second side of the gate electrode 108.
  • Id is high, and a state "1" is read.
  • FIG. IB the integrated circuit structure of Figure 1A is shown as integrated circuit structure 150, where Id is low, and a state "0" is read.
  • a positive or negative gate voltage (Vg) is applied to switch polarization (P) of the ferroelectric layer downward (layer 106 of Figure 1A) or upward (layer 106' of Figure IB), respectively, as is depicted in Figures 1A and IB.
  • the Group III-V material of the semiconductor channel layer 104 is a single crystalline Group III-V material.
  • the Group III-V material of the semiconductor channel layer 104 is an amorphous or a polycrystalline Group III-V material.
  • the Group III-V material of the semiconductor channel layer 104 is selected from the group consisting of indium arsenide (InAs) and indium antimonide (InSb).
  • the Group III-V material of the semiconductor channel layer 104 is an InGaAs material.
  • the ferroelectric oxide material 106/106' is selected from the group consisting of lead zirconate titanate (PZT), strontium bismuth tantalum oxide (SBT), and lanthanum-doped lead zirconium titanate (PLZT).
  • the ferroelectric oxide material 106/106' includes hafnium and oxygen.
  • a hafnium oxide material having a structural geometry that provides for a switchable polarization direction is used as the ferroelectric oxide material 106/106'.
  • the ferroelectric oxide material 106/106' is a hafnium zirconium oxide material.
  • the integrated circuit structures of Figures 1A and IB further include an insulator material layer 114 between and in contact with the ferroelectric oxide material 106/106' and the semiconductor channel layer 104, as is depicted.
  • the insulator material layer 114 is composed of a dielectric material such as, but not limited to, silicon dioxide, silicon oxy-nitride.
  • the ferroelectric oxide material 106/106' is directly on the semiconductor channel layer 104.
  • the integrated circuit structure 100/150 is a two-state memory cell, as described above.
  • the integrated circuit structure 100/150 further includes a non-volatile memory cell coupled to the second source/drain region 1 12, examples of which are provided below.
  • the non-volatile memory cell is selected from the group consisting of a spin torque transfer random access memory (STTRAM) cell, a resistive random access memory (RRAM) cell, and a conductive bridge random access memory (CBRAM) cell, examples of which are also provided below.
  • STTRAM spin torque transfer random access memory
  • RRAM resistive random access memory
  • CBRAM conductive bridge random access memory
  • One or more embodiments described herein provide an architecture for one-transistor (1 - T) ferroelectric FET based embedded non-volatile memory.
  • 1 - T ferroelectric FET based embedded non-volatile memory.
  • current state-of- art IT FeFET memory cells based on silicon channel material are described for comparative purposes.
  • Figures 2A and 2B illustrate cross-sectional views of two different state- of-the-art ferroelectric field effect transistors (FeFETs).
  • an integrated circuit structure 200 includes a silicon layer 204 above a substrate 202.
  • a PZT or SBT material 206 is on the silicon layer 204.
  • a gate electrode 208 is on the PZT or SBT material 206.
  • the gate electrode 208 has a first side and a second side opposite the first side.
  • a first source/drain region or source/drain contact 210 is at the first side of the gate electrode 208, and a second source/drain region or source/drain contact 212 is at the second side of the gate electrode 208.
  • Drawbacks of the integrated circuit structure 200 may include high leakage due to little or no band offset, leading to low read currents.
  • an integrated circuit structure 250 includes a silicon layer 204 above a substrate 202.
  • a ferroelectric hafnium oxide material 256 is on the silicon layer 204.
  • a gate electrode 208 is on the ferroelectric hafnium oxide material 256.
  • the gate electrode 208 has a first side and a second side opposite the first side.
  • a first source/drain region or source/drain contact 210 is at the first side of the gate electrode 208, and a second source/drain region or source/drain contact 212 is at the second side of the gate electrode 208.
  • Drawbacks of the integrated circuit structure 200 may include high voltage due to high coercive field, leading to low read currents. Referring to both Figures 2A and 2B, despite engineering different FeFET stacks on silicon (Si), it may be very challenging to achieve low-voltage (low-V), low leakage, and high speed all at the same time.
  • a material stack involving group III-V channel materials in conjunction with ferroelectric thin films provide all of low-V, low leakage and high speed performance.
  • Figures 3A and 3B illustrate a cross-sectional views and corresponding material arrangement of a ferroelectric field effect transistor (FeFET), in accordance with an embodiment of the present disclosure.
  • an integrated circuit structure 300 includes a group III-V channel material 304 on or above a substrate 302.
  • a ferroelectric material 306 is on the group III-V channel material 304.
  • a gate electrode 308 is on the ferroelectric material 306.
  • the gate electrode 308 has a first side and a second side opposite the first side.
  • a first source/drain region or source/drain contact 310 is at the first side of the gate electrode 308, and a second source/drain region or source/drain contact 312 is at the second side of the gate electrode 308.
  • the arrangement of the group III-V channel material 304, the ferroelectric material 306, and a gate electrode 308 provides greater than approximately 0.5 eV band offset between the ferroelectric material 306 and the group III-V channel material 304.
  • the III-V channel material suited to eNVM e.g., voltage is matched with the core of a chip.
  • Band engineering may be tuned to create a buried channel FET, where a combination of workfunction of gate metal and channel energetics is used to control critical transistor characteristics.
  • a suitable large band offset to control leakage
  • a low coercive field for low Vcc application
  • a high channel mobility high read speed
  • Figures 4A-4F illustrate cross-sectional views of various stages in a method of fabricating a ferroelectric field effect transistor (FeFET), in accordance with an embodiment of the present disclosure.
  • FeFET ferroelectric field effect transistor
  • an insulating layer 420 is formed above a group III-V material layer 404 on or above a substrate 402.
  • openings 422 are formed in the insulating layer 420 to provide first-patterned insulating layer 424.
  • the openings 422 are filled with a semiconductor material to form first source/drain region 410 and second source/drain region 412, or are filled with a metal or metal alloy to form first source/drain contact 410 and second source/drain contact 412.
  • an opening 426 is formed in the first-patterned insulating layer
  • a ferroelectric material 406 is formed in the opening 426.
  • the ferroelectric material 406 is formed directly on the group III-V material layer
  • the ferroelectric material 406 is formed on an insulating layer first formed on the group III-V material layer 404. In either case, in an embodiment, the ferroelectric material 406 is further formed along the sidewalls of the opening
  • a gate electrode 408 is formed on the ferroelectric material 406.
  • the gate electrode 408 is a metal gate electrode.
  • FIG. 5A, 5B and 5C illustrate angled and direct cross-sectional views of a ferroelectric field effect transistor (FeFET), in accordance with an embodiment of the present disclosure.
  • FeFET ferroelectric field effect transistor
  • an integrated circuit structure 500 includes a semiconductor fin 504 on or above a substrate 502.
  • the semiconductor fin 504 is on an insulating layer 503 above a substrate 502.
  • the semiconductor fin 504 has a top and sidewalls, and is composed of a Group III-V material.
  • a ferroelectric oxide material 506 is above the top and laterally adjacent to the sidewalls of the semiconductor fin 504.
  • a gate electrode 508 is on the ferroelectric oxide material 506 above the top and laterally adj acent to the sidewalls of the semiconductor fin 504.
  • the gate electrode 508 has a first side and a second side opposite the first side.
  • a first source/drain region or source/drain contact 510 is at the first side of the gate electrode 508, and a second source/drain region or source/drain contact 512 is at the second side of the gate electrode 508.
  • the ferroelectric oxide material 506 is selected from the group consisting of lead zirconate titanate (PZT), strontium bismuth tantalum oxide (SBT), and lanthanum-doped lead zirconium titanate (PLZT).
  • the ferroelectric oxide material 506 includes hafnium and oxygen.
  • a hafnium oxide material having a structural geometry that provides for a switchable polarization direction is used as the ferroelectric oxide material 506.
  • the ferroelectric oxide material 506 is a hafnium zirconium oxide material.
  • the integrated circuit structure 500 further includes an insulator material layer 514 between and in contact with the ferroelectric oxide material 506 and the semiconductor fin 504, as is depicted.
  • the insulator material layer 514 is composed of a dielectric material such as, but not limited to, silicon dioxide, silicon oxy- nitride.
  • the ferroelectric oxide material 506 is directly on the semiconductor fin 504.
  • the integrated circuit structure 500 is a two-state memory cell, as described above.
  • the integrated circuit structure 500 further includes a non-volatile memory cell coupled to the second source/drain region 512, examples of which are provided below.
  • the non-volatile memory cell is selected from the group consisting of a spin torque transfer random access memory (STTRAM) cell, a resistive random access memory (RRAM) cell, and a conductive bridge random access memory
  • the integrated circuit structure 500 further includes a first dielectric spacer (left 520) between the first source/drain region or source/drain contact 510 and the first side of the gate electrode 508.
  • a second dielectric spacer (right 520) is between the second source/drain region or source/drain contact 612 and the second side of the gate electrode 508, as is depicted in Figure 5.
  • the ferroelectric oxide material 506 is further along the first and second dielectric spacers 520, as is also depicted in Figure 5.
  • Figures 6A, 6B and 6C illustrate angled and direct cross-sectional views of a ferroelectric field effect transistor (FeFET), in accordance with an embodiment of the present disclosure.
  • FeFET ferroelectric field effect transistor
  • an integrated circuit structure 600 includes a semiconductor nanowire 604 above a substrate 602.
  • the semiconductor nanowire 604 is above an insulating layer 603 above the substrate 602.
  • the semiconductor nanowire 604 has a top, a bottom and sidewalls, and is composed of a Group III-V material.
  • a ferroelectric oxide material 606 is above the top, below the bottom, and laterally adjacent to the sidewalls of the semiconductor nanowire 604.
  • a gate electrode 608 is on the ferroelectric oxide material 606 above the top, below the bottom and laterally adjacent to the sidewalls of the semiconductor nanowire 604.
  • the gate electrode 608 has a first side and a second side opposite the first side.
  • a first source/drain region or source/drain contact 610 is at the first side of the gate electrode 608, and a second source/drain region or source/drain contact 612 is at the second side of the gate electrode 608.
  • the ferroelectric oxide material 606 is selected from the group consisting of lead zirconate titanate (PZT), strontium bismuth tantalum oxide (SBT), and lanthanum-doped lead zirconium titanate (PLZT).
  • the ferroelectric oxide material 606 includes hafnium and oxygen.
  • a hafnium oxide material having a structural geometry that provides for a switchable polarization direction is used as the ferroelectric oxide material 606.
  • the ferroelectric oxide material 606 is a hafnium zirconium oxide material.
  • the integrated circuit structure 600 further includes an insulator material layer 614 between and in contact with the ferroelectric oxide material 606 and the semiconductor nanowire 604, as is depicted.
  • the insulator material layer 614 is composed of a dielectric material such as, but not limited to, silicon dioxide, silicon oxy-nitride.
  • the ferroelectric oxide material 606 is directly on the semiconductor nanowire 604.
  • the integrated circuit structure 600 is a two-state memory cell, as described above.
  • the integrated circuit structure 600 further includes a non-volatile memory cell coupled to the second source/drain region 612, examples of which are provided below.
  • the non-volatile memory cell is selected from the group consisting of a spin torque transfer random access memory (STTRAM) cell, a resistive random access memory (RRAM) cell, and a conductive bridge random access memory
  • the integrated circuit structure 600 further includes a first dielectric spacer (left 620) between the first source/drain region or source/drain contact 610 and the first side of the gate electrode 608.
  • a second dielectric spacer (right 620) is between the second source/drain region or source/drain contact 612 and the second side of the gate electrode 608, as is depicted in Figure 6.
  • the ferroelectric oxide material 606 is further along the first and second dielectric spacers 620, as is also depicted in Figure 6.
  • the semiconductor nanowire 604 is sized as a wire or a ribbon, and may have squared-off or rounder corners.
  • the semiconductor nanowire 604 has a wire geometry having square-like or, if corner-rounded, circular geometry in cross-sectional view.
  • the semiconductor nanowire 604 has a ribbon geometry having rectangular-like or, if corner-rounded, oval-like in cross-section profile.
  • the dimensions of the semiconductor nanowire 604, from a cross-sectional perspective are on the nano-scale. For example, in a specific embodiment, the smallest dimension of the semiconductor nanowire 604 is less than approximately 20 nanometers.
  • an underlying semiconductor substrate represents a general workpiece object used to manufacture integrated circuits.
  • the semiconductor substrate often includes a wafer or other piece of silicon or another semiconductor material. Suitable semiconductor substrates include, but are not limited to, single crystal silicon, polycrystalline silicon and silicon on insulator (SOI), as well as similar substrates formed of other semiconductor materials.
  • the semiconductor substrate depending on the stage of manufacture, often includes transistors, integrated circuitry, and the like.
  • the substrate may also include semiconductor materials, metals, dielectrics, dopants, and other materials commonly found in semiconductor substrates.
  • structures described herein may be fabricated on underlying lower level back end of line (BEOL) interconnect layers.
  • BEOL back end of line
  • the insulator layer 503 or 603 may be composed of a material suitable to ultimately electrically isolate, or contribute to the isolation of, portions of a gate structure from an underlying bulk substrate or interconnect layer.
  • the insulator layer 503 or 603 is composed of a dielectric material such as, but not limited to, silicon dioxide, silicon oxy-nitride, silicon nitride, or carbon- doped silicon nitride.
  • the insulator layer 503 or 603 is a low-k dielectric layer of an underlying BEOL layer.
  • gate electrode 108, 308, 408, 508 or 608 includes at least one P-type work function metal or N-type work function metal, depending on whether the integrated circuit device 100/150, 300, 500 or 600 is to be included in a P-type transistor or an N-type transistor.
  • metals that may be used for the gate electrode 108, 308, 408, 508 or 608 may include, but are not limited to, ruthenium, palladium, platinum, cobalt, nickel, and conductive metal oxides (e.g., ruthenium oxide).
  • metals that may be used for the gate electrode 108, 308, 408, 508 or 608 include, but are not limited to, hafnium, zirconium, titanium, tantalum, aluminum, alloys of these metals, and carbides of these metals (e.g., hafnium carbide, zirconium carbide, titanium carbide, tantalum carbide, and aluminum carbide).
  • the gate electrode includes a stack of two or more metal layers, where one or more metal layers are work function metal layers and at least one metal layer is a fill metal layer. Further metal layers may be included for other purposes, such as to act as a barrier layer.
  • the gate electrode 108, 308, 408, 508 or 608 may consist of a "U"-shaped structure that includes a bottom portion substantially parallel to the surface of the substrate and two sidewall portions that are substantially perpendicular to the top surface of the substrate.
  • at least one of the metal layers that form the gate electrode may simply be a planar layer that is substantially parallel to the top surface of the substrate and does not include sidewall portions substantially perpendicular to the top surface of the substrate.
  • the gate electrode may consist of a combination of U-shaped structures and planar, non-U-shaped structures.
  • the gate electrode may consist of one or more U-shaped metal layers formed atop one or more planar, non-U-shaped layers.
  • an insulator material layer 114, 514 or 614 may consist of a "U"-shaped structure that includes a bottom portion substantially parallel to the surface of the substrate and two sidewall portions that are substantially perpendicular to the top surface of the substrate.
  • the insulator material layer 1 14, 514 or 614 is formed only along the corresponding channel region or structure, such as is depicted in Figures 1A, IB, 5 and 6.
  • Source/drain regions 1 10/112, 310/312, 410/412, 510/512 or 610/612 may be formed within the corresponding group III-V material layer or structure.
  • source/drain regions 1 10/112, 310/312, 410/412, 510/512 or 610/612 are formed using either an implantation/diffusion process or an etching/deposition process.
  • dopants may be ion-implanted into the group III-V material layer or structure .
  • An annealing process that activates the dopants and causes them to diffuse further into the group III-V material layer or structure typically follows the ion implantation process.
  • the group III-V material layer or structure may first be etched to form recesses at the locations of the source and drain regions.
  • An epitaxial deposition process may then be carried out to fill the recesses with material that is used to fabricate the source and drain regions.
  • the regions 1 10/112, 310/312, 410/412, 510/512 or 610/612 are referred to as source/drain regions contacts.
  • one or more layers of metal and/or metal alloys are used to form the source and drain regions as conductive contacts on portions of the corresponding group III-V material layer or structure.
  • such source/drain conductive contacts are composed of aluminum or an aluminum-containing alloy.
  • dielectric spacers 520 or 620 are formed from a material such as silicon nitride, silicon oxide, silicon carbide, silicon nitride doped with carbon, and silicon oxynitride. Processes for forming sidewall spacers are well known in the art and generally include deposition and etching process operations. In some embodiments, a plurality of spacer pairs is used. For example, two pairs, three pairs, or four pairs of sidewall spacers may be formed on opposing sides of the gate electrode 108, 308, 508 or 608.
  • integrated circuit device 100/150, 300, 500 or 600 is included in an embedded non-volatile memory (eNVM) for 10 nanometer or smaller technology nodes and/or for system-on-chip (SoC) applications.
  • integrated circuit device 100/150, 300, 500 or 600 is a low coercive field FeFET fabricated in a thin film, such as a thin group III-V channel material formed in a BEOL layer.
  • Embodiments described herein may be implemented to provide a high performance and highly scaled eNVM cell.
  • one or more embodiments of the present disclosure are directed to methods for integrating RAM memory arrays into a logic processor, such as spin torque transfer random access memory (STTRAM) arrays, resistive random access memory (RRAM) arrays, or conductive bridge random access memory (CBRAM) memory arrays.
  • a logic processor such as spin torque transfer random access memory (STTRAM) arrays, resistive random access memory (RRAM) arrays, or conductive bridge random access memory (CBRAM) memory arrays.
  • Particular embodiments may be suitable for fabricating embedded non-volatile memory (e-NVM).
  • Approaches described herein may provide a fabrication pathway for high performance RAM cells and increase the potential of using scaled RAM cells for future e-NVM needs, such as for integration in system on chip (SoC) products.
  • SoC system on chip
  • a conductive interconnect of an associated RAM element stack is coupled to a drain region of an underlying select FeFET transistor disposed on or above a substrate.
  • Figure 6 illustrates a cross-sectional view of a random access memory (RAM) element coupled to a drain side of a FeFET transistor selector, in accordance with an embodiment of the present disclosure.
  • RAM random access memory
  • a memory structure 700 includes an FeFET transistor 702 disposed in or above a Group III-V material region 704 on or above a semiconductor substrate 706.
  • the FeFET transistor 702 includes a material stack 708 with source/drain regions 710 on either side of the material stack 708 and, in one embodiment, in Group III-V material region 704.
  • the material stack 708 includes a ferroelectric material layer with one or more (two shown) gate electrode layers thereon. Although not depicted, the material stack 708 may also include an insulating material between a ferroelectric material and the Group III-V material region 704.
  • the source/drain region 710 on the left-hand side of Figure 7 is a source region
  • the source/drain region 710 on the right-hand side of Figure 7 is a drain region.
  • An RAM element 770 is coupled to the drain region of the FeFET transistor 702, but not to the source region of the FeFET transistor 702. The arrangement enables driving of the RAM element 770 by the drain side only.
  • the RAM element 770 and portions of the FeFET transistor 702 may be included in an inter-layer dielectric (ILD) layer or structure 750, as is depicted in Figure 7.
  • ILD inter-layer dielectric
  • FeFET transistor 702 may be a planar transistor or a non-planar transistor including, but not limited to, a FinFET transistor, a double-gate transistor, a tri-gate transistor, or a wrap-around or all-around gate transistor such as a nanoribbon or nanowire transistor.
  • the RAM element 770 of Figure 7 includes a lower electrode 772, a switching layer (or stack of layers including a switching layer) 712, and a top contact 726, which may be laterally surrounded by an insulating material 714, as is depicted in Figure 7.
  • the RAM element 770 is, in an embodiment, included as an interrupting feature along a conductive drain contact 730, as is depicted.
  • a corresponding gate contact 734 and a source contact 732 are not coupled to, or interrupted by the RAM element 770, as is depicted in Figure 7.
  • the RAM element 770 is shown generically along the drain contact 730 without a lateral reference, the actual layer in which the RAM element 770 is included may be viewed as an interconnect layer (e.g., Ml, M2, M3, M4, etc.) corresponding to a logic region in another area of the substrate 706.
  • interconnect layer e.g., Ml, M2, M3, M4, etc.
  • FeFET transistor 702 may be included in a BEOL layer, either above or below the RAM element 770.
  • additional interconnect layer(s) may be formed on top of the structure 700 shown in Figure 7, e.g., using standard dual damascene process techniques that are well-known in the art.
  • the RAM element 770 is a spin torque transfer random access memory
  • the switching layer (or stack of layers including a switching layer) 712 is a stack of layers referred to as a magnetic tunnel junction (MTJ).
  • the MTJ includes a fixed magnetic layer, a tunneling barrier layer, and a free magnetic layer.
  • the MTJ may utilize a phenomenon known as tunneling magnetoresistance (TMR).
  • TMR tunneling magnetoresistance
  • the MTJ can be switched between two states of electrical resistance, one state having a low resistance and one state with a high resistance.
  • MTJs with magnetic electrodes having a perpendicular (out of plane of substrate) magnetic easy axis have a potential for realizing higher density memory than in-plane variants, and may be referred to a pMTJ.
  • the MTJ is a perpendicular system, where spins of the magnetic layers are perpendicular to the plane of the material layers (e.g., the magnetic easy axis is in the z-direction out of the plane of substrate).
  • the fixed magnetic layer of the STTRAM bit cell or element is composed of a material or stack of materials suitable for maintaining a fixed magnetization direction while a free magnetic material layer is magnetically softer (e.g., magnetization can easily rotate to parallel and antiparallel state with respect to fixed layer).
  • the fixed magnetic layer is composed of a material or stack of materials suitable for maintaining a fixed majority spin.
  • the fixed magnetic layer may be referred to as a ferromagnetic layer.
  • the fixed magnetic layer is composed of a single layer of cobalt iron boron (CoFeB).
  • the fixed magnetic layer is composed of a cobalt iron boron (CoFeB) layer, ruthenium (Ru) layer, cobalt iron boron (CoFeB) layer stack.
  • a synthetic antiferromagnet (SAF) is disposed on or adjacent the fixed magnetic layer.
  • the dielectric or tunneling layer of the STTRAM bit cell or element is composed of a material suitable for allowing current of a majority spin to pass through the layer, while impeding at least to some extent current of a minority spin to pass through the layer.
  • the dielectric or tunneling layer (or spin filter layer) may be referred to as a tunneling layer.
  • the dielectric layer is composed of a material such as, but not limited to, magnesium oxide (MgO) or aluminum oxide (AI2O3).
  • the dielectric layer has a thickness of approximately 1 nanometer.
  • the free magnetic layer of the STTRAM bit cell or element is composed of a material suitable for transitioning between a majority spin and a minority spin, depending on the application.
  • the free magnetic layer (or memory layer) may be referred to as a ferromagnetic memory layer.
  • the free magnetic layer is composed of a layer of cobalt iron (CoFe) or cobalt iron boron (CoFeB).
  • the MTJ functions essentially as a resistor, where the resistance of an electrical path through the MTJ may exist in two resistive states, either "high” or "low,” depending on the direction or orientation of magnetization in the free magnetic layer and in the fixed magnetic layer.
  • the spin direction is of minority in the free magnetic layer
  • a high resistive state exists, where direction of magnetization in the free magnetic layer and the fixed magnetic layer are substantially opposed or anti-parallel with one another.
  • a low resistive state exists, where the direction of magnetization in the free magnetic layer and the fixed magnetic layer is substantially aligned or parallel with one another.
  • the terms "low” and “high” with regard to the resistive state of the MTJ are relative to one another.
  • the high resistive state is merely a detectibly higher resistance than the low resistive state, and vice versa.
  • the low and high resistive states can represent different bits of information (i.e. a "0" or a "1").
  • the MTJ may store a single bit of information ("0" or "1") by its state of magnetization.
  • the information stored in the MTJ is sensed by driving a current through the MTJ.
  • the free magnetic layer does not require power to retain its magnetic orientations. As such, the state of the MTJ is preserved when power to the device is removed. Therefore, a memory bit cell 570 is, in an embodiment, non-volatile.
  • each bit of data is stored in a separate magnetic tunnel junction (MTJ).
  • MTJ magnetic tunnel junction
  • sensing circuitry measures the resistance of the MTJ.
  • the RAM element 770 is a resistive random access memory (RRAM) bit cell or element.
  • RRAM resistive random access memory
  • the switching layer 712 is an anionic-based conductive oxide layer.
  • one electrode (lower electrode 772 or top contact 726) in a memory element including the anionic-based conductive oxide layer 712 is a noble metal based electrode, while the other electrode (top contact 726 or lower electrode 772, respectively) is a transition metal for which some of the lower valence oxides are conductive (e.g., to act as an oxygen reservoir). That is, when oxygen atoms migrate to the transition metal oxide, the resulting interfacial transition metal oxide formed remains conductive.
  • Suitable transition metals which form conductive oxides include but are not limited to, W, V, Cr, or Ir.
  • suitable noble metals include, but are not limited to Pd or Pt.
  • one or both of the bottom electrode 772 and/or the top contact 726 is fabricated from an electro-chromic material.
  • one or both of the bottom electrode 772 and/or the top contact 726 is fabricated from a second, different conductive oxide material.
  • examples of suitable conductive oxides for switching layer 712 include, but are not limited to HfO x or TaO x .
  • the conductive oxide layer is composed of a material with two or more metal elements (e.g., as contrasted to common RRAM memories using one metal such as found in binary oxides, such as HfO x or TaO x ).
  • the switching layer 712 includes a material such as, but not limited to, ITO (In203- x Sn02- x ), ⁇ ⁇ 2 ⁇ 3- ⁇ , sub-stoichiometric yttria doped zirconia (Y203- x Zr02- x ), or Lai- x Sr x Gai- y Mg y 03-x-o.5( X +y).
  • ITO In203- x Sn02- x
  • Y203- x Zr02- x sub-stoichiometric yttria doped zirconia
  • Lai- x Sr x Gai- y Mg y 03-x-o.5( X +y the metals used are from adj acent columns of the periodic table.
  • Suitable such conductive oxides include, but are not limited to: Y and Zr in Y2O3- x Zr02- x , In and Sn in In203- x Sn02- x , or Sr and La in Lai- x Sr x Gai- y Mg y 03. Such materials may be viewed as compositions selected to have aliovalent substitution to significantly increase the number of oxygen vacancies.
  • the RAM element 770 is a conductive bridge random access memory (CBRAM) bit cell or element.
  • CBRAM may be viewed as a specific type of resistive random access memory (RRAM).
  • RRAM resistive random access memory
  • a filament may be formed based on metallic migration into an electrolyte material which is the switching layer of the CBRAM device.
  • a filament may be created based on oxygen vacancies.
  • the resistance switching layer 712 is composed of a solid electrolyte material.
  • An electrolyte or solid electrolyte refers to solid electrolyte material which is a solid substance that receives ions, provides ions, or can transport ions.
  • the solid electrolyte material is a chalcogenide material.
  • the resistance switching layer 712 is composed of a metal oxide, such as hafnium oxide.
  • the lower electrode 772 (or, alternatively, the top contact 726) is an active electrode layer.
  • the active electrode layer may be a source of cations for filament formation or resistance change in the switching layer 712.
  • the active electrode layer includes a metal species such as, but not limited to, copper, silver, nickel, or lithium.
  • the top nano-contact 726 (or, alternatively, the lower electrode 772 in the case that the top contact 726 is an active electrode) is a passive electrode layer.
  • the passive electrode layer may not be a source of cations for filament formation or resistance change in the switching layer 712.
  • the passive electrode layer includes a metal species such as, but not limited to, tungsten or platinum.
  • a metal nitride such as a titanium nitride or a tantalum nitride layer, is used as the material for the passive electrode layer.
  • the passive electrode layer is composed of a noble metal such as, but not limited to Pd or Pt.
  • integrating memory directly onto a microprocessor chip may be advantageous since it enables higher operation speeds compared to having physically separate logic and memory chips.
  • traditional charge-based memory technologies such as DRAM and NAND Flash are now facing severe scalability issues related to increasingly precise charge placement and sensing requirements.
  • embedding charge-based memory directly onto a high performance logic chip is not very attractive for future technology nodes.
  • a memory technology that does have the potential to scale to much smaller geometries compared to traditional charge-based memories includes one of STTRAM, RRAM, or CBRAM, since it relies on magnetic state or on resistivity rather than charge as the information carrier.
  • Embodiments of the present disclosure include such structures and fabrication processes. Embodiments described may be advantageous for processing schemes involving the fabrication of logic processors with embedded memory arrays.
  • FIG. 8 illustrates a schematic of a memory bit cell 800 which includes a random access memory (RAM) memory element 770, in accordance with an embodiment of the present disclosure.
  • RAM random access memory
  • Such an RAM memory element may be suitable for manufacture on a substrate in common with logic regions of the substrate.
  • the RAM memory element 770 includes the lower electrode 772 with the switching layer 712 (or stack of layers including a switching layer) above the lower electrode 772.
  • a top contact 726 is above the switching layer 712 (or stack of layers including a switching layer), and may be laterally surrounded by the insulating material 714.
  • the RAM element 770 may include the material layers described in association with RAM element 770 described in association with Figure 7.
  • the RAM memory element 770 is an STTRAM element, an RRAM element, or a CBRAM element.
  • the top contact 726 may be electrically connected to a bit line 832.
  • the lower electrode 772 may be coupled with a FeFET integrated circuit structure 834, such as FeFET integrated circuit structure 100/150, 300, 500 or 600.
  • the FeFET integrated circuit structure 834 may be coupled with a wordline 836 and a source line 838 in a manner that will be appreciated by those skilled in the art.
  • the memory bit cell 800 may further include additional read and write circuitry (not shown), a sense amplifier (not shown), a bit line reference (not shown), and the like, as will be appreciated by those skilled in the art, for the operation of the memory bit cell 800.
  • a plurality of the memory bit cells 800 may be operably connected to one another to form a memory array, where the memory array can be incorporated into a non-volatile memory region of a substrate in common with a logic region.
  • the FeFET integrated circuit structure 834 may be connected to the top contact 726 or the lower electrode 772, although only the latter is shown.
  • bit line 832 may be connected to the lower electrode 772 or the top contact 726, although only the latter is shown.
  • Embodiments disclosed herein may be used to manufacture a wide variety of different types of integrated circuits and/or microelectronic devices. Examples of such integrated circuits include, but are not limited to, processors, chipset components, graphics processors, digital signal processors, micro-controllers, and the like. In other embodiments, semiconductor memory may be manufactured. Moreover, the integrated circuits or other microelectronic devices may be used in a wide variety of electronic devices known in the arts. For example, in computer systems (e.g., desktop, laptop, server), cellular phones, personal electronics, etc. The integrated circuits may be coupled with a bus and other components in the systems. For example, a processor may be coupled by one or more buses to a memory, a chipset, etc. Each of the processor, the memory, and the chipset, may potentially be manufactured using the approaches disclosed herein.
  • FIG. 9 illustrates a block diagram of an electronic system 900, in accordance with an embodiment of the present disclosure.
  • the electronic system 900 can correspond to, for example, a portable system, a computer system, a process control system, or any other system that utilizes a processor and an associated memory.
  • the electronic system 900 may include a microprocessor 902 (having a processor 904 and control unit 906), a memory device 908, and an input/output device 910 (it is to be appreciated that the electronic system 900 may have a plurality of processors, control units, memory device units and/or input/output devices in various embodiments).
  • the electronic system 900 has a set of instructions that define operations which are to be performed on data by the processor 904, as well as, other transactions between the processor 904, the memory device 908, and the input/output device 910.
  • the control unit 906 coordinates the operations of the processor 904, the memory device 908 and the input/output device 910 by cycling through a set of operations that cause instructions to be retrieved from the memory device 908 and executed.
  • the memory device 908 can include a nonvolatile memory cell as described in the present description.
  • the memory device 908 is embedded in the microprocessor 902, as depicted in Figure 9.
  • the processor 904, or another component of electronic system 900 includes one or more FeFETs, such as those described herein.
  • FIGS. 10A and 10B are top views of a wafer and dies that include one or more ferroelectric field effect transistors (FeFETs), in accordance with one or more of the embodiments disclosed herein.
  • FeFETs ferroelectric field effect transistors
  • a wafer 1000 may be composed of semiconductor material and may include one or more dies 1002 having integrated circuit (IC) structures formed on a surface of the wafer 1000.
  • IC integrated circuit
  • Each of the dies 1002 may be a repeating unit of a
  • the wafer 1000 may undergo a singulation process in which each of the dies 1002 is separated from one another to provide discrete "chips" of the semiconductor product.
  • devices that include FeFETs as disclosed herein may take the form of the wafer 1000 (e.g., not singulated) or the form of the die 1002 (e.g., singulated).
  • the die 1002 may include one or more FeFET transistors and/or supporting circuitry to route electrical signals to the transistors, as well as any other IC components.
  • the wafer 1000 or the die 1002 may include a memory device (e.g., a static random access memory (SRAM) device), a logic device (e.g., an AND, OR, NAND, or NOR gate), or any other suitable circuit element. Multiple ones of these devices may be combined on a single die 1002.
  • a memory array formed by multiple memory devices may be formed on a same die 1002 as a processing device or other logic that is configured to store information in the memory devices or execute instructions stored in the memory array.
  • FIG 11 is a cross-sectional side view of an integrated circuit (IC) device that may include one or more ferroelectric field effect transistors (FeFETs), in accordance with one or more of the embodiments disclosed herein.
  • IC integrated circuit
  • FeFETs ferroelectric field effect transistors
  • an IC device 1 100 is formed on a substrate 1102 (e.g., the wafer 1000 of Figure 10A) and may be included in a die (e.g., the die 1002 of Figure 10B), which may be singulated or included in a wafer.
  • a substrate 1102 e.g., the wafer 1000 of Figure 10A
  • a die e.g., the die 1002 of Figure 10B
  • any material that may serve as a foundation for an IC device 1100 may be used.
  • the IC device 1100 may include one or more device layers, such as device layer 1104, disposed on the substrate 1102.
  • the device layer 1104 may include features of one or more transistors 1140 (e.g., FeFETs described above) formed on or above the substrate 1 102.
  • the device layer 1104 may include, for example, one or more source and/or drain (S/D) regions 1 120, a gate 1122 to control current flow in the transistors 1140 between the S/D regions 1 120, and one or more S/D contacts 1124 to route electrical signals to/from the S/D regions 1 120.
  • the transistors 1140 may include additional features not depicted for the sake of clarity, such as device isolation regions, gate contacts, and the like.
  • the transistors 1 140 are not limited to the type and configuration depicted in Figure 11 and may include a wide variety of other types and configurations such as, for example, planar transistors, non-planar transistors, or a combination of both.
  • Non-planar transistors may include Fin-based transistors, such as double-gate transistors or tri-gate transistors, and wrap-around or all-around gate transistors, such as nanoribbon and nanowire transistors.
  • one or more of the transistors 1 140 take the form of the transistors 100, 150, 300, 500 or 600.
  • FeFETs such as 100, 150, 300, 500 or 600 may be particularly advantageous when used in the metal layers of a microprocessor device for analog circuitry, logic circuitry, or memory circuitry, and may be formed along with existing
  • CMOS complementary metal oxide semiconductor
  • Electrical signals such as power and/or input/output (I/O) signals, may be routed to and/or from the transistors 1140 of the device layer 1104 through one or more interconnect layers disposed on the device layer 1 104 (illustrated in Figure 1 1 as interconnect layers 1106-11 10).
  • interconnect layers 1106-11 10 electrically conductive features of the device layer 1104 (e.g., the gate 1122 and the S/D contacts 1124) may be electrically coupled with the interconnect structures 1128 of the interconnect layers 1106-1 110.
  • the one or more interconnect layers 1106-1 110 may form an interlayer dielectric (ILD) stack 1 119 of the IC device 1 100.
  • ILD interlayer dielectric
  • the interconnect structures 1128 may be arranged within the interconnect layers 1106- 11 10 to route electrical signals according to a wide variety of designs (in particular, the arrangement is not limited to the particular configuration of interconnect structures 1 128 depicted in Figure 11). Although a particular number of interconnect layers 1106-1 110 is depicted in Figure 1 1, embodiments of the present disclosure include IC devices having more or fewer interconnect layers than depicted.
  • the interconnect structures 1128 may include trench structures 1128a (sometimes referred to as "lines") and/or via structures 1 128b filled with an electrically conductive material such as a metal.
  • the trench structures 1128a may be arranged to route electrical signals in a direction of a plane that is substantially parallel with a surface of the substrate 1102 upon which the device layer 1104 is formed.
  • the trench structures 1128a may route electrical signals in a direction in and out of the page from the perspective of Figure 1 1.
  • the via structures 1128b may be arranged to route electrical signals in a direction of a plane that is substantially perpendicular to the surface of the substrate 1102 upon which the device layer 1104 is formed.
  • the via structures 1 128b may electrically couple trench structures 1128a of different interconnect layers 1 106-11 10 together.
  • the interconnect layers 1106-1 110 may include a dielectric material 1126 disposed between the interconnect structures 1128, as shown in Figure 11.
  • the dielectric material 1126 disposed between the interconnect structures 1128 in different ones of the interconnect layers 1106-11 10 may have different compositions; in other embodiments, the composition of the dielectric material 1 126 between different interconnect layers 1106-11 10 may be the same. In either case, such dielectric materials may be referred to as inter-layer dielectric (ILD) materials.
  • ILD inter-layer dielectric
  • a first interconnect layer 1106 (referred to as Metal 1 or "Ml”) may be formed directly on the device layer 1104.
  • the first interconnect layer 1 106 may include trench structures 1 128a and/or via structures 1128b, as shown.
  • the trench structures 1 128a of the first interconnect layer 1 106 may be coupled with contacts (e.g., the S/D contacts 1124) of the device layer 1 104.
  • a second interconnect layer 1 108 (referred to as Metal 2 or "M2") may be formed directly on the first interconnect layer 1106.
  • the second interconnect layer 1108 may include via structures 1 128b to couple the trench structures 1 128a of the second interconnect layer 1 108 with the trench structures 1 128a of the first interconnect layer 1106.
  • the trench structures 1 128a and the via structures 1128b are structurally delineated with a line within each interconnect layer (e.g., within the second interconnect layer 1 108) for the sake of clarity, the trench structures 1 128a and the via structures 1128b may be structurally and/or materially contiguous (e.g., simultaneously filled during a dual-damascene process) in some embodiments.
  • a third interconnect layer 11 10 (referred to as Metal 3 or "M3") (and additional interconnect layers, as desired) may be formed in succession on the second interconnect layer 1108 according to similar techniques and configurations described in connection with the second interconnect layer 1 108 or the first interconnect layer 1 106.
  • M3 Metal 3
  • the IC device 1100 may include a solder resist material 1 134 (e.g., polyimide or similar material) and one or more bond pads 1136 formed on the interconnect layers 1106-1 110.
  • the bond pads 1136 may be electrically coupled with the interconnect structures 1 128 and configured to route the electrical signals of the transistor(s) 1 140 to other external devices.
  • solder bonds may be formed on the one or more bond pads 1 136 to mechanically and/or electrically couple a chip including the IC device 1100 with another component (e.g., a circuit board).
  • the IC device 1 100 may have other alternative configurations to route the electrical signals from the interconnect layers 1 106-11 10 than depicted in other embodiments.
  • the bond pads 1136 may be replaced by or may further include other analogous features (e.g., posts) that route the electrical signals to external components.
  • Figure 12 is a cross-sectional side view of an integrated circuit (IC) device assembly that may include one or more ferroelectric field effect transistors (FeFETs), in accordance with one or more of the embodiments disclosed herein.
  • IC integrated circuit
  • FeFETs ferroelectric field effect transistors
  • an IC device assembly 1200 includes components having one or more integrated circuit structures described herein.
  • the IC device assembly 1200 includes a number of components disposed on a circuit board 1202 (which may be, e.g., a motherboard).
  • the IC device assembly 1200 includes components disposed on a first face 1240 of the circuit board 1202 and an opposing second face 1242 of the circuit board 1202.
  • components may be disposed on one or both faces 1240 and 1242.
  • any suitable ones of the components of the IC device assembly 700 may include a number of the FeFET integrated circuit structures 100/150, 300, 500 or 600 disclosed herein.
  • the circuit board 1202 may be a printed circuit board (PCB) including multiple metal layers separated from one another by layers of dielectric material and interconnected by electrically conductive vias. Any one or more of the metal layers may be formed in a desired circuit pattern to route electrical signals (optionally in conjunction with other metal layers) between the components coupled to the circuit board 1202.
  • the circuit board 1202 may be a non-PCB substrate.
  • the IC device assembly 1200 illustrated in Figure 12 includes a package-on-interposer structure 1236 coupled to the first face 1240 of the circuit board 1202 by coupling components 1216.
  • the coupling components 1216 may electrically and mechanically couple the package-on- interposer structure 1236 to the circuit board 1202, and may include solder balls (as shown in Figure 12), male and female portions of a socket, an adhesive, an underfill material, and/or any other suitable electrical and/or mechanical coupling structure.
  • the package-on-interposer structure 1236 may include an IC package 1220 coupled to an interposer 1204 by coupling components 1218.
  • the coupling components 1218 may take any suitable form for the application, such as the forms discussed above with reference to the coupling components 1216. Although a single IC package 1220 is shown in Figure 12, multiple IC packages may be coupled to the interposer 1204. It is to be appreciated that additional interposers may be coupled to the interposer 1204.
  • the interposer 1204 may provide an intervening substrate used to bridge the circuit board 1202 and the IC package 1220.
  • the IC package 1220 may be or include, for example, a die (the die 1002 of Figure 10B), an IC device (e.g., the IC device 1100 of Figure 11), or any other suitable component.
  • the interposer 1204 may spread a connection to a wider pitch or reroute a connection to a different connection.
  • the interposer 1204 may couple the IC package 1220 (e.g., a die) to a ball grid array (BGA) of the coupling components 1216 for coupling to the circuit board 1202.
  • BGA ball grid array
  • the IC package 1220 and the circuit board 1202 are attached to opposing sides of the interposer 1204.
  • the IC package 1220 and the circuit board 1202 may be attached to a same side of the interposer 1204.
  • three or more components may be interconnected by way of the interposer 1204.
  • the interposer 1204 may be formed of an epoxy resin, a fiberglass-reinforced epoxy resin, a ceramic material, or a polymer material such as polyimide. In some implementations, the interposer 1204 may be formed of alternate rigid or flexible materials that may include the same materials described above for use in a semiconductor substrate, such as silicon, germanium, and other group III-V and group IV materials.
  • the interposer 1204 may include metal interconnects 1208 and vias 1210, including but not limited to through-silicon vias (TSVs) 1206.
  • TSVs through-silicon vias
  • the interposer 1204 may further include embedded devices 1214, including both passive and active devices.
  • Such devices may include, but are not limited to, capacitors, decoupling capacitors, resistors, inductors, fuses, diodes, transformers, sensors, electrostatic discharge (ESD) devices, and memory devices. More complex devices such as radio-frequency (RF) devices, power amplifiers, power management devices, antennas, arrays, sensors, and microelectromechanical systems (MEMS) devices may also be formed on the interposer 1204.
  • RF radio-frequency
  • MEMS microelectromechanical systems
  • the package-on- interposer structure 1236 may take the form of any of the package-on-interposer structures known in the art.
  • the IC device assembly 1200 may include an IC package 1224 coupled to the first face may take the form of any of the embodiments discussed above with reference to the coupling components 1216, and the IC package 1224 may take the form of any of the embodiments discussed above with reference to the IC package 1220.
  • the IC device assembly 1200 illustrated in Figure 12 includes a package-on-package structure 1234 coupled to the second face 1242 of the circuit board 1202 by coupling components 1228.
  • the package-on-package structure 1234 may include an IC package 1226 and an IC package 1232 coupled together by coupling components 1230 such that the IC package 1226 is disposed between the circuit board 1202 and the IC package 1232.
  • the coupling components 1228 and 1230 may take the form of any of the embodiments of the coupling components 1216 discussed above, and the IC packages 1226 and 1232 may take the form of any of the
  • the package-on-package structure 1234 may be configured in accordance with any of the package-on-package structures known in the art.
  • interconnect lines (and, possibly, underlying via structures) described herein are composed of one or more metal or metal-containing conductive structures.
  • the conductive interconnect lines are also sometimes referred to in the art as traces, wires, lines, metal, interconnect lines or simply interconnects.
  • each of the interconnect lines includes a barrier layer and a conductive fill material.
  • the barrier layer is composed of a metal nitride material, such as tantalum nitride or titanium nitride.
  • the conductive fill material is composed of a conductive material such as, but not limited to, Cu, Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, W, Ag, Au or alloys thereof.
  • Interconnect lines described herein may be fabricated as a grating structure, where the term "grating" is used herein to refer to a tight pitch grating structure.
  • the tight pitch is not achievable directly through conventional lithography.
  • a pattern based on conventional lithography may first be formed, but the pitch may be halved by the use of spacer mask patterning, as is known in the art. Even further, the original pitch may be quartered by a second round of spacer mask patterning.
  • the grating-like patterns described herein may have conductive lines spaced at a constant pitch and having a constant width.
  • the pattern may be fabricated by a pitch halving or pitch quartering, or other pitch division, approach.
  • ILD materials described herein are composed of or include a layer of a dielectric or insulating material.
  • suitable dielectric materials include, but are not limited to, oxides of silicon (e.g., silicon dioxide (SiC )), doped oxides of silicon, fluorinated oxides of silicon, carbon doped oxides of silicon, various low-k dielectric materials known in the arts, and combinations thereof.
  • the interlayer dielectric material may be formed by conventional techniques, such as, for example, chemical vapor deposition (CVD), physical vapor deposition (PVD), or by other deposition methods.
  • lithographic operations are performed using 193nm immersion lithography (il93), extreme ultra-violet (EUV) and/or electron beam direct write (EBDW) lithography, or the like.
  • a positive tone or a negative tone resist may be used.
  • a lithographic mask is a trilayer mask composed of a topographic masking portion, an anti-reflective coating (ARC) layer, and a photoresist layer.
  • the topographic masking portion is a carbon hardmask (CHM) layer and the anti-reflective coating layer is a silicon ARC layer.
  • FIG. 13 illustrates a computing device 1300 in accordance with one implementation of the disclosure.
  • the computing device 1300 houses a board 1302.
  • the board 1302 may include a number of components, including but not limited to a processor 1304 and at least one communication chip 1306.
  • the processor 1304 is physically and electrically coupled to the board 1302.
  • the at least one communication chip 1306 is also physically and electrically coupled to the board 1302.
  • the communication chip 1306 is part of the processor 1304.
  • computing device 1300 may include other components that may or may not be physically and electrically coupled to the board 1302. These other components include, but are not limited to, volatile memory (e.g., DRAM), non-volatile memory (e.g., ROM), flash memory, a graphics processor, a digital signal processor, a crypto processor, a chipset, an antenna, a display, a touchscreen display, a touchscreen controller, a battery, an audio codec, a video codec, a power amplifier, a global positioning system (GPS) device, a compass, an accelerometer, a gyroscope, a speaker, a camera, and a mass storage device (such as hard disk drive, compact disk (CD), digital versatile disk (DVD), and so forth).
  • volatile memory e.g., DRAM
  • non-volatile memory e.g., ROM
  • flash memory e.g., a graphics processor, a digital signal processor, a crypto processor, a chipset, an antenna,
  • the communication chip 1306 enables wireless communications for the transfer of data to and from the computing device 1300.
  • wireless and its derivatives may be used to describe circuits, devices, systems, methods, techniques, communications channels, etc., that may communicate data through the use of modulated electromagnetic radiation through a non- solid medium. The term does not imply that the associated devices do not contain any wires, although in some embodiments they might not.
  • the communication chip 1306 may implement any of a number of wireless standards or protocols, including but not limited to Wi-Fi (IEEE 802.11 family), WiMAX (IEEE 802.16 family), IEEE 802.20, long term evolution (LTE), Ev- DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, derivatives thereof, as well as any other wireless protocols that are designated as 3G, 4G, 5G, and beyond.
  • the computing device 1300 may include a plurality of communication chips 1306.
  • a first communication chip 1306 may be dedicated to shorter range wireless communications such as Wi-Fi and Bluetooth and a second communication chip 1306 may be dedicated to longer range wireless communications such as GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO, and others.
  • the processor 1304 of the computing device 1300 includes an integrated circuit die packaged within the processor 1304.
  • the integrated circuit die of the processor includes one or more ferroelectric field effect transistors (FeFETs), in accordance with implementations of embodiments of the disclosure.
  • FeFETs ferroelectric field effect transistors
  • the term "processor" may refer to any device or portion of a device that processes electronic data from registers and/or memory to transform that electronic data into other electronic data that may be stored in registers and/or memory.
  • the communication chip 1306 also includes an integrated circuit die packaged within the communication chip 1306.
  • the integrated circuit die of the communication chip includes one or more ferroelectric field effect transistors (FeFETs), in accordance with implementations of embodiments of the disclosure.
  • FeFETs ferroelectric field effect transistors
  • another component housed within the computing device 1300 may contain an integrated circuit die that includes one or more ferroelectric field effect transistors (FeFETs), in accordance with implementations of embodiments of the disclosure.
  • FeFETs ferroelectric field effect transistors
  • the computing device 1300 may be a laptop, a netbook, a notebook, an ultrabook, a smartphone, a tablet, a personal digital assistant (PDA), an ultra mobile PC, a mobile phone, a desktop computer, a server, a printer, a scanner, a monitor, a set-top box, an entertainment control unit, a digital camera, a portable music player, or a digital video recorder.
  • the computing device 800 may be any other electronic device that processes data.
  • embodiments described herein include ferroelectric field effect transistors
  • Example embodiment 1 An integrated circuit structure includes a semiconductor channel layer above a substrate.
  • the semiconductor channel layer is composed of a Group III-V material.
  • a ferroelectric oxide material is above the semiconductor channel layer.
  • a gate electrode is on the ferroelectric oxide material, the gate electrode having a first side and a second side opposite the first side.
  • a first source/drain region is at the first side of the gate electrode, and a second source/drain region is at the second side of the gate electrode.
  • Example embodiment 2 The integrated circuit structure of example embodiment 1, wherein the Group III-V material is a single crystalline Group III-V material.
  • Example embodiment 3 The integrated circuit structure of example embodiment 1, wherein the Group III-V material is an amorphous or a polycrystalline Group III-V material.
  • Example embodiment 4 The integrated circuit structure of example embodiment 1, 2 or 3, wherein the ferroelectric oxide material is selected from the group consisting of lead zirconate titanate (PZT), strontium bismuth tantalum oxide (SBT), and lanthanum-doped lead zirconium titanate (PLZT).
  • PZT lead zirconate titanate
  • SBT strontium bismuth tantalum oxide
  • PTZT lanthanum-doped lead zirconium titanate
  • Example embodiment 5 The integrated circuit structure of example embodiment 1, 2 or
  • ferroelectric oxide material includes hafnium and oxygen.
  • Example embodiment 6 The integrated circuit structure of example embodiment 1, 2, 3, 4 or 5, further including an insulator material layer between and in contact with the ferroelectric oxide material and the semiconductor channel layer.
  • Example embodiment 7 The integrated circuit structure of example embodiment 1, 2, 3,
  • Example embodiment 8 The integrated circuit structure of example embodiment 1, 2, 3, 4, 5 or 6, further including a non-volatile memory cell coupled to the second source/drain region, the non-volatile memory cell selected from the group consisting of a spin torque transfer random access memory (STTRAM) cell, a resistive random access memory (RRAM) cell, and a conductive bridge random access memory (CBRAM) cell.
  • STTRAM spin torque transfer random access memory
  • RRAM resistive random access memory
  • CBRAM conductive bridge random access memory
  • Example embodiment 9 The integrated circuit structure of example embodiment 1, 2, 3, 4, 5, 6, 7 or 8, wherein the Group III-V material is selected from the group consisting of indium arsenide (InAs) and indium antimonide (InSb).
  • Group III-V material is selected from the group consisting of indium arsenide (InAs) and indium antimonide (InSb).
  • An integrated circuit structure includes a semiconductor fin above a substrate, the semiconductor fin having a top and sidewalls, and the semiconductor fin composed of a Group III-V material.
  • a ferroelectric oxide material is above the top and laterally adjacent to the sidewalls of the semiconductor fin.
  • a gate electrode is on the ferroelectric oxide material above the top and laterally adjacent to the sidewalls of the semiconductor fin, the gate electrode having a first side and a second side opposite the first side.
  • a first source/drain region is at the first side of the gate electrode, and a second source/drain region is at the second side of the gate electrode.
  • Example embodiment 11 The integrated circuit structure of example embodiment 10, wherein the Group III-V material is a single crystalline Group III-V material.
  • Example embodiment 12 The integrated circuit structure of example embodiment 10, wherein the Group III-V material is an amorphous or a polycrystalline Group III-V material.
  • Example embodiment 13 The integrated circuit structure of example embodiment 10, 11 or 12, wherein the ferroelectric oxide material is selected from the group consisting of lead zirconate titanate (PZT), strontium bismuth tantalum oxide (SBT), and lanthanum-doped lead zirconium titanate (PLZT).
  • PZT lead zirconate titanate
  • SBT strontium bismuth tantalum oxide
  • PTZT lanthanum-doped lead zirconium titanate
  • Example embodiment 14 The integrated circuit structure of example embodiment 10, 11 or 12, wherein the ferroelectric oxide material includes hafnium and oxygen.
  • Example embodiment 15 The integrated circuit structure of example embodiment 10,
  • Example embodiment 16 The integrated circuit structure of example embodiment 10, 11, 12, 13, 14 or 15, wherein the integrated circuit structure is a two-state memory cell.
  • Example embodiment 17 The integrated circuit structure of example embodiment 10,
  • non-volatile memory cell coupled to the second source/drain region, the non-volatile memory cell selected from the group consisting of a spin torque transfer random access memory (STTRAM) cell, a resistive random access memory (RRAM) cell, and a conductive bridge random access memory (CBRAM) cell.
  • STTRAM spin torque transfer random access memory
  • RRAM resistive random access memory
  • CBRAM conductive bridge random access memory
  • Example embodiment 18 An integrated circuit structure includes a semiconductor nanowire above a substrate, the semiconductor nanowire having a top, a bottom and sidewalls, and the semiconductor nanowire composed of a Group III-V material.
  • a ferroelectric oxide material is above the top, below the bottom, and laterally adjacent to the sidewalls of the semiconductor nanowire.
  • a gate electrode is on the ferroelectric oxide material above the top, below the bottom and laterally adjacent to the sidewalls of the semiconductor nanowire, the gate electrode having a first side and a second side opposite the first side.
  • a first source/drain region is at the first side of the gate electrode, and a second source/drain region is at the second side of the gate electrode.
  • Example embodiment 19 The integrated circuit structure of example embodiment 18, wherein the Group III-V material is a single crystalline Group III-V material.
  • Example embodiment 20 The integrated circuit structure of example embodiment 18, wherein the Group III-V material is an amorphous or a polycrystalline Group III-V material.
  • Example embodiment 21 The integrated circuit structure of example embodiment 18, 19 or 20, wherein the ferroelectric oxide material is selected from the group consisting of lead zirconate titanate (PZT), strontium bismuth tantalum oxide (SBT), and lanthanum-doped lead zirconium titanate (PLZT).
  • PZT lead zirconate titanate
  • SBT strontium bismuth tantalum oxide
  • PTZT lanthanum-doped lead zirconium titanate
  • Example embodiment 22 The integrated circuit structure of example embodiment 18, 19 or 20, wherein the ferroelectric oxide material includes hafnium and oxygen.
  • Example embodiment 23 The integrated circuit structure of example embodiment 18, 19, 20, 21 or 22, further including an insulator material layer between and in contact with the ferroelectric oxide material and the semiconductor nanowire.
  • Example embodiment 24 The integrated circuit structure of example embodiment 18, 19, 20, 21 , 22 or 23, wherein the integrated circuit structure is a two-state memory cell.
  • Example embodiment 25 The integrated circuit structure of example embodiment 18, 19, 20, 21 , 22 or 23, further including a non-volatile memory cell coupled to the second source/drain region, the non-volatile memory cell selected from the group consisting of a spin torque transfer random access memory (STTRAM) cell, a resistive random access memory (RRAM) cell, and a conductive bridge random access memory (CBRAM) cell.
  • STTRAM spin torque transfer random access memory
  • RRAM resistive random access memory
  • CBRAM conductive bridge random access memory

Abstract

L'invention concerne des transistors à effet de champ ferroélectriques (fefet) ayant des canaux semi-conducteurs composés. Dans un exemple, une structure de circuit intégré comprend une couche de canal semi-conductrice au dessus d'un substrat. La couche de canal semi-conductrice est composée d'un matériau du groupe III-V. Un matériau d'oxyde ferroélectrique est au-dessus de la couche de canal semi-conductrice. Une électrode de grille est sur le matériau d'oxyde ferroélectrique, l'électrode de grille ayant un premier côté et un second côté opposé au premier côté. Une première région de source/drain est au niveau du premier côté de l'électrode de grille, et une seconde région de source/drain est sur le second côté de l'électrode de grille.
PCT/US2017/038382 2017-06-20 2017-06-20 Transistors à effet de champ ferroélectriques (fefet) ayant des canaux semi-conducteurs composés WO2018236356A1 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
PCT/US2017/038382 WO2018236356A1 (fr) 2017-06-20 2017-06-20 Transistors à effet de champ ferroélectriques (fefet) ayant des canaux semi-conducteurs composés

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2017/038382 WO2018236356A1 (fr) 2017-06-20 2017-06-20 Transistors à effet de champ ferroélectriques (fefet) ayant des canaux semi-conducteurs composés

Publications (1)

Publication Number Publication Date
WO2018236356A1 true WO2018236356A1 (fr) 2018-12-27

Family

ID=64736089

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2017/038382 WO2018236356A1 (fr) 2017-06-20 2017-06-20 Transistors à effet de champ ferroélectriques (fefet) ayant des canaux semi-conducteurs composés

Country Status (1)

Country Link
WO (1) WO2018236356A1 (fr)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113380891A (zh) * 2020-05-28 2021-09-10 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US20210375934A1 (en) * 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Ferroelectric memory device and method of forming the same
WO2022106329A1 (fr) * 2020-11-20 2022-05-27 International Business Machines Corporation Transistor à effet de champ ferroélectrique doté d'âme de nanofil
EP4102584A1 (fr) * 2021-06-08 2022-12-14 INTEL Corporation Mémoire dorsale empilée avec des dispositifs de commutation résistive

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5659184A (en) * 1990-11-07 1997-08-19 Canon Kabushiki Kaisha III-V compound semiconductor device with a polycrystalline structure with minimum grain size of 0.6 μm and printer and display device utilizing the same
US20060221687A1 (en) * 1991-02-08 2006-10-05 Btg International Inc. Electrically alterable non-volatile memory with n-bits per cell
US20090061538A1 (en) * 2007-08-16 2009-03-05 Samsung Electronics Co., Ltd. Methods of forming ferroelectric capacitors and methods of manufacturing semiconductor devices using the same
US20160197173A1 (en) * 2013-09-27 2016-07-07 Intel Corporation Semiconductor Device having Group III-V Material Active Region and Graded Gate Dielectric
US9548450B2 (en) * 2014-09-23 2017-01-17 Micron Technology, Inc. Devices containing metal chalcogenides

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5659184A (en) * 1990-11-07 1997-08-19 Canon Kabushiki Kaisha III-V compound semiconductor device with a polycrystalline structure with minimum grain size of 0.6 μm and printer and display device utilizing the same
US20060221687A1 (en) * 1991-02-08 2006-10-05 Btg International Inc. Electrically alterable non-volatile memory with n-bits per cell
US20090061538A1 (en) * 2007-08-16 2009-03-05 Samsung Electronics Co., Ltd. Methods of forming ferroelectric capacitors and methods of manufacturing semiconductor devices using the same
US20160197173A1 (en) * 2013-09-27 2016-07-07 Intel Corporation Semiconductor Device having Group III-V Material Active Region and Graded Gate Dielectric
US9548450B2 (en) * 2014-09-23 2017-01-17 Micron Technology, Inc. Devices containing metal chalcogenides

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113380891A (zh) * 2020-05-28 2021-09-10 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US20210375934A1 (en) * 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Ferroelectric memory device and method of forming the same
US11527552B2 (en) * 2020-05-28 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric memory device and method of forming the same
US11916113B2 (en) 2020-05-28 2024-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
CN113380891B (zh) * 2020-05-28 2024-05-07 台湾积体电路制造股份有限公司 半导体器件及其制造方法
WO2022106329A1 (fr) * 2020-11-20 2022-05-27 International Business Machines Corporation Transistor à effet de champ ferroélectrique doté d'âme de nanofil
EP4102584A1 (fr) * 2021-06-08 2022-12-14 INTEL Corporation Mémoire dorsale empilée avec des dispositifs de commutation résistive

Similar Documents

Publication Publication Date Title
US11640995B2 (en) Ferroelectric field effect transistors (FeFETs) having band-engineered interface layer
US11393873B2 (en) Approaches for embedding spin hall MTJ devices into a logic processor and the resulting structures
US11367749B2 (en) Spin orbit torque (SOT) memory devices and their methods of fabrication
US20200144293A1 (en) Ferroelectric field effect transistors (fefets) having ambipolar channels
US11469268B2 (en) Damascene-based approaches for embedding spin hall MTJ devices into a logic processor and the resulting structures
US20190013353A1 (en) Approaches for integrating stt-mram memory arrays into a logic processor and the resulting structures
US10868233B2 (en) Approaches for strain engineering of perpendicular magnetic tunnel junctions (pMTJs) and the resulting structures
US11444237B2 (en) Spin orbit torque (SOT) memory devices and methods of fabrication
US11574666B2 (en) Spin orbit torque memory devices and methods of fabrication
US20200144330A1 (en) Multi-channel vertical transistor for embedded non-volatile memory
US11276730B2 (en) Spin orbit torque memory devices and methods of fabrication
US20200312908A1 (en) Spin orbit memory devices with reduced magnetic moment and methods of fabrication
US20200066967A1 (en) Damascene-based approaches for fabricating a pedestal for a magnetic tunnel junction (mtj) device and the resulting structures
US11430944B2 (en) Interconnect structures for logic and memory devices and methods of fabrication
CN110660900A (zh) 具有分层电极的磁性存储器器件和制造方法
WO2018236356A1 (fr) Transistors à effet de champ ferroélectriques (fefet) ayant des canaux semi-conducteurs composés
US10504962B2 (en) Unipolar current switching in perpendicular magnetic tunnel junction (pMTJ) devices through reduced bipolar coercivity
WO2019005172A1 (fr) Dispositifs de mémoire à couplage spin-orbite (sot) à surface réduite et leurs procédés de fabrication
US20200313076A1 (en) Spin orbit memory devices with enhanced tunneling magnetoresistance ratio (tmr) and methods of fabrication
US11189790B2 (en) Spacer-based patterning for tight-pitch and low-variability random access memory (RAM) bit cells and the resulting structures
WO2018236360A1 (fr) Transistors à effet de champ de phase ayant des diélectriques de grille ferroélectrique
US20200343301A1 (en) Spin orbit memory devices and methods of fabrication
WO2018101956A1 (fr) Nano-contacts d'électrode auto-alignés pour cellules binaires de mémoire vive (ram) non volatile

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 17914622

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 17914622

Country of ref document: EP

Kind code of ref document: A1